Azadi with 4KB
diff --git a/gds/azadi_soc_top_caravel.gds.gz b/gds/azadi_soc_top_caravel.gds.gz
index 0886b85..19e30ac 100644
--- a/gds/azadi_soc_top_caravel.gds.gz
+++ b/gds/azadi_soc_top_caravel.gds.gz
Binary files differ
diff --git a/lef/azadi_soc_top_caravel.lef b/lef/azadi_soc_top_caravel.lef
index fbf1f5d..4db333d 100644
--- a/lef/azadi_soc_top_caravel.lef
+++ b/lef/azadi_soc_top_caravel.lef
@@ -1,6 +1,6 @@
 ##
 ## LEF for PtnCells ;
-## created by Innovus v20.10-p004_1 on Fri Dec 17 18:10:46 2021
+## created by Innovus v20.10-p004_1 on Tue Dec 28 16:01:20 2021
 ##
 
 VERSION 5.8 ;
@@ -10,7 +10,7 @@
 
 MACRO azadi_soc_top_caravel
   CLASS BLOCK ;
-  SIZE 1720.400000 BY 1469.820000 ;
+  SIZE 2220.420000 BY 2019.600000 ;
   FOREIGN azadi_soc_top_caravel 0.000000 0.000000 ;
   ORIGIN 0 0 ;
   SYMMETRY X Y R90 ;
@@ -19,7 +19,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 3.420000 0.000000 3.560000 0.490000 ;
+        RECT 4.435000 0.000000 4.575000 0.490000 ;
     END
   END wb_clk_i
   PIN wb_rst_i
@@ -35,7 +35,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 362.785000 0.000000 362.925000 0.490000 ;
+        RECT 468.240000 0.000000 468.380000 0.490000 ;
     END
   END wbs_stb_i
   PIN wbs_cyc_i
@@ -43,7 +43,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 122.045000 0.000000 122.185000 0.490000 ;
+        RECT 157.535000 0.000000 157.675000 0.490000 ;
     END
   END wbs_cyc_i
   PIN wbs_we_i
@@ -51,7 +51,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 366.275000 0.000000 366.415000 0.490000 ;
+        RECT 472.745000 0.000000 472.885000 0.490000 ;
     END
   END wbs_we_i
   PIN wbs_sel_i[3]
@@ -59,7 +59,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 359.295000 0.000000 359.435000 0.490000 ;
+        RECT 463.740000 0.000000 463.880000 0.490000 ;
     END
   END wbs_sel_i[3]
   PIN wbs_sel_i[2]
@@ -67,7 +67,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 355.810000 0.000000 355.950000 0.490000 ;
+        RECT 459.235000 0.000000 459.375000 0.490000 ;
     END
   END wbs_sel_i[2]
   PIN wbs_sel_i[1]
@@ -75,7 +75,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 352.320000 0.000000 352.460000 0.490000 ;
+        RECT 454.735000 0.000000 454.875000 0.490000 ;
     END
   END wbs_sel_i[1]
   PIN wbs_sel_i[0]
@@ -83,7 +83,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 348.830000 0.000000 348.970000 0.490000 ;
+        RECT 450.230000 0.000000 450.370000 0.490000 ;
     END
   END wbs_sel_i[0]
   PIN wbs_dat_i[31]
@@ -91,7 +91,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 233.695000 0.000000 233.835000 0.490000 ;
+        RECT 301.630000 0.000000 301.770000 0.490000 ;
     END
   END wbs_dat_i[31]
   PIN wbs_dat_i[30]
@@ -99,7 +99,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 230.205000 0.000000 230.345000 0.490000 ;
+        RECT 297.130000 0.000000 297.270000 0.490000 ;
     END
   END wbs_dat_i[30]
   PIN wbs_dat_i[29]
@@ -107,7 +107,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 226.715000 0.000000 226.855000 0.490000 ;
+        RECT 292.625000 0.000000 292.765000 0.490000 ;
     END
   END wbs_dat_i[29]
   PIN wbs_dat_i[28]
@@ -115,7 +115,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 223.225000 0.000000 223.365000 0.490000 ;
+        RECT 288.120000 0.000000 288.260000 0.490000 ;
     END
   END wbs_dat_i[28]
   PIN wbs_dat_i[27]
@@ -123,7 +123,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 219.735000 0.000000 219.875000 0.490000 ;
+        RECT 283.620000 0.000000 283.760000 0.490000 ;
     END
   END wbs_dat_i[27]
   PIN wbs_dat_i[26]
@@ -131,7 +131,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 216.250000 0.000000 216.390000 0.490000 ;
+        RECT 279.115000 0.000000 279.255000 0.490000 ;
     END
   END wbs_dat_i[26]
   PIN wbs_dat_i[25]
@@ -139,7 +139,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 212.760000 0.000000 212.900000 0.490000 ;
+        RECT 274.615000 0.000000 274.755000 0.490000 ;
     END
   END wbs_dat_i[25]
   PIN wbs_dat_i[24]
@@ -147,7 +147,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 209.270000 0.000000 209.410000 0.490000 ;
+        RECT 270.110000 0.000000 270.250000 0.490000 ;
     END
   END wbs_dat_i[24]
   PIN wbs_dat_i[23]
@@ -155,7 +155,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 205.780000 0.000000 205.920000 0.490000 ;
+        RECT 265.605000 0.000000 265.745000 0.490000 ;
     END
   END wbs_dat_i[23]
   PIN wbs_dat_i[22]
@@ -163,7 +163,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 202.290000 0.000000 202.430000 0.490000 ;
+        RECT 261.105000 0.000000 261.245000 0.490000 ;
     END
   END wbs_dat_i[22]
   PIN wbs_dat_i[21]
@@ -171,7 +171,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 198.805000 0.000000 198.945000 0.490000 ;
+        RECT 256.600000 0.000000 256.740000 0.490000 ;
     END
   END wbs_dat_i[21]
   PIN wbs_dat_i[20]
@@ -179,7 +179,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 195.315000 0.000000 195.455000 0.490000 ;
+        RECT 252.100000 0.000000 252.240000 0.490000 ;
     END
   END wbs_dat_i[20]
   PIN wbs_dat_i[19]
@@ -187,7 +187,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 191.825000 0.000000 191.965000 0.490000 ;
+        RECT 247.595000 0.000000 247.735000 0.490000 ;
     END
   END wbs_dat_i[19]
   PIN wbs_dat_i[18]
@@ -195,7 +195,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 188.335000 0.000000 188.475000 0.490000 ;
+        RECT 243.090000 0.000000 243.230000 0.490000 ;
     END
   END wbs_dat_i[18]
   PIN wbs_dat_i[17]
@@ -203,7 +203,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 184.845000 0.000000 184.985000 0.490000 ;
+        RECT 238.590000 0.000000 238.730000 0.490000 ;
     END
   END wbs_dat_i[17]
   PIN wbs_dat_i[16]
@@ -211,7 +211,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 181.360000 0.000000 181.500000 0.490000 ;
+        RECT 234.085000 0.000000 234.225000 0.490000 ;
     END
   END wbs_dat_i[16]
   PIN wbs_dat_i[15]
@@ -219,7 +219,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 177.870000 0.000000 178.010000 0.490000 ;
+        RECT 229.585000 0.000000 229.725000 0.490000 ;
     END
   END wbs_dat_i[15]
   PIN wbs_dat_i[14]
@@ -227,7 +227,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 174.380000 0.000000 174.520000 0.490000 ;
+        RECT 225.080000 0.000000 225.220000 0.490000 ;
     END
   END wbs_dat_i[14]
   PIN wbs_dat_i[13]
@@ -235,7 +235,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 170.890000 0.000000 171.030000 0.490000 ;
+        RECT 220.575000 0.000000 220.715000 0.490000 ;
     END
   END wbs_dat_i[13]
   PIN wbs_dat_i[12]
@@ -243,7 +243,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 167.400000 0.000000 167.540000 0.490000 ;
+        RECT 216.075000 0.000000 216.215000 0.490000 ;
     END
   END wbs_dat_i[12]
   PIN wbs_dat_i[11]
@@ -251,7 +251,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 163.915000 0.000000 164.055000 0.490000 ;
+        RECT 211.570000 0.000000 211.710000 0.490000 ;
     END
   END wbs_dat_i[11]
   PIN wbs_dat_i[10]
@@ -259,7 +259,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 160.425000 0.000000 160.565000 0.490000 ;
+        RECT 207.070000 0.000000 207.210000 0.490000 ;
     END
   END wbs_dat_i[10]
   PIN wbs_dat_i[9]
@@ -267,7 +267,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 156.935000 0.000000 157.075000 0.490000 ;
+        RECT 202.565000 0.000000 202.705000 0.490000 ;
     END
   END wbs_dat_i[9]
   PIN wbs_dat_i[8]
@@ -275,7 +275,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 153.445000 0.000000 153.585000 0.490000 ;
+        RECT 198.060000 0.000000 198.200000 0.490000 ;
     END
   END wbs_dat_i[8]
   PIN wbs_dat_i[7]
@@ -283,7 +283,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 149.955000 0.000000 150.095000 0.490000 ;
+        RECT 193.560000 0.000000 193.700000 0.490000 ;
     END
   END wbs_dat_i[7]
   PIN wbs_dat_i[6]
@@ -291,7 +291,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 146.470000 0.000000 146.610000 0.490000 ;
+        RECT 189.055000 0.000000 189.195000 0.490000 ;
     END
   END wbs_dat_i[6]
   PIN wbs_dat_i[5]
@@ -299,7 +299,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 142.980000 0.000000 143.120000 0.490000 ;
+        RECT 184.555000 0.000000 184.695000 0.490000 ;
     END
   END wbs_dat_i[5]
   PIN wbs_dat_i[4]
@@ -307,7 +307,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 139.490000 0.000000 139.630000 0.490000 ;
+        RECT 180.050000 0.000000 180.190000 0.490000 ;
     END
   END wbs_dat_i[4]
   PIN wbs_dat_i[3]
@@ -315,7 +315,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 136.000000 0.000000 136.140000 0.490000 ;
+        RECT 175.545000 0.000000 175.685000 0.490000 ;
     END
   END wbs_dat_i[3]
   PIN wbs_dat_i[2]
@@ -323,7 +323,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 132.510000 0.000000 132.650000 0.490000 ;
+        RECT 171.045000 0.000000 171.185000 0.490000 ;
     END
   END wbs_dat_i[2]
   PIN wbs_dat_i[1]
@@ -331,7 +331,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 129.025000 0.000000 129.165000 0.490000 ;
+        RECT 166.540000 0.000000 166.680000 0.490000 ;
     END
   END wbs_dat_i[1]
   PIN wbs_dat_i[0]
@@ -339,7 +339,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 125.535000 0.000000 125.675000 0.490000 ;
+        RECT 162.040000 0.000000 162.180000 0.490000 ;
     END
   END wbs_dat_i[0]
   PIN wbs_adr_i[31]
@@ -347,7 +347,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 118.555000 0.000000 118.695000 0.490000 ;
+        RECT 153.030000 0.000000 153.170000 0.490000 ;
     END
   END wbs_adr_i[31]
   PIN wbs_adr_i[30]
@@ -355,7 +355,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 115.065000 0.000000 115.205000 0.490000 ;
+        RECT 148.530000 0.000000 148.670000 0.490000 ;
     END
   END wbs_adr_i[30]
   PIN wbs_adr_i[29]
@@ -363,7 +363,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 111.580000 0.000000 111.720000 0.490000 ;
+        RECT 144.025000 0.000000 144.165000 0.490000 ;
     END
   END wbs_adr_i[29]
   PIN wbs_adr_i[28]
@@ -371,7 +371,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 108.090000 0.000000 108.230000 0.490000 ;
+        RECT 139.525000 0.000000 139.665000 0.490000 ;
     END
   END wbs_adr_i[28]
   PIN wbs_adr_i[27]
@@ -379,7 +379,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 104.600000 0.000000 104.740000 0.490000 ;
+        RECT 135.020000 0.000000 135.160000 0.490000 ;
     END
   END wbs_adr_i[27]
   PIN wbs_adr_i[26]
@@ -387,7 +387,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 101.110000 0.000000 101.250000 0.490000 ;
+        RECT 130.515000 0.000000 130.655000 0.490000 ;
     END
   END wbs_adr_i[26]
   PIN wbs_adr_i[25]
@@ -395,7 +395,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 97.620000 0.000000 97.760000 0.490000 ;
+        RECT 126.015000 0.000000 126.155000 0.490000 ;
     END
   END wbs_adr_i[25]
   PIN wbs_adr_i[24]
@@ -403,7 +403,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 94.135000 0.000000 94.275000 0.490000 ;
+        RECT 121.510000 0.000000 121.650000 0.490000 ;
     END
   END wbs_adr_i[24]
   PIN wbs_adr_i[23]
@@ -411,7 +411,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 90.645000 0.000000 90.785000 0.490000 ;
+        RECT 117.010000 0.000000 117.150000 0.490000 ;
     END
   END wbs_adr_i[23]
   PIN wbs_adr_i[22]
@@ -419,7 +419,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 87.155000 0.000000 87.295000 0.490000 ;
+        RECT 112.505000 0.000000 112.645000 0.490000 ;
     END
   END wbs_adr_i[22]
   PIN wbs_adr_i[21]
@@ -427,7 +427,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 83.665000 0.000000 83.805000 0.490000 ;
+        RECT 108.000000 0.000000 108.140000 0.490000 ;
     END
   END wbs_adr_i[21]
   PIN wbs_adr_i[20]
@@ -435,7 +435,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 80.175000 0.000000 80.315000 0.490000 ;
+        RECT 103.500000 0.000000 103.640000 0.490000 ;
     END
   END wbs_adr_i[20]
   PIN wbs_adr_i[19]
@@ -443,7 +443,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 76.690000 0.000000 76.830000 0.490000 ;
+        RECT 98.995000 0.000000 99.135000 0.490000 ;
     END
   END wbs_adr_i[19]
   PIN wbs_adr_i[18]
@@ -451,7 +451,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 73.200000 0.000000 73.340000 0.490000 ;
+        RECT 94.495000 0.000000 94.635000 0.490000 ;
     END
   END wbs_adr_i[18]
   PIN wbs_adr_i[17]
@@ -459,7 +459,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 69.710000 0.000000 69.850000 0.490000 ;
+        RECT 89.990000 0.000000 90.130000 0.490000 ;
     END
   END wbs_adr_i[17]
   PIN wbs_adr_i[16]
@@ -467,7 +467,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 66.220000 0.000000 66.360000 0.490000 ;
+        RECT 85.485000 0.000000 85.625000 0.490000 ;
     END
   END wbs_adr_i[16]
   PIN wbs_adr_i[15]
@@ -475,7 +475,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 62.730000 0.000000 62.870000 0.490000 ;
+        RECT 80.985000 0.000000 81.125000 0.490000 ;
     END
   END wbs_adr_i[15]
   PIN wbs_adr_i[14]
@@ -483,7 +483,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 59.245000 0.000000 59.385000 0.490000 ;
+        RECT 76.480000 0.000000 76.620000 0.490000 ;
     END
   END wbs_adr_i[14]
   PIN wbs_adr_i[13]
@@ -491,7 +491,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 55.755000 0.000000 55.895000 0.490000 ;
+        RECT 71.980000 0.000000 72.120000 0.490000 ;
     END
   END wbs_adr_i[13]
   PIN wbs_adr_i[12]
@@ -499,7 +499,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 52.265000 0.000000 52.405000 0.490000 ;
+        RECT 67.475000 0.000000 67.615000 0.490000 ;
     END
   END wbs_adr_i[12]
   PIN wbs_adr_i[11]
@@ -507,7 +507,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 48.775000 0.000000 48.915000 0.490000 ;
+        RECT 62.970000 0.000000 63.110000 0.490000 ;
     END
   END wbs_adr_i[11]
   PIN wbs_adr_i[10]
@@ -515,7 +515,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 45.285000 0.000000 45.425000 0.490000 ;
+        RECT 58.470000 0.000000 58.610000 0.490000 ;
     END
   END wbs_adr_i[10]
   PIN wbs_adr_i[9]
@@ -523,7 +523,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 41.800000 0.000000 41.940000 0.490000 ;
+        RECT 53.965000 0.000000 54.105000 0.490000 ;
     END
   END wbs_adr_i[9]
   PIN wbs_adr_i[8]
@@ -531,7 +531,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 38.310000 0.000000 38.450000 0.490000 ;
+        RECT 49.465000 0.000000 49.605000 0.490000 ;
     END
   END wbs_adr_i[8]
   PIN wbs_adr_i[7]
@@ -539,7 +539,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 34.820000 0.000000 34.960000 0.490000 ;
+        RECT 44.960000 0.000000 45.100000 0.490000 ;
     END
   END wbs_adr_i[7]
   PIN wbs_adr_i[6]
@@ -547,7 +547,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 31.330000 0.000000 31.470000 0.490000 ;
+        RECT 40.455000 0.000000 40.595000 0.490000 ;
     END
   END wbs_adr_i[6]
   PIN wbs_adr_i[5]
@@ -555,7 +555,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 27.840000 0.000000 27.980000 0.490000 ;
+        RECT 35.955000 0.000000 36.095000 0.490000 ;
     END
   END wbs_adr_i[5]
   PIN wbs_adr_i[4]
@@ -563,7 +563,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 24.355000 0.000000 24.495000 0.490000 ;
+        RECT 31.450000 0.000000 31.590000 0.490000 ;
     END
   END wbs_adr_i[4]
   PIN wbs_adr_i[3]
@@ -571,7 +571,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 20.865000 0.000000 21.005000 0.490000 ;
+        RECT 26.950000 0.000000 27.090000 0.490000 ;
     END
   END wbs_adr_i[3]
   PIN wbs_adr_i[2]
@@ -579,7 +579,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 17.375000 0.000000 17.515000 0.490000 ;
+        RECT 22.445000 0.000000 22.585000 0.490000 ;
     END
   END wbs_adr_i[2]
   PIN wbs_adr_i[1]
@@ -587,7 +587,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 13.885000 0.000000 14.025000 0.490000 ;
+        RECT 17.940000 0.000000 18.080000 0.490000 ;
     END
   END wbs_adr_i[1]
   PIN wbs_adr_i[0]
@@ -595,7 +595,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 10.395000 0.000000 10.535000 0.490000 ;
+        RECT 13.440000 0.000000 13.580000 0.490000 ;
     END
   END wbs_adr_i[0]
   PIN wbs_ack_o
@@ -603,7 +603,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 6.910000 0.000000 7.050000 0.490000 ;
+        RECT 8.935000 0.000000 9.075000 0.490000 ;
     END
   END wbs_ack_o
   PIN wbs_dat_o[31]
@@ -611,7 +611,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 345.340000 0.000000 345.480000 0.490000 ;
+        RECT 445.725000 0.000000 445.865000 0.490000 ;
     END
   END wbs_dat_o[31]
   PIN wbs_dat_o[30]
@@ -619,7 +619,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 341.850000 0.000000 341.990000 0.490000 ;
+        RECT 441.225000 0.000000 441.365000 0.490000 ;
     END
   END wbs_dat_o[30]
   PIN wbs_dat_o[29]
@@ -627,7 +627,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 338.365000 0.000000 338.505000 0.490000 ;
+        RECT 436.720000 0.000000 436.860000 0.490000 ;
     END
   END wbs_dat_o[29]
   PIN wbs_dat_o[28]
@@ -635,7 +635,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 334.875000 0.000000 335.015000 0.490000 ;
+        RECT 432.220000 0.000000 432.360000 0.490000 ;
     END
   END wbs_dat_o[28]
   PIN wbs_dat_o[27]
@@ -643,7 +643,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 331.385000 0.000000 331.525000 0.490000 ;
+        RECT 427.715000 0.000000 427.855000 0.490000 ;
     END
   END wbs_dat_o[27]
   PIN wbs_dat_o[26]
@@ -651,7 +651,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 327.895000 0.000000 328.035000 0.490000 ;
+        RECT 423.210000 0.000000 423.350000 0.490000 ;
     END
   END wbs_dat_o[26]
   PIN wbs_dat_o[25]
@@ -659,7 +659,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 324.405000 0.000000 324.545000 0.490000 ;
+        RECT 418.710000 0.000000 418.850000 0.490000 ;
     END
   END wbs_dat_o[25]
   PIN wbs_dat_o[24]
@@ -667,7 +667,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 320.920000 0.000000 321.060000 0.490000 ;
+        RECT 414.205000 0.000000 414.345000 0.490000 ;
     END
   END wbs_dat_o[24]
   PIN wbs_dat_o[23]
@@ -675,7 +675,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 317.430000 0.000000 317.570000 0.490000 ;
+        RECT 409.705000 0.000000 409.845000 0.490000 ;
     END
   END wbs_dat_o[23]
   PIN wbs_dat_o[22]
@@ -683,7 +683,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 313.940000 0.000000 314.080000 0.490000 ;
+        RECT 405.200000 0.000000 405.340000 0.490000 ;
     END
   END wbs_dat_o[22]
   PIN wbs_dat_o[21]
@@ -691,7 +691,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 310.450000 0.000000 310.590000 0.490000 ;
+        RECT 400.695000 0.000000 400.835000 0.490000 ;
     END
   END wbs_dat_o[21]
   PIN wbs_dat_o[20]
@@ -699,7 +699,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 306.960000 0.000000 307.100000 0.490000 ;
+        RECT 396.195000 0.000000 396.335000 0.490000 ;
     END
   END wbs_dat_o[20]
   PIN wbs_dat_o[19]
@@ -707,7 +707,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 303.475000 0.000000 303.615000 0.490000 ;
+        RECT 391.690000 0.000000 391.830000 0.490000 ;
     END
   END wbs_dat_o[19]
   PIN wbs_dat_o[18]
@@ -715,7 +715,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 299.985000 0.000000 300.125000 0.490000 ;
+        RECT 387.190000 0.000000 387.330000 0.490000 ;
     END
   END wbs_dat_o[18]
   PIN wbs_dat_o[17]
@@ -723,7 +723,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 296.495000 0.000000 296.635000 0.490000 ;
+        RECT 382.685000 0.000000 382.825000 0.490000 ;
     END
   END wbs_dat_o[17]
   PIN wbs_dat_o[16]
@@ -731,7 +731,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 293.005000 0.000000 293.145000 0.490000 ;
+        RECT 378.180000 0.000000 378.320000 0.490000 ;
     END
   END wbs_dat_o[16]
   PIN wbs_dat_o[15]
@@ -739,7 +739,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 289.515000 0.000000 289.655000 0.490000 ;
+        RECT 373.680000 0.000000 373.820000 0.490000 ;
     END
   END wbs_dat_o[15]
   PIN wbs_dat_o[14]
@@ -747,7 +747,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 286.030000 0.000000 286.170000 0.490000 ;
+        RECT 369.175000 0.000000 369.315000 0.490000 ;
     END
   END wbs_dat_o[14]
   PIN wbs_dat_o[13]
@@ -755,7 +755,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 282.540000 0.000000 282.680000 0.490000 ;
+        RECT 364.675000 0.000000 364.815000 0.490000 ;
     END
   END wbs_dat_o[13]
   PIN wbs_dat_o[12]
@@ -763,7 +763,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 279.050000 0.000000 279.190000 0.490000 ;
+        RECT 360.170000 0.000000 360.310000 0.490000 ;
     END
   END wbs_dat_o[12]
   PIN wbs_dat_o[11]
@@ -771,7 +771,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 275.560000 0.000000 275.700000 0.490000 ;
+        RECT 355.665000 0.000000 355.805000 0.490000 ;
     END
   END wbs_dat_o[11]
   PIN wbs_dat_o[10]
@@ -779,7 +779,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 272.070000 0.000000 272.210000 0.490000 ;
+        RECT 351.165000 0.000000 351.305000 0.490000 ;
     END
   END wbs_dat_o[10]
   PIN wbs_dat_o[9]
@@ -787,7 +787,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 268.585000 0.000000 268.725000 0.490000 ;
+        RECT 346.660000 0.000000 346.800000 0.490000 ;
     END
   END wbs_dat_o[9]
   PIN wbs_dat_o[8]
@@ -795,7 +795,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 265.095000 0.000000 265.235000 0.490000 ;
+        RECT 342.160000 0.000000 342.300000 0.490000 ;
     END
   END wbs_dat_o[8]
   PIN wbs_dat_o[7]
@@ -803,7 +803,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 261.605000 0.000000 261.745000 0.490000 ;
+        RECT 337.655000 0.000000 337.795000 0.490000 ;
     END
   END wbs_dat_o[7]
   PIN wbs_dat_o[6]
@@ -811,7 +811,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 258.115000 0.000000 258.255000 0.490000 ;
+        RECT 333.150000 0.000000 333.290000 0.490000 ;
     END
   END wbs_dat_o[6]
   PIN wbs_dat_o[5]
@@ -819,7 +819,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 254.625000 0.000000 254.765000 0.490000 ;
+        RECT 328.650000 0.000000 328.790000 0.490000 ;
     END
   END wbs_dat_o[5]
   PIN wbs_dat_o[4]
@@ -827,7 +827,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 251.140000 0.000000 251.280000 0.490000 ;
+        RECT 324.145000 0.000000 324.285000 0.490000 ;
     END
   END wbs_dat_o[4]
   PIN wbs_dat_o[3]
@@ -835,7 +835,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 247.650000 0.000000 247.790000 0.490000 ;
+        RECT 319.645000 0.000000 319.785000 0.490000 ;
     END
   END wbs_dat_o[3]
   PIN wbs_dat_o[2]
@@ -843,7 +843,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 244.160000 0.000000 244.300000 0.490000 ;
+        RECT 315.140000 0.000000 315.280000 0.490000 ;
     END
   END wbs_dat_o[2]
   PIN wbs_dat_o[1]
@@ -851,7 +851,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 240.670000 0.000000 240.810000 0.490000 ;
+        RECT 310.635000 0.000000 310.775000 0.490000 ;
     END
   END wbs_dat_o[1]
   PIN wbs_dat_o[0]
@@ -859,7 +859,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 237.180000 0.000000 237.320000 0.490000 ;
+        RECT 306.135000 0.000000 306.275000 0.490000 ;
     END
   END wbs_dat_o[0]
   PIN la_data_in[127]
@@ -867,7 +867,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 812.865000 0.000000 813.005000 0.490000 ;
+        RECT 1049.130000 0.000000 1049.270000 0.490000 ;
     END
   END la_data_in[127]
   PIN la_data_in[126]
@@ -875,7 +875,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 809.380000 0.000000 809.520000 0.490000 ;
+        RECT 1044.625000 0.000000 1044.765000 0.490000 ;
     END
   END la_data_in[126]
   PIN la_data_in[125]
@@ -883,7 +883,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 805.890000 0.000000 806.030000 0.490000 ;
+        RECT 1040.125000 0.000000 1040.265000 0.490000 ;
     END
   END la_data_in[125]
   PIN la_data_in[124]
@@ -891,7 +891,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 802.400000 0.000000 802.540000 0.490000 ;
+        RECT 1035.620000 0.000000 1035.760000 0.490000 ;
     END
   END la_data_in[124]
   PIN la_data_in[123]
@@ -899,7 +899,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 798.910000 0.000000 799.050000 0.490000 ;
+        RECT 1031.115000 0.000000 1031.255000 0.490000 ;
     END
   END la_data_in[123]
   PIN la_data_in[122]
@@ -907,7 +907,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 795.420000 0.000000 795.560000 0.490000 ;
+        RECT 1026.615000 0.000000 1026.755000 0.490000 ;
     END
   END la_data_in[122]
   PIN la_data_in[121]
@@ -915,7 +915,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 791.935000 0.000000 792.075000 0.490000 ;
+        RECT 1022.110000 0.000000 1022.250000 0.490000 ;
     END
   END la_data_in[121]
   PIN la_data_in[120]
@@ -923,7 +923,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 788.445000 0.000000 788.585000 0.490000 ;
+        RECT 1017.610000 0.000000 1017.750000 0.490000 ;
     END
   END la_data_in[120]
   PIN la_data_in[119]
@@ -931,7 +931,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 784.955000 0.000000 785.095000 0.490000 ;
+        RECT 1013.105000 0.000000 1013.245000 0.490000 ;
     END
   END la_data_in[119]
   PIN la_data_in[118]
@@ -939,7 +939,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 781.465000 0.000000 781.605000 0.490000 ;
+        RECT 1008.600000 0.000000 1008.740000 0.490000 ;
     END
   END la_data_in[118]
   PIN la_data_in[117]
@@ -947,7 +947,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 777.975000 0.000000 778.115000 0.490000 ;
+        RECT 1004.100000 0.000000 1004.240000 0.490000 ;
     END
   END la_data_in[117]
   PIN la_data_in[116]
@@ -955,7 +955,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 774.490000 0.000000 774.630000 0.490000 ;
+        RECT 999.595000 0.000000 999.735000 0.490000 ;
     END
   END la_data_in[116]
   PIN la_data_in[115]
@@ -963,7 +963,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 771.000000 0.000000 771.140000 0.490000 ;
+        RECT 995.095000 0.000000 995.235000 0.490000 ;
     END
   END la_data_in[115]
   PIN la_data_in[114]
@@ -971,7 +971,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 767.510000 0.000000 767.650000 0.490000 ;
+        RECT 990.590000 0.000000 990.730000 0.490000 ;
     END
   END la_data_in[114]
   PIN la_data_in[113]
@@ -979,7 +979,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 764.020000 0.000000 764.160000 0.490000 ;
+        RECT 986.085000 0.000000 986.225000 0.490000 ;
     END
   END la_data_in[113]
   PIN la_data_in[112]
@@ -987,7 +987,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 760.530000 0.000000 760.670000 0.490000 ;
+        RECT 981.585000 0.000000 981.725000 0.490000 ;
     END
   END la_data_in[112]
   PIN la_data_in[111]
@@ -995,7 +995,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 757.045000 0.000000 757.185000 0.490000 ;
+        RECT 977.080000 0.000000 977.220000 0.490000 ;
     END
   END la_data_in[111]
   PIN la_data_in[110]
@@ -1003,7 +1003,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 753.555000 0.000000 753.695000 0.490000 ;
+        RECT 972.580000 0.000000 972.720000 0.490000 ;
     END
   END la_data_in[110]
   PIN la_data_in[109]
@@ -1011,7 +1011,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 750.065000 0.000000 750.205000 0.490000 ;
+        RECT 968.075000 0.000000 968.215000 0.490000 ;
     END
   END la_data_in[109]
   PIN la_data_in[108]
@@ -1019,7 +1019,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 746.575000 0.000000 746.715000 0.490000 ;
+        RECT 963.570000 0.000000 963.710000 0.490000 ;
     END
   END la_data_in[108]
   PIN la_data_in[107]
@@ -1027,7 +1027,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 743.085000 0.000000 743.225000 0.490000 ;
+        RECT 959.070000 0.000000 959.210000 0.490000 ;
     END
   END la_data_in[107]
   PIN la_data_in[106]
@@ -1035,7 +1035,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 739.600000 0.000000 739.740000 0.490000 ;
+        RECT 954.565000 0.000000 954.705000 0.490000 ;
     END
   END la_data_in[106]
   PIN la_data_in[105]
@@ -1043,7 +1043,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 736.110000 0.000000 736.250000 0.490000 ;
+        RECT 950.065000 0.000000 950.205000 0.490000 ;
     END
   END la_data_in[105]
   PIN la_data_in[104]
@@ -1051,7 +1051,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 732.620000 0.000000 732.760000 0.490000 ;
+        RECT 945.560000 0.000000 945.700000 0.490000 ;
     END
   END la_data_in[104]
   PIN la_data_in[103]
@@ -1059,7 +1059,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 729.130000 0.000000 729.270000 0.490000 ;
+        RECT 941.055000 0.000000 941.195000 0.490000 ;
     END
   END la_data_in[103]
   PIN la_data_in[102]
@@ -1067,7 +1067,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 725.640000 0.000000 725.780000 0.490000 ;
+        RECT 936.555000 0.000000 936.695000 0.490000 ;
     END
   END la_data_in[102]
   PIN la_data_in[101]
@@ -1075,7 +1075,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 722.155000 0.000000 722.295000 0.490000 ;
+        RECT 932.050000 0.000000 932.190000 0.490000 ;
     END
   END la_data_in[101]
   PIN la_data_in[100]
@@ -1083,7 +1083,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 718.665000 0.000000 718.805000 0.490000 ;
+        RECT 927.550000 0.000000 927.690000 0.490000 ;
     END
   END la_data_in[100]
   PIN la_data_in[99]
@@ -1091,7 +1091,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 715.175000 0.000000 715.315000 0.490000 ;
+        RECT 923.045000 0.000000 923.185000 0.490000 ;
     END
   END la_data_in[99]
   PIN la_data_in[98]
@@ -1099,7 +1099,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 711.685000 0.000000 711.825000 0.490000 ;
+        RECT 918.540000 0.000000 918.680000 0.490000 ;
     END
   END la_data_in[98]
   PIN la_data_in[97]
@@ -1107,7 +1107,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 708.195000 0.000000 708.335000 0.490000 ;
+        RECT 914.040000 0.000000 914.180000 0.490000 ;
     END
   END la_data_in[97]
   PIN la_data_in[96]
@@ -1115,7 +1115,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 704.710000 0.000000 704.850000 0.490000 ;
+        RECT 909.535000 0.000000 909.675000 0.490000 ;
     END
   END la_data_in[96]
   PIN la_data_in[95]
@@ -1123,7 +1123,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 701.220000 0.000000 701.360000 0.490000 ;
+        RECT 905.035000 0.000000 905.175000 0.490000 ;
     END
   END la_data_in[95]
   PIN la_data_in[94]
@@ -1131,7 +1131,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 697.730000 0.000000 697.870000 0.490000 ;
+        RECT 900.530000 0.000000 900.670000 0.490000 ;
     END
   END la_data_in[94]
   PIN la_data_in[93]
@@ -1139,7 +1139,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 694.240000 0.000000 694.380000 0.490000 ;
+        RECT 896.025000 0.000000 896.165000 0.490000 ;
     END
   END la_data_in[93]
   PIN la_data_in[92]
@@ -1147,7 +1147,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 690.750000 0.000000 690.890000 0.490000 ;
+        RECT 891.525000 0.000000 891.665000 0.490000 ;
     END
   END la_data_in[92]
   PIN la_data_in[91]
@@ -1155,7 +1155,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 687.265000 0.000000 687.405000 0.490000 ;
+        RECT 887.020000 0.000000 887.160000 0.490000 ;
     END
   END la_data_in[91]
   PIN la_data_in[90]
@@ -1163,7 +1163,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 683.775000 0.000000 683.915000 0.490000 ;
+        RECT 882.520000 0.000000 882.660000 0.490000 ;
     END
   END la_data_in[90]
   PIN la_data_in[89]
@@ -1171,7 +1171,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 680.285000 0.000000 680.425000 0.490000 ;
+        RECT 878.015000 0.000000 878.155000 0.490000 ;
     END
   END la_data_in[89]
   PIN la_data_in[88]
@@ -1179,7 +1179,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 676.795000 0.000000 676.935000 0.490000 ;
+        RECT 873.510000 0.000000 873.650000 0.490000 ;
     END
   END la_data_in[88]
   PIN la_data_in[87]
@@ -1187,7 +1187,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 673.305000 0.000000 673.445000 0.490000 ;
+        RECT 869.010000 0.000000 869.150000 0.490000 ;
     END
   END la_data_in[87]
   PIN la_data_in[86]
@@ -1195,7 +1195,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 669.820000 0.000000 669.960000 0.490000 ;
+        RECT 864.505000 0.000000 864.645000 0.490000 ;
     END
   END la_data_in[86]
   PIN la_data_in[85]
@@ -1203,7 +1203,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 666.330000 0.000000 666.470000 0.490000 ;
+        RECT 860.005000 0.000000 860.145000 0.490000 ;
     END
   END la_data_in[85]
   PIN la_data_in[84]
@@ -1211,7 +1211,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 662.840000 0.000000 662.980000 0.490000 ;
+        RECT 855.500000 0.000000 855.640000 0.490000 ;
     END
   END la_data_in[84]
   PIN la_data_in[83]
@@ -1219,7 +1219,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 659.350000 0.000000 659.490000 0.490000 ;
+        RECT 850.995000 0.000000 851.135000 0.490000 ;
     END
   END la_data_in[83]
   PIN la_data_in[82]
@@ -1227,7 +1227,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 655.860000 0.000000 656.000000 0.490000 ;
+        RECT 846.495000 0.000000 846.635000 0.490000 ;
     END
   END la_data_in[82]
   PIN la_data_in[81]
@@ -1235,7 +1235,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 652.375000 0.000000 652.515000 0.490000 ;
+        RECT 841.990000 0.000000 842.130000 0.490000 ;
     END
   END la_data_in[81]
   PIN la_data_in[80]
@@ -1243,7 +1243,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 648.885000 0.000000 649.025000 0.490000 ;
+        RECT 837.490000 0.000000 837.630000 0.490000 ;
     END
   END la_data_in[80]
   PIN la_data_in[79]
@@ -1251,7 +1251,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 645.395000 0.000000 645.535000 0.490000 ;
+        RECT 832.985000 0.000000 833.125000 0.490000 ;
     END
   END la_data_in[79]
   PIN la_data_in[78]
@@ -1259,7 +1259,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 641.905000 0.000000 642.045000 0.490000 ;
+        RECT 828.480000 0.000000 828.620000 0.490000 ;
     END
   END la_data_in[78]
   PIN la_data_in[77]
@@ -1267,7 +1267,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 638.415000 0.000000 638.555000 0.490000 ;
+        RECT 823.980000 0.000000 824.120000 0.490000 ;
     END
   END la_data_in[77]
   PIN la_data_in[76]
@@ -1275,7 +1275,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 634.930000 0.000000 635.070000 0.490000 ;
+        RECT 819.475000 0.000000 819.615000 0.490000 ;
     END
   END la_data_in[76]
   PIN la_data_in[75]
@@ -1283,7 +1283,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 631.440000 0.000000 631.580000 0.490000 ;
+        RECT 814.975000 0.000000 815.115000 0.490000 ;
     END
   END la_data_in[75]
   PIN la_data_in[74]
@@ -1291,7 +1291,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 627.950000 0.000000 628.090000 0.490000 ;
+        RECT 810.470000 0.000000 810.610000 0.490000 ;
     END
   END la_data_in[74]
   PIN la_data_in[73]
@@ -1299,7 +1299,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 624.460000 0.000000 624.600000 0.490000 ;
+        RECT 805.965000 0.000000 806.105000 0.490000 ;
     END
   END la_data_in[73]
   PIN la_data_in[72]
@@ -1307,7 +1307,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 620.970000 0.000000 621.110000 0.490000 ;
+        RECT 801.465000 0.000000 801.605000 0.490000 ;
     END
   END la_data_in[72]
   PIN la_data_in[71]
@@ -1315,7 +1315,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 617.485000 0.000000 617.625000 0.490000 ;
+        RECT 796.960000 0.000000 797.100000 0.490000 ;
     END
   END la_data_in[71]
   PIN la_data_in[70]
@@ -1323,7 +1323,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 613.995000 0.000000 614.135000 0.490000 ;
+        RECT 792.460000 0.000000 792.600000 0.490000 ;
     END
   END la_data_in[70]
   PIN la_data_in[69]
@@ -1331,7 +1331,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 610.505000 0.000000 610.645000 0.490000 ;
+        RECT 787.955000 0.000000 788.095000 0.490000 ;
     END
   END la_data_in[69]
   PIN la_data_in[68]
@@ -1339,7 +1339,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 607.015000 0.000000 607.155000 0.490000 ;
+        RECT 783.450000 0.000000 783.590000 0.490000 ;
     END
   END la_data_in[68]
   PIN la_data_in[67]
@@ -1347,7 +1347,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 603.525000 0.000000 603.665000 0.490000 ;
+        RECT 778.950000 0.000000 779.090000 0.490000 ;
     END
   END la_data_in[67]
   PIN la_data_in[66]
@@ -1355,7 +1355,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 600.040000 0.000000 600.180000 0.490000 ;
+        RECT 774.445000 0.000000 774.585000 0.490000 ;
     END
   END la_data_in[66]
   PIN la_data_in[65]
@@ -1363,7 +1363,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 596.550000 0.000000 596.690000 0.490000 ;
+        RECT 769.945000 0.000000 770.085000 0.490000 ;
     END
   END la_data_in[65]
   PIN la_data_in[64]
@@ -1371,7 +1371,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 593.060000 0.000000 593.200000 0.490000 ;
+        RECT 765.440000 0.000000 765.580000 0.490000 ;
     END
   END la_data_in[64]
   PIN la_data_in[63]
@@ -1379,7 +1379,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 589.570000 0.000000 589.710000 0.490000 ;
+        RECT 760.935000 0.000000 761.075000 0.490000 ;
     END
   END la_data_in[63]
   PIN la_data_in[62]
@@ -1387,7 +1387,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 586.080000 0.000000 586.220000 0.490000 ;
+        RECT 756.435000 0.000000 756.575000 0.490000 ;
     END
   END la_data_in[62]
   PIN la_data_in[61]
@@ -1395,7 +1395,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 582.595000 0.000000 582.735000 0.490000 ;
+        RECT 751.930000 0.000000 752.070000 0.490000 ;
     END
   END la_data_in[61]
   PIN la_data_in[60]
@@ -1403,7 +1403,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 579.105000 0.000000 579.245000 0.490000 ;
+        RECT 747.430000 0.000000 747.570000 0.490000 ;
     END
   END la_data_in[60]
   PIN la_data_in[59]
@@ -1411,7 +1411,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 575.615000 0.000000 575.755000 0.490000 ;
+        RECT 742.925000 0.000000 743.065000 0.490000 ;
     END
   END la_data_in[59]
   PIN la_data_in[58]
@@ -1419,7 +1419,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 572.125000 0.000000 572.265000 0.490000 ;
+        RECT 738.420000 0.000000 738.560000 0.490000 ;
     END
   END la_data_in[58]
   PIN la_data_in[57]
@@ -1427,7 +1427,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 568.635000 0.000000 568.775000 0.490000 ;
+        RECT 733.920000 0.000000 734.060000 0.490000 ;
     END
   END la_data_in[57]
   PIN la_data_in[56]
@@ -1435,7 +1435,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 565.150000 0.000000 565.290000 0.490000 ;
+        RECT 729.415000 0.000000 729.555000 0.490000 ;
     END
   END la_data_in[56]
   PIN la_data_in[55]
@@ -1443,7 +1443,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 561.660000 0.000000 561.800000 0.490000 ;
+        RECT 724.915000 0.000000 725.055000 0.490000 ;
     END
   END la_data_in[55]
   PIN la_data_in[54]
@@ -1451,7 +1451,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 558.170000 0.000000 558.310000 0.490000 ;
+        RECT 720.410000 0.000000 720.550000 0.490000 ;
     END
   END la_data_in[54]
   PIN la_data_in[53]
@@ -1459,7 +1459,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 554.680000 0.000000 554.820000 0.490000 ;
+        RECT 715.905000 0.000000 716.045000 0.490000 ;
     END
   END la_data_in[53]
   PIN la_data_in[52]
@@ -1467,7 +1467,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 551.190000 0.000000 551.330000 0.490000 ;
+        RECT 711.405000 0.000000 711.545000 0.490000 ;
     END
   END la_data_in[52]
   PIN la_data_in[51]
@@ -1475,7 +1475,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 547.705000 0.000000 547.845000 0.490000 ;
+        RECT 706.900000 0.000000 707.040000 0.490000 ;
     END
   END la_data_in[51]
   PIN la_data_in[50]
@@ -1483,7 +1483,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 544.215000 0.000000 544.355000 0.490000 ;
+        RECT 702.400000 0.000000 702.540000 0.490000 ;
     END
   END la_data_in[50]
   PIN la_data_in[49]
@@ -1491,7 +1491,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 540.725000 0.000000 540.865000 0.490000 ;
+        RECT 697.895000 0.000000 698.035000 0.490000 ;
     END
   END la_data_in[49]
   PIN la_data_in[48]
@@ -1499,7 +1499,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 537.235000 0.000000 537.375000 0.490000 ;
+        RECT 693.390000 0.000000 693.530000 0.490000 ;
     END
   END la_data_in[48]
   PIN la_data_in[47]
@@ -1507,7 +1507,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 533.745000 0.000000 533.885000 0.490000 ;
+        RECT 688.890000 0.000000 689.030000 0.490000 ;
     END
   END la_data_in[47]
   PIN la_data_in[46]
@@ -1515,7 +1515,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 530.260000 0.000000 530.400000 0.490000 ;
+        RECT 684.385000 0.000000 684.525000 0.490000 ;
     END
   END la_data_in[46]
   PIN la_data_in[45]
@@ -1523,7 +1523,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 526.770000 0.000000 526.910000 0.490000 ;
+        RECT 679.885000 0.000000 680.025000 0.490000 ;
     END
   END la_data_in[45]
   PIN la_data_in[44]
@@ -1531,7 +1531,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 523.280000 0.000000 523.420000 0.490000 ;
+        RECT 675.380000 0.000000 675.520000 0.490000 ;
     END
   END la_data_in[44]
   PIN la_data_in[43]
@@ -1539,7 +1539,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 519.790000 0.000000 519.930000 0.490000 ;
+        RECT 670.875000 0.000000 671.015000 0.490000 ;
     END
   END la_data_in[43]
   PIN la_data_in[42]
@@ -1547,7 +1547,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 516.300000 0.000000 516.440000 0.490000 ;
+        RECT 666.375000 0.000000 666.515000 0.490000 ;
     END
   END la_data_in[42]
   PIN la_data_in[41]
@@ -1555,7 +1555,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 512.815000 0.000000 512.955000 0.490000 ;
+        RECT 661.870000 0.000000 662.010000 0.490000 ;
     END
   END la_data_in[41]
   PIN la_data_in[40]
@@ -1563,7 +1563,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 509.325000 0.000000 509.465000 0.490000 ;
+        RECT 657.370000 0.000000 657.510000 0.490000 ;
     END
   END la_data_in[40]
   PIN la_data_in[39]
@@ -1571,7 +1571,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 505.835000 0.000000 505.975000 0.490000 ;
+        RECT 652.865000 0.000000 653.005000 0.490000 ;
     END
   END la_data_in[39]
   PIN la_data_in[38]
@@ -1579,7 +1579,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 502.345000 0.000000 502.485000 0.490000 ;
+        RECT 648.360000 0.000000 648.500000 0.490000 ;
     END
   END la_data_in[38]
   PIN la_data_in[37]
@@ -1587,7 +1587,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 498.855000 0.000000 498.995000 0.490000 ;
+        RECT 643.860000 0.000000 644.000000 0.490000 ;
     END
   END la_data_in[37]
   PIN la_data_in[36]
@@ -1595,7 +1595,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 495.370000 0.000000 495.510000 0.490000 ;
+        RECT 639.355000 0.000000 639.495000 0.490000 ;
     END
   END la_data_in[36]
   PIN la_data_in[35]
@@ -1603,7 +1603,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 491.880000 0.000000 492.020000 0.490000 ;
+        RECT 634.855000 0.000000 634.995000 0.490000 ;
     END
   END la_data_in[35]
   PIN la_data_in[34]
@@ -1611,7 +1611,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 488.390000 0.000000 488.530000 0.490000 ;
+        RECT 630.350000 0.000000 630.490000 0.490000 ;
     END
   END la_data_in[34]
   PIN la_data_in[33]
@@ -1619,7 +1619,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 484.900000 0.000000 485.040000 0.490000 ;
+        RECT 625.845000 0.000000 625.985000 0.490000 ;
     END
   END la_data_in[33]
   PIN la_data_in[32]
@@ -1627,7 +1627,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 481.410000 0.000000 481.550000 0.490000 ;
+        RECT 621.345000 0.000000 621.485000 0.490000 ;
     END
   END la_data_in[32]
   PIN la_data_in[31]
@@ -1635,7 +1635,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 477.925000 0.000000 478.065000 0.490000 ;
+        RECT 616.840000 0.000000 616.980000 0.490000 ;
     END
   END la_data_in[31]
   PIN la_data_in[30]
@@ -1643,7 +1643,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 474.435000 0.000000 474.575000 0.490000 ;
+        RECT 612.340000 0.000000 612.480000 0.490000 ;
     END
   END la_data_in[30]
   PIN la_data_in[29]
@@ -1651,7 +1651,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 470.945000 0.000000 471.085000 0.490000 ;
+        RECT 607.835000 0.000000 607.975000 0.490000 ;
     END
   END la_data_in[29]
   PIN la_data_in[28]
@@ -1659,7 +1659,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 467.455000 0.000000 467.595000 0.490000 ;
+        RECT 603.330000 0.000000 603.470000 0.490000 ;
     END
   END la_data_in[28]
   PIN la_data_in[27]
@@ -1667,7 +1667,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 463.965000 0.000000 464.105000 0.490000 ;
+        RECT 598.830000 0.000000 598.970000 0.490000 ;
     END
   END la_data_in[27]
   PIN la_data_in[26]
@@ -1675,7 +1675,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 460.480000 0.000000 460.620000 0.490000 ;
+        RECT 594.325000 0.000000 594.465000 0.490000 ;
     END
   END la_data_in[26]
   PIN la_data_in[25]
@@ -1683,7 +1683,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 456.990000 0.000000 457.130000 0.490000 ;
+        RECT 589.825000 0.000000 589.965000 0.490000 ;
     END
   END la_data_in[25]
   PIN la_data_in[24]
@@ -1691,7 +1691,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 453.500000 0.000000 453.640000 0.490000 ;
+        RECT 585.320000 0.000000 585.460000 0.490000 ;
     END
   END la_data_in[24]
   PIN la_data_in[23]
@@ -1699,7 +1699,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 450.010000 0.000000 450.150000 0.490000 ;
+        RECT 580.815000 0.000000 580.955000 0.490000 ;
     END
   END la_data_in[23]
   PIN la_data_in[22]
@@ -1707,7 +1707,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 446.520000 0.000000 446.660000 0.490000 ;
+        RECT 576.315000 0.000000 576.455000 0.490000 ;
     END
   END la_data_in[22]
   PIN la_data_in[21]
@@ -1715,7 +1715,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 443.035000 0.000000 443.175000 0.490000 ;
+        RECT 571.810000 0.000000 571.950000 0.490000 ;
     END
   END la_data_in[21]
   PIN la_data_in[20]
@@ -1723,7 +1723,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 439.545000 0.000000 439.685000 0.490000 ;
+        RECT 567.310000 0.000000 567.450000 0.490000 ;
     END
   END la_data_in[20]
   PIN la_data_in[19]
@@ -1731,7 +1731,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 436.055000 0.000000 436.195000 0.490000 ;
+        RECT 562.805000 0.000000 562.945000 0.490000 ;
     END
   END la_data_in[19]
   PIN la_data_in[18]
@@ -1739,7 +1739,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 432.565000 0.000000 432.705000 0.490000 ;
+        RECT 558.300000 0.000000 558.440000 0.490000 ;
     END
   END la_data_in[18]
   PIN la_data_in[17]
@@ -1747,7 +1747,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 429.075000 0.000000 429.215000 0.490000 ;
+        RECT 553.800000 0.000000 553.940000 0.490000 ;
     END
   END la_data_in[17]
   PIN la_data_in[16]
@@ -1755,7 +1755,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 425.590000 0.000000 425.730000 0.490000 ;
+        RECT 549.295000 0.000000 549.435000 0.490000 ;
     END
   END la_data_in[16]
   PIN la_data_in[15]
@@ -1763,7 +1763,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 422.100000 0.000000 422.240000 0.490000 ;
+        RECT 544.795000 0.000000 544.935000 0.490000 ;
     END
   END la_data_in[15]
   PIN la_data_in[14]
@@ -1771,7 +1771,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 418.610000 0.000000 418.750000 0.490000 ;
+        RECT 540.290000 0.000000 540.430000 0.490000 ;
     END
   END la_data_in[14]
   PIN la_data_in[13]
@@ -1779,7 +1779,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 415.120000 0.000000 415.260000 0.490000 ;
+        RECT 535.785000 0.000000 535.925000 0.490000 ;
     END
   END la_data_in[13]
   PIN la_data_in[12]
@@ -1787,7 +1787,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 411.630000 0.000000 411.770000 0.490000 ;
+        RECT 531.285000 0.000000 531.425000 0.490000 ;
     END
   END la_data_in[12]
   PIN la_data_in[11]
@@ -1795,7 +1795,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 408.145000 0.000000 408.285000 0.490000 ;
+        RECT 526.780000 0.000000 526.920000 0.490000 ;
     END
   END la_data_in[11]
   PIN la_data_in[10]
@@ -1803,7 +1803,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 404.655000 0.000000 404.795000 0.490000 ;
+        RECT 522.280000 0.000000 522.420000 0.490000 ;
     END
   END la_data_in[10]
   PIN la_data_in[9]
@@ -1811,7 +1811,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 401.165000 0.000000 401.305000 0.490000 ;
+        RECT 517.775000 0.000000 517.915000 0.490000 ;
     END
   END la_data_in[9]
   PIN la_data_in[8]
@@ -1819,7 +1819,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 397.675000 0.000000 397.815000 0.490000 ;
+        RECT 513.270000 0.000000 513.410000 0.490000 ;
     END
   END la_data_in[8]
   PIN la_data_in[7]
@@ -1827,7 +1827,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 394.185000 0.000000 394.325000 0.490000 ;
+        RECT 508.770000 0.000000 508.910000 0.490000 ;
     END
   END la_data_in[7]
   PIN la_data_in[6]
@@ -1835,7 +1835,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 390.700000 0.000000 390.840000 0.490000 ;
+        RECT 504.265000 0.000000 504.405000 0.490000 ;
     END
   END la_data_in[6]
   PIN la_data_in[5]
@@ -1843,7 +1843,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 387.210000 0.000000 387.350000 0.490000 ;
+        RECT 499.765000 0.000000 499.905000 0.490000 ;
     END
   END la_data_in[5]
   PIN la_data_in[4]
@@ -1851,7 +1851,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 383.720000 0.000000 383.860000 0.490000 ;
+        RECT 495.260000 0.000000 495.400000 0.490000 ;
     END
   END la_data_in[4]
   PIN la_data_in[3]
@@ -1859,7 +1859,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 380.230000 0.000000 380.370000 0.490000 ;
+        RECT 490.755000 0.000000 490.895000 0.490000 ;
     END
   END la_data_in[3]
   PIN la_data_in[2]
@@ -1867,7 +1867,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 376.740000 0.000000 376.880000 0.490000 ;
+        RECT 486.255000 0.000000 486.395000 0.490000 ;
     END
   END la_data_in[2]
   PIN la_data_in[1]
@@ -1875,7 +1875,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 373.255000 0.000000 373.395000 0.490000 ;
+        RECT 481.750000 0.000000 481.890000 0.490000 ;
     END
   END la_data_in[1]
   PIN la_data_in[0]
@@ -1883,7 +1883,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 369.765000 0.000000 369.905000 0.490000 ;
+        RECT 477.250000 0.000000 477.390000 0.490000 ;
     END
   END la_data_in[0]
   PIN la_data_out[127]
@@ -1891,7 +1891,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1259.460000 0.000000 1259.600000 0.490000 ;
+        RECT 1625.515000 0.000000 1625.655000 0.490000 ;
     END
   END la_data_out[127]
   PIN la_data_out[126]
@@ -1899,7 +1899,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1255.970000 0.000000 1256.110000 0.490000 ;
+        RECT 1621.010000 0.000000 1621.150000 0.490000 ;
     END
   END la_data_out[126]
   PIN la_data_out[125]
@@ -1907,7 +1907,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1252.480000 0.000000 1252.620000 0.490000 ;
+        RECT 1616.505000 0.000000 1616.645000 0.490000 ;
     END
   END la_data_out[125]
   PIN la_data_out[124]
@@ -1915,7 +1915,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1248.990000 0.000000 1249.130000 0.490000 ;
+        RECT 1612.005000 0.000000 1612.145000 0.490000 ;
     END
   END la_data_out[124]
   PIN la_data_out[123]
@@ -1923,7 +1923,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1245.505000 0.000000 1245.645000 0.490000 ;
+        RECT 1607.500000 0.000000 1607.640000 0.490000 ;
     END
   END la_data_out[123]
   PIN la_data_out[122]
@@ -1931,7 +1931,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1242.015000 0.000000 1242.155000 0.490000 ;
+        RECT 1603.000000 0.000000 1603.140000 0.490000 ;
     END
   END la_data_out[122]
   PIN la_data_out[121]
@@ -1939,7 +1939,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1238.525000 0.000000 1238.665000 0.490000 ;
+        RECT 1598.495000 0.000000 1598.635000 0.490000 ;
     END
   END la_data_out[121]
   PIN la_data_out[120]
@@ -1947,7 +1947,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1235.035000 0.000000 1235.175000 0.490000 ;
+        RECT 1593.990000 0.000000 1594.130000 0.490000 ;
     END
   END la_data_out[120]
   PIN la_data_out[119]
@@ -1955,7 +1955,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1231.545000 0.000000 1231.685000 0.490000 ;
+        RECT 1589.490000 0.000000 1589.630000 0.490000 ;
     END
   END la_data_out[119]
   PIN la_data_out[118]
@@ -1963,7 +1963,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1228.060000 0.000000 1228.200000 0.490000 ;
+        RECT 1584.985000 0.000000 1585.125000 0.490000 ;
     END
   END la_data_out[118]
   PIN la_data_out[117]
@@ -1971,7 +1971,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1224.570000 0.000000 1224.710000 0.490000 ;
+        RECT 1580.485000 0.000000 1580.625000 0.490000 ;
     END
   END la_data_out[117]
   PIN la_data_out[116]
@@ -1979,7 +1979,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1221.080000 0.000000 1221.220000 0.490000 ;
+        RECT 1575.980000 0.000000 1576.120000 0.490000 ;
     END
   END la_data_out[116]
   PIN la_data_out[115]
@@ -1987,7 +1987,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1217.590000 0.000000 1217.730000 0.490000 ;
+        RECT 1571.475000 0.000000 1571.615000 0.490000 ;
     END
   END la_data_out[115]
   PIN la_data_out[114]
@@ -1995,7 +1995,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1214.100000 0.000000 1214.240000 0.490000 ;
+        RECT 1566.975000 0.000000 1567.115000 0.490000 ;
     END
   END la_data_out[114]
   PIN la_data_out[113]
@@ -2003,7 +2003,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1210.615000 0.000000 1210.755000 0.490000 ;
+        RECT 1562.470000 0.000000 1562.610000 0.490000 ;
     END
   END la_data_out[113]
   PIN la_data_out[112]
@@ -2011,7 +2011,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1207.125000 0.000000 1207.265000 0.490000 ;
+        RECT 1557.970000 0.000000 1558.110000 0.490000 ;
     END
   END la_data_out[112]
   PIN la_data_out[111]
@@ -2019,7 +2019,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1203.635000 0.000000 1203.775000 0.490000 ;
+        RECT 1553.465000 0.000000 1553.605000 0.490000 ;
     END
   END la_data_out[111]
   PIN la_data_out[110]
@@ -2027,7 +2027,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1200.145000 0.000000 1200.285000 0.490000 ;
+        RECT 1548.960000 0.000000 1549.100000 0.490000 ;
     END
   END la_data_out[110]
   PIN la_data_out[109]
@@ -2035,7 +2035,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1196.655000 0.000000 1196.795000 0.490000 ;
+        RECT 1544.460000 0.000000 1544.600000 0.490000 ;
     END
   END la_data_out[109]
   PIN la_data_out[108]
@@ -2043,7 +2043,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1193.170000 0.000000 1193.310000 0.490000 ;
+        RECT 1539.955000 0.000000 1540.095000 0.490000 ;
     END
   END la_data_out[108]
   PIN la_data_out[107]
@@ -2051,7 +2051,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1189.680000 0.000000 1189.820000 0.490000 ;
+        RECT 1535.455000 0.000000 1535.595000 0.490000 ;
     END
   END la_data_out[107]
   PIN la_data_out[106]
@@ -2059,7 +2059,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1186.190000 0.000000 1186.330000 0.490000 ;
+        RECT 1530.950000 0.000000 1531.090000 0.490000 ;
     END
   END la_data_out[106]
   PIN la_data_out[105]
@@ -2067,7 +2067,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1182.700000 0.000000 1182.840000 0.490000 ;
+        RECT 1526.445000 0.000000 1526.585000 0.490000 ;
     END
   END la_data_out[105]
   PIN la_data_out[104]
@@ -2075,7 +2075,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1179.210000 0.000000 1179.350000 0.490000 ;
+        RECT 1521.945000 0.000000 1522.085000 0.490000 ;
     END
   END la_data_out[104]
   PIN la_data_out[103]
@@ -2083,7 +2083,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1175.725000 0.000000 1175.865000 0.490000 ;
+        RECT 1517.440000 0.000000 1517.580000 0.490000 ;
     END
   END la_data_out[103]
   PIN la_data_out[102]
@@ -2091,7 +2091,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1172.235000 0.000000 1172.375000 0.490000 ;
+        RECT 1512.940000 0.000000 1513.080000 0.490000 ;
     END
   END la_data_out[102]
   PIN la_data_out[101]
@@ -2099,7 +2099,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1168.745000 0.000000 1168.885000 0.490000 ;
+        RECT 1508.435000 0.000000 1508.575000 0.490000 ;
     END
   END la_data_out[101]
   PIN la_data_out[100]
@@ -2107,7 +2107,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1165.255000 0.000000 1165.395000 0.490000 ;
+        RECT 1503.930000 0.000000 1504.070000 0.490000 ;
     END
   END la_data_out[100]
   PIN la_data_out[99]
@@ -2115,7 +2115,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1161.765000 0.000000 1161.905000 0.490000 ;
+        RECT 1499.430000 0.000000 1499.570000 0.490000 ;
     END
   END la_data_out[99]
   PIN la_data_out[98]
@@ -2123,7 +2123,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1158.280000 0.000000 1158.420000 0.490000 ;
+        RECT 1494.925000 0.000000 1495.065000 0.490000 ;
     END
   END la_data_out[98]
   PIN la_data_out[97]
@@ -2131,7 +2131,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1154.790000 0.000000 1154.930000 0.490000 ;
+        RECT 1490.425000 0.000000 1490.565000 0.490000 ;
     END
   END la_data_out[97]
   PIN la_data_out[96]
@@ -2139,7 +2139,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1151.300000 0.000000 1151.440000 0.490000 ;
+        RECT 1485.920000 0.000000 1486.060000 0.490000 ;
     END
   END la_data_out[96]
   PIN la_data_out[95]
@@ -2147,7 +2147,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1147.810000 0.000000 1147.950000 0.490000 ;
+        RECT 1481.415000 0.000000 1481.555000 0.490000 ;
     END
   END la_data_out[95]
   PIN la_data_out[94]
@@ -2155,7 +2155,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1144.320000 0.000000 1144.460000 0.490000 ;
+        RECT 1476.915000 0.000000 1477.055000 0.490000 ;
     END
   END la_data_out[94]
   PIN la_data_out[93]
@@ -2163,7 +2163,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1140.835000 0.000000 1140.975000 0.490000 ;
+        RECT 1472.410000 0.000000 1472.550000 0.490000 ;
     END
   END la_data_out[93]
   PIN la_data_out[92]
@@ -2171,7 +2171,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1137.345000 0.000000 1137.485000 0.490000 ;
+        RECT 1467.910000 0.000000 1468.050000 0.490000 ;
     END
   END la_data_out[92]
   PIN la_data_out[91]
@@ -2179,7 +2179,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1133.855000 0.000000 1133.995000 0.490000 ;
+        RECT 1463.405000 0.000000 1463.545000 0.490000 ;
     END
   END la_data_out[91]
   PIN la_data_out[90]
@@ -2187,7 +2187,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1130.365000 0.000000 1130.505000 0.490000 ;
+        RECT 1458.900000 0.000000 1459.040000 0.490000 ;
     END
   END la_data_out[90]
   PIN la_data_out[89]
@@ -2195,7 +2195,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1126.875000 0.000000 1127.015000 0.490000 ;
+        RECT 1454.400000 0.000000 1454.540000 0.490000 ;
     END
   END la_data_out[89]
   PIN la_data_out[88]
@@ -2203,7 +2203,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1123.390000 0.000000 1123.530000 0.490000 ;
+        RECT 1449.895000 0.000000 1450.035000 0.490000 ;
     END
   END la_data_out[88]
   PIN la_data_out[87]
@@ -2211,7 +2211,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1119.900000 0.000000 1120.040000 0.490000 ;
+        RECT 1445.395000 0.000000 1445.535000 0.490000 ;
     END
   END la_data_out[87]
   PIN la_data_out[86]
@@ -2219,7 +2219,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1116.410000 0.000000 1116.550000 0.490000 ;
+        RECT 1440.890000 0.000000 1441.030000 0.490000 ;
     END
   END la_data_out[86]
   PIN la_data_out[85]
@@ -2227,7 +2227,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1112.920000 0.000000 1113.060000 0.490000 ;
+        RECT 1436.385000 0.000000 1436.525000 0.490000 ;
     END
   END la_data_out[85]
   PIN la_data_out[84]
@@ -2235,7 +2235,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1109.430000 0.000000 1109.570000 0.490000 ;
+        RECT 1431.885000 0.000000 1432.025000 0.490000 ;
     END
   END la_data_out[84]
   PIN la_data_out[83]
@@ -2243,7 +2243,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1105.945000 0.000000 1106.085000 0.490000 ;
+        RECT 1427.380000 0.000000 1427.520000 0.490000 ;
     END
   END la_data_out[83]
   PIN la_data_out[82]
@@ -2251,7 +2251,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1102.455000 0.000000 1102.595000 0.490000 ;
+        RECT 1422.880000 0.000000 1423.020000 0.490000 ;
     END
   END la_data_out[82]
   PIN la_data_out[81]
@@ -2259,7 +2259,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1098.965000 0.000000 1099.105000 0.490000 ;
+        RECT 1418.375000 0.000000 1418.515000 0.490000 ;
     END
   END la_data_out[81]
   PIN la_data_out[80]
@@ -2267,7 +2267,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1095.475000 0.000000 1095.615000 0.490000 ;
+        RECT 1413.870000 0.000000 1414.010000 0.490000 ;
     END
   END la_data_out[80]
   PIN la_data_out[79]
@@ -2275,7 +2275,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1091.985000 0.000000 1092.125000 0.490000 ;
+        RECT 1409.370000 0.000000 1409.510000 0.490000 ;
     END
   END la_data_out[79]
   PIN la_data_out[78]
@@ -2283,7 +2283,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1088.500000 0.000000 1088.640000 0.490000 ;
+        RECT 1404.865000 0.000000 1405.005000 0.490000 ;
     END
   END la_data_out[78]
   PIN la_data_out[77]
@@ -2291,7 +2291,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1085.010000 0.000000 1085.150000 0.490000 ;
+        RECT 1400.365000 0.000000 1400.505000 0.490000 ;
     END
   END la_data_out[77]
   PIN la_data_out[76]
@@ -2299,7 +2299,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1081.520000 0.000000 1081.660000 0.490000 ;
+        RECT 1395.860000 0.000000 1396.000000 0.490000 ;
     END
   END la_data_out[76]
   PIN la_data_out[75]
@@ -2307,7 +2307,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1078.030000 0.000000 1078.170000 0.490000 ;
+        RECT 1391.355000 0.000000 1391.495000 0.490000 ;
     END
   END la_data_out[75]
   PIN la_data_out[74]
@@ -2315,7 +2315,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1074.540000 0.000000 1074.680000 0.490000 ;
+        RECT 1386.855000 0.000000 1386.995000 0.490000 ;
     END
   END la_data_out[74]
   PIN la_data_out[73]
@@ -2323,7 +2323,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1071.055000 0.000000 1071.195000 0.490000 ;
+        RECT 1382.350000 0.000000 1382.490000 0.490000 ;
     END
   END la_data_out[73]
   PIN la_data_out[72]
@@ -2331,7 +2331,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1067.565000 0.000000 1067.705000 0.490000 ;
+        RECT 1377.850000 0.000000 1377.990000 0.490000 ;
     END
   END la_data_out[72]
   PIN la_data_out[71]
@@ -2339,7 +2339,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1064.075000 0.000000 1064.215000 0.490000 ;
+        RECT 1373.345000 0.000000 1373.485000 0.490000 ;
     END
   END la_data_out[71]
   PIN la_data_out[70]
@@ -2347,7 +2347,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1060.585000 0.000000 1060.725000 0.490000 ;
+        RECT 1368.840000 0.000000 1368.980000 0.490000 ;
     END
   END la_data_out[70]
   PIN la_data_out[69]
@@ -2355,7 +2355,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1057.095000 0.000000 1057.235000 0.490000 ;
+        RECT 1364.340000 0.000000 1364.480000 0.490000 ;
     END
   END la_data_out[69]
   PIN la_data_out[68]
@@ -2363,7 +2363,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1053.610000 0.000000 1053.750000 0.490000 ;
+        RECT 1359.835000 0.000000 1359.975000 0.490000 ;
     END
   END la_data_out[68]
   PIN la_data_out[67]
@@ -2371,7 +2371,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1050.120000 0.000000 1050.260000 0.490000 ;
+        RECT 1355.335000 0.000000 1355.475000 0.490000 ;
     END
   END la_data_out[67]
   PIN la_data_out[66]
@@ -2379,7 +2379,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1046.630000 0.000000 1046.770000 0.490000 ;
+        RECT 1350.830000 0.000000 1350.970000 0.490000 ;
     END
   END la_data_out[66]
   PIN la_data_out[65]
@@ -2387,7 +2387,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1043.140000 0.000000 1043.280000 0.490000 ;
+        RECT 1346.325000 0.000000 1346.465000 0.490000 ;
     END
   END la_data_out[65]
   PIN la_data_out[64]
@@ -2395,7 +2395,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1039.650000 0.000000 1039.790000 0.490000 ;
+        RECT 1341.825000 0.000000 1341.965000 0.490000 ;
     END
   END la_data_out[64]
   PIN la_data_out[63]
@@ -2403,7 +2403,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1036.165000 0.000000 1036.305000 0.490000 ;
+        RECT 1337.320000 0.000000 1337.460000 0.490000 ;
     END
   END la_data_out[63]
   PIN la_data_out[62]
@@ -2411,7 +2411,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1032.675000 0.000000 1032.815000 0.490000 ;
+        RECT 1332.820000 0.000000 1332.960000 0.490000 ;
     END
   END la_data_out[62]
   PIN la_data_out[61]
@@ -2419,7 +2419,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1029.185000 0.000000 1029.325000 0.490000 ;
+        RECT 1328.315000 0.000000 1328.455000 0.490000 ;
     END
   END la_data_out[61]
   PIN la_data_out[60]
@@ -2427,7 +2427,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1025.695000 0.000000 1025.835000 0.490000 ;
+        RECT 1323.810000 0.000000 1323.950000 0.490000 ;
     END
   END la_data_out[60]
   PIN la_data_out[59]
@@ -2435,7 +2435,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1022.205000 0.000000 1022.345000 0.490000 ;
+        RECT 1319.310000 0.000000 1319.450000 0.490000 ;
     END
   END la_data_out[59]
   PIN la_data_out[58]
@@ -2443,7 +2443,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1018.720000 0.000000 1018.860000 0.490000 ;
+        RECT 1314.805000 0.000000 1314.945000 0.490000 ;
     END
   END la_data_out[58]
   PIN la_data_out[57]
@@ -2451,7 +2451,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1015.230000 0.000000 1015.370000 0.490000 ;
+        RECT 1310.305000 0.000000 1310.445000 0.490000 ;
     END
   END la_data_out[57]
   PIN la_data_out[56]
@@ -2459,7 +2459,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1011.740000 0.000000 1011.880000 0.490000 ;
+        RECT 1305.800000 0.000000 1305.940000 0.490000 ;
     END
   END la_data_out[56]
   PIN la_data_out[55]
@@ -2467,7 +2467,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1008.250000 0.000000 1008.390000 0.490000 ;
+        RECT 1301.295000 0.000000 1301.435000 0.490000 ;
     END
   END la_data_out[55]
   PIN la_data_out[54]
@@ -2475,7 +2475,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1004.760000 0.000000 1004.900000 0.490000 ;
+        RECT 1296.795000 0.000000 1296.935000 0.490000 ;
     END
   END la_data_out[54]
   PIN la_data_out[53]
@@ -2483,7 +2483,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1001.275000 0.000000 1001.415000 0.490000 ;
+        RECT 1292.290000 0.000000 1292.430000 0.490000 ;
     END
   END la_data_out[53]
   PIN la_data_out[52]
@@ -2491,7 +2491,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 997.785000 0.000000 997.925000 0.490000 ;
+        RECT 1287.790000 0.000000 1287.930000 0.490000 ;
     END
   END la_data_out[52]
   PIN la_data_out[51]
@@ -2499,7 +2499,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 994.295000 0.000000 994.435000 0.490000 ;
+        RECT 1283.285000 0.000000 1283.425000 0.490000 ;
     END
   END la_data_out[51]
   PIN la_data_out[50]
@@ -2507,7 +2507,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 990.805000 0.000000 990.945000 0.490000 ;
+        RECT 1278.780000 0.000000 1278.920000 0.490000 ;
     END
   END la_data_out[50]
   PIN la_data_out[49]
@@ -2515,7 +2515,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 987.315000 0.000000 987.455000 0.490000 ;
+        RECT 1274.280000 0.000000 1274.420000 0.490000 ;
     END
   END la_data_out[49]
   PIN la_data_out[48]
@@ -2523,7 +2523,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 983.830000 0.000000 983.970000 0.490000 ;
+        RECT 1269.775000 0.000000 1269.915000 0.490000 ;
     END
   END la_data_out[48]
   PIN la_data_out[47]
@@ -2531,7 +2531,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 980.340000 0.000000 980.480000 0.490000 ;
+        RECT 1265.275000 0.000000 1265.415000 0.490000 ;
     END
   END la_data_out[47]
   PIN la_data_out[46]
@@ -2539,7 +2539,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 976.850000 0.000000 976.990000 0.490000 ;
+        RECT 1260.770000 0.000000 1260.910000 0.490000 ;
     END
   END la_data_out[46]
   PIN la_data_out[45]
@@ -2547,7 +2547,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 973.360000 0.000000 973.500000 0.490000 ;
+        RECT 1256.265000 0.000000 1256.405000 0.490000 ;
     END
   END la_data_out[45]
   PIN la_data_out[44]
@@ -2555,7 +2555,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 969.870000 0.000000 970.010000 0.490000 ;
+        RECT 1251.765000 0.000000 1251.905000 0.490000 ;
     END
   END la_data_out[44]
   PIN la_data_out[43]
@@ -2563,7 +2563,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 966.385000 0.000000 966.525000 0.490000 ;
+        RECT 1247.260000 0.000000 1247.400000 0.490000 ;
     END
   END la_data_out[43]
   PIN la_data_out[42]
@@ -2571,7 +2571,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 962.895000 0.000000 963.035000 0.490000 ;
+        RECT 1242.760000 0.000000 1242.900000 0.490000 ;
     END
   END la_data_out[42]
   PIN la_data_out[41]
@@ -2579,7 +2579,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 959.405000 0.000000 959.545000 0.490000 ;
+        RECT 1238.255000 0.000000 1238.395000 0.490000 ;
     END
   END la_data_out[41]
   PIN la_data_out[40]
@@ -2587,7 +2587,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 955.915000 0.000000 956.055000 0.490000 ;
+        RECT 1233.750000 0.000000 1233.890000 0.490000 ;
     END
   END la_data_out[40]
   PIN la_data_out[39]
@@ -2595,7 +2595,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 952.425000 0.000000 952.565000 0.490000 ;
+        RECT 1229.250000 0.000000 1229.390000 0.490000 ;
     END
   END la_data_out[39]
   PIN la_data_out[38]
@@ -2603,7 +2603,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 948.940000 0.000000 949.080000 0.490000 ;
+        RECT 1224.745000 0.000000 1224.885000 0.490000 ;
     END
   END la_data_out[38]
   PIN la_data_out[37]
@@ -2611,7 +2611,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 945.450000 0.000000 945.590000 0.490000 ;
+        RECT 1220.245000 0.000000 1220.385000 0.490000 ;
     END
   END la_data_out[37]
   PIN la_data_out[36]
@@ -2619,7 +2619,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 941.960000 0.000000 942.100000 0.490000 ;
+        RECT 1215.740000 0.000000 1215.880000 0.490000 ;
     END
   END la_data_out[36]
   PIN la_data_out[35]
@@ -2627,7 +2627,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 938.470000 0.000000 938.610000 0.490000 ;
+        RECT 1211.235000 0.000000 1211.375000 0.490000 ;
     END
   END la_data_out[35]
   PIN la_data_out[34]
@@ -2635,7 +2635,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 934.980000 0.000000 935.120000 0.490000 ;
+        RECT 1206.735000 0.000000 1206.875000 0.490000 ;
     END
   END la_data_out[34]
   PIN la_data_out[33]
@@ -2643,7 +2643,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 931.495000 0.000000 931.635000 0.490000 ;
+        RECT 1202.230000 0.000000 1202.370000 0.490000 ;
     END
   END la_data_out[33]
   PIN la_data_out[32]
@@ -2651,7 +2651,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 928.005000 0.000000 928.145000 0.490000 ;
+        RECT 1197.730000 0.000000 1197.870000 0.490000 ;
     END
   END la_data_out[32]
   PIN la_data_out[31]
@@ -2659,7 +2659,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 924.515000 0.000000 924.655000 0.490000 ;
+        RECT 1193.225000 0.000000 1193.365000 0.490000 ;
     END
   END la_data_out[31]
   PIN la_data_out[30]
@@ -2667,7 +2667,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 921.025000 0.000000 921.165000 0.490000 ;
+        RECT 1188.720000 0.000000 1188.860000 0.490000 ;
     END
   END la_data_out[30]
   PIN la_data_out[29]
@@ -2675,7 +2675,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 917.535000 0.000000 917.675000 0.490000 ;
+        RECT 1184.220000 0.000000 1184.360000 0.490000 ;
     END
   END la_data_out[29]
   PIN la_data_out[28]
@@ -2683,7 +2683,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 914.050000 0.000000 914.190000 0.490000 ;
+        RECT 1179.715000 0.000000 1179.855000 0.490000 ;
     END
   END la_data_out[28]
   PIN la_data_out[27]
@@ -2691,7 +2691,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 910.560000 0.000000 910.700000 0.490000 ;
+        RECT 1175.215000 0.000000 1175.355000 0.490000 ;
     END
   END la_data_out[27]
   PIN la_data_out[26]
@@ -2699,7 +2699,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 907.070000 0.000000 907.210000 0.490000 ;
+        RECT 1170.710000 0.000000 1170.850000 0.490000 ;
     END
   END la_data_out[26]
   PIN la_data_out[25]
@@ -2707,7 +2707,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 903.580000 0.000000 903.720000 0.490000 ;
+        RECT 1166.205000 0.000000 1166.345000 0.490000 ;
     END
   END la_data_out[25]
   PIN la_data_out[24]
@@ -2715,7 +2715,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 900.090000 0.000000 900.230000 0.490000 ;
+        RECT 1161.705000 0.000000 1161.845000 0.490000 ;
     END
   END la_data_out[24]
   PIN la_data_out[23]
@@ -2723,7 +2723,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 896.605000 0.000000 896.745000 0.490000 ;
+        RECT 1157.200000 0.000000 1157.340000 0.490000 ;
     END
   END la_data_out[23]
   PIN la_data_out[22]
@@ -2731,7 +2731,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 893.115000 0.000000 893.255000 0.490000 ;
+        RECT 1152.700000 0.000000 1152.840000 0.490000 ;
     END
   END la_data_out[22]
   PIN la_data_out[21]
@@ -2739,7 +2739,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 889.625000 0.000000 889.765000 0.490000 ;
+        RECT 1148.195000 0.000000 1148.335000 0.490000 ;
     END
   END la_data_out[21]
   PIN la_data_out[20]
@@ -2747,7 +2747,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 886.135000 0.000000 886.275000 0.490000 ;
+        RECT 1143.690000 0.000000 1143.830000 0.490000 ;
     END
   END la_data_out[20]
   PIN la_data_out[19]
@@ -2755,7 +2755,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 882.645000 0.000000 882.785000 0.490000 ;
+        RECT 1139.190000 0.000000 1139.330000 0.490000 ;
     END
   END la_data_out[19]
   PIN la_data_out[18]
@@ -2763,7 +2763,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 879.160000 0.000000 879.300000 0.490000 ;
+        RECT 1134.685000 0.000000 1134.825000 0.490000 ;
     END
   END la_data_out[18]
   PIN la_data_out[17]
@@ -2771,7 +2771,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 875.670000 0.000000 875.810000 0.490000 ;
+        RECT 1130.185000 0.000000 1130.325000 0.490000 ;
     END
   END la_data_out[17]
   PIN la_data_out[16]
@@ -2779,7 +2779,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 872.180000 0.000000 872.320000 0.490000 ;
+        RECT 1125.680000 0.000000 1125.820000 0.490000 ;
     END
   END la_data_out[16]
   PIN la_data_out[15]
@@ -2787,7 +2787,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 868.690000 0.000000 868.830000 0.490000 ;
+        RECT 1121.175000 0.000000 1121.315000 0.490000 ;
     END
   END la_data_out[15]
   PIN la_data_out[14]
@@ -2795,7 +2795,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 865.200000 0.000000 865.340000 0.490000 ;
+        RECT 1116.675000 0.000000 1116.815000 0.490000 ;
     END
   END la_data_out[14]
   PIN la_data_out[13]
@@ -2803,7 +2803,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 861.715000 0.000000 861.855000 0.490000 ;
+        RECT 1112.170000 0.000000 1112.310000 0.490000 ;
     END
   END la_data_out[13]
   PIN la_data_out[12]
@@ -2811,7 +2811,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 858.225000 0.000000 858.365000 0.490000 ;
+        RECT 1107.670000 0.000000 1107.810000 0.490000 ;
     END
   END la_data_out[12]
   PIN la_data_out[11]
@@ -2819,7 +2819,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 854.735000 0.000000 854.875000 0.490000 ;
+        RECT 1103.165000 0.000000 1103.305000 0.490000 ;
     END
   END la_data_out[11]
   PIN la_data_out[10]
@@ -2827,7 +2827,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 851.245000 0.000000 851.385000 0.490000 ;
+        RECT 1098.660000 0.000000 1098.800000 0.490000 ;
     END
   END la_data_out[10]
   PIN la_data_out[9]
@@ -2835,7 +2835,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 847.755000 0.000000 847.895000 0.490000 ;
+        RECT 1094.160000 0.000000 1094.300000 0.490000 ;
     END
   END la_data_out[9]
   PIN la_data_out[8]
@@ -2843,7 +2843,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 844.270000 0.000000 844.410000 0.490000 ;
+        RECT 1089.655000 0.000000 1089.795000 0.490000 ;
     END
   END la_data_out[8]
   PIN la_data_out[7]
@@ -2851,7 +2851,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 840.780000 0.000000 840.920000 0.490000 ;
+        RECT 1085.155000 0.000000 1085.295000 0.490000 ;
     END
   END la_data_out[7]
   PIN la_data_out[6]
@@ -2859,7 +2859,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 837.290000 0.000000 837.430000 0.490000 ;
+        RECT 1080.650000 0.000000 1080.790000 0.490000 ;
     END
   END la_data_out[6]
   PIN la_data_out[5]
@@ -2867,7 +2867,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 833.800000 0.000000 833.940000 0.490000 ;
+        RECT 1076.145000 0.000000 1076.285000 0.490000 ;
     END
   END la_data_out[5]
   PIN la_data_out[4]
@@ -2875,7 +2875,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 830.310000 0.000000 830.450000 0.490000 ;
+        RECT 1071.645000 0.000000 1071.785000 0.490000 ;
     END
   END la_data_out[4]
   PIN la_data_out[3]
@@ -2883,7 +2883,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 826.825000 0.000000 826.965000 0.490000 ;
+        RECT 1067.140000 0.000000 1067.280000 0.490000 ;
     END
   END la_data_out[3]
   PIN la_data_out[2]
@@ -2891,7 +2891,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 823.335000 0.000000 823.475000 0.490000 ;
+        RECT 1062.640000 0.000000 1062.780000 0.490000 ;
     END
   END la_data_out[2]
   PIN la_data_out[1]
@@ -2899,7 +2899,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 819.845000 0.000000 819.985000 0.490000 ;
+        RECT 1058.135000 0.000000 1058.275000 0.490000 ;
     END
   END la_data_out[1]
   PIN la_data_out[0]
@@ -2907,7 +2907,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 816.355000 0.000000 816.495000 0.490000 ;
+        RECT 1053.630000 0.000000 1053.770000 0.490000 ;
     END
   END la_data_out[0]
   PIN la_oenb[127]
@@ -2915,7 +2915,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1706.050000 0.000000 1706.190000 0.490000 ;
+        RECT 2201.895000 0.000000 2202.035000 0.490000 ;
     END
   END la_oenb[127]
   PIN la_oenb[126]
@@ -2923,7 +2923,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1702.560000 0.000000 1702.700000 0.490000 ;
+        RECT 2197.395000 0.000000 2197.535000 0.490000 ;
     END
   END la_oenb[126]
   PIN la_oenb[125]
@@ -2931,7 +2931,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1699.075000 0.000000 1699.215000 0.490000 ;
+        RECT 2192.890000 0.000000 2193.030000 0.490000 ;
     END
   END la_oenb[125]
   PIN la_oenb[124]
@@ -2939,7 +2939,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1695.585000 0.000000 1695.725000 0.490000 ;
+        RECT 2188.390000 0.000000 2188.530000 0.490000 ;
     END
   END la_oenb[124]
   PIN la_oenb[123]
@@ -2947,7 +2947,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1692.095000 0.000000 1692.235000 0.490000 ;
+        RECT 2183.885000 0.000000 2184.025000 0.490000 ;
     END
   END la_oenb[123]
   PIN la_oenb[122]
@@ -2955,7 +2955,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1688.605000 0.000000 1688.745000 0.490000 ;
+        RECT 2179.380000 0.000000 2179.520000 0.490000 ;
     END
   END la_oenb[122]
   PIN la_oenb[121]
@@ -2963,7 +2963,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1685.115000 0.000000 1685.255000 0.490000 ;
+        RECT 2174.880000 0.000000 2175.020000 0.490000 ;
     END
   END la_oenb[121]
   PIN la_oenb[120]
@@ -2971,7 +2971,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1681.630000 0.000000 1681.770000 0.490000 ;
+        RECT 2170.375000 0.000000 2170.515000 0.490000 ;
     END
   END la_oenb[120]
   PIN la_oenb[119]
@@ -2979,7 +2979,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1678.140000 0.000000 1678.280000 0.490000 ;
+        RECT 2165.875000 0.000000 2166.015000 0.490000 ;
     END
   END la_oenb[119]
   PIN la_oenb[118]
@@ -2987,7 +2987,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1674.650000 0.000000 1674.790000 0.490000 ;
+        RECT 2161.370000 0.000000 2161.510000 0.490000 ;
     END
   END la_oenb[118]
   PIN la_oenb[117]
@@ -2995,7 +2995,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1671.160000 0.000000 1671.300000 0.490000 ;
+        RECT 2156.865000 0.000000 2157.005000 0.490000 ;
     END
   END la_oenb[117]
   PIN la_oenb[116]
@@ -3003,7 +3003,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1667.670000 0.000000 1667.810000 0.490000 ;
+        RECT 2152.365000 0.000000 2152.505000 0.490000 ;
     END
   END la_oenb[116]
   PIN la_oenb[115]
@@ -3011,7 +3011,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1664.185000 0.000000 1664.325000 0.490000 ;
+        RECT 2147.860000 0.000000 2148.000000 0.490000 ;
     END
   END la_oenb[115]
   PIN la_oenb[114]
@@ -3019,7 +3019,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1660.695000 0.000000 1660.835000 0.490000 ;
+        RECT 2143.360000 0.000000 2143.500000 0.490000 ;
     END
   END la_oenb[114]
   PIN la_oenb[113]
@@ -3027,7 +3027,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1657.205000 0.000000 1657.345000 0.490000 ;
+        RECT 2138.855000 0.000000 2138.995000 0.490000 ;
     END
   END la_oenb[113]
   PIN la_oenb[112]
@@ -3035,7 +3035,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1653.715000 0.000000 1653.855000 0.490000 ;
+        RECT 2134.350000 0.000000 2134.490000 0.490000 ;
     END
   END la_oenb[112]
   PIN la_oenb[111]
@@ -3043,7 +3043,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1650.225000 0.000000 1650.365000 0.490000 ;
+        RECT 2129.850000 0.000000 2129.990000 0.490000 ;
     END
   END la_oenb[111]
   PIN la_oenb[110]
@@ -3051,7 +3051,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1646.740000 0.000000 1646.880000 0.490000 ;
+        RECT 2125.345000 0.000000 2125.485000 0.490000 ;
     END
   END la_oenb[110]
   PIN la_oenb[109]
@@ -3059,7 +3059,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1643.250000 0.000000 1643.390000 0.490000 ;
+        RECT 2120.845000 0.000000 2120.985000 0.490000 ;
     END
   END la_oenb[109]
   PIN la_oenb[108]
@@ -3067,7 +3067,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1639.760000 0.000000 1639.900000 0.490000 ;
+        RECT 2116.340000 0.000000 2116.480000 0.490000 ;
     END
   END la_oenb[108]
   PIN la_oenb[107]
@@ -3075,7 +3075,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1636.270000 0.000000 1636.410000 0.490000 ;
+        RECT 2111.835000 0.000000 2111.975000 0.490000 ;
     END
   END la_oenb[107]
   PIN la_oenb[106]
@@ -3083,7 +3083,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1632.780000 0.000000 1632.920000 0.490000 ;
+        RECT 2107.335000 0.000000 2107.475000 0.490000 ;
     END
   END la_oenb[106]
   PIN la_oenb[105]
@@ -3091,7 +3091,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1629.295000 0.000000 1629.435000 0.490000 ;
+        RECT 2102.830000 0.000000 2102.970000 0.490000 ;
     END
   END la_oenb[105]
   PIN la_oenb[104]
@@ -3099,7 +3099,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1625.805000 0.000000 1625.945000 0.490000 ;
+        RECT 2098.330000 0.000000 2098.470000 0.490000 ;
     END
   END la_oenb[104]
   PIN la_oenb[103]
@@ -3107,7 +3107,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1622.315000 0.000000 1622.455000 0.490000 ;
+        RECT 2093.825000 0.000000 2093.965000 0.490000 ;
     END
   END la_oenb[103]
   PIN la_oenb[102]
@@ -3115,7 +3115,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1618.825000 0.000000 1618.965000 0.490000 ;
+        RECT 2089.320000 0.000000 2089.460000 0.490000 ;
     END
   END la_oenb[102]
   PIN la_oenb[101]
@@ -3123,7 +3123,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1615.335000 0.000000 1615.475000 0.490000 ;
+        RECT 2084.820000 0.000000 2084.960000 0.490000 ;
     END
   END la_oenb[101]
   PIN la_oenb[100]
@@ -3131,7 +3131,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1611.850000 0.000000 1611.990000 0.490000 ;
+        RECT 2080.315000 0.000000 2080.455000 0.490000 ;
     END
   END la_oenb[100]
   PIN la_oenb[99]
@@ -3139,7 +3139,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1608.360000 0.000000 1608.500000 0.490000 ;
+        RECT 2075.815000 0.000000 2075.955000 0.490000 ;
     END
   END la_oenb[99]
   PIN la_oenb[98]
@@ -3147,7 +3147,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1604.870000 0.000000 1605.010000 0.490000 ;
+        RECT 2071.310000 0.000000 2071.450000 0.490000 ;
     END
   END la_oenb[98]
   PIN la_oenb[97]
@@ -3155,7 +3155,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1601.380000 0.000000 1601.520000 0.490000 ;
+        RECT 2066.805000 0.000000 2066.945000 0.490000 ;
     END
   END la_oenb[97]
   PIN la_oenb[96]
@@ -3163,7 +3163,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1597.890000 0.000000 1598.030000 0.490000 ;
+        RECT 2062.305000 0.000000 2062.445000 0.490000 ;
     END
   END la_oenb[96]
   PIN la_oenb[95]
@@ -3171,7 +3171,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1594.405000 0.000000 1594.545000 0.490000 ;
+        RECT 2057.800000 0.000000 2057.940000 0.490000 ;
     END
   END la_oenb[95]
   PIN la_oenb[94]
@@ -3179,7 +3179,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1590.915000 0.000000 1591.055000 0.490000 ;
+        RECT 2053.300000 0.000000 2053.440000 0.490000 ;
     END
   END la_oenb[94]
   PIN la_oenb[93]
@@ -3187,7 +3187,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1587.425000 0.000000 1587.565000 0.490000 ;
+        RECT 2048.795000 0.000000 2048.935000 0.490000 ;
     END
   END la_oenb[93]
   PIN la_oenb[92]
@@ -3195,7 +3195,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1583.935000 0.000000 1584.075000 0.490000 ;
+        RECT 2044.290000 0.000000 2044.430000 0.490000 ;
     END
   END la_oenb[92]
   PIN la_oenb[91]
@@ -3203,7 +3203,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1580.445000 0.000000 1580.585000 0.490000 ;
+        RECT 2039.790000 0.000000 2039.930000 0.490000 ;
     END
   END la_oenb[91]
   PIN la_oenb[90]
@@ -3211,7 +3211,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1576.960000 0.000000 1577.100000 0.490000 ;
+        RECT 2035.285000 0.000000 2035.425000 0.490000 ;
     END
   END la_oenb[90]
   PIN la_oenb[89]
@@ -3219,7 +3219,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1573.470000 0.000000 1573.610000 0.490000 ;
+        RECT 2030.785000 0.000000 2030.925000 0.490000 ;
     END
   END la_oenb[89]
   PIN la_oenb[88]
@@ -3227,7 +3227,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1569.980000 0.000000 1570.120000 0.490000 ;
+        RECT 2026.280000 0.000000 2026.420000 0.490000 ;
     END
   END la_oenb[88]
   PIN la_oenb[87]
@@ -3235,7 +3235,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1566.490000 0.000000 1566.630000 0.490000 ;
+        RECT 2021.775000 0.000000 2021.915000 0.490000 ;
     END
   END la_oenb[87]
   PIN la_oenb[86]
@@ -3243,7 +3243,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1563.000000 0.000000 1563.140000 0.490000 ;
+        RECT 2017.275000 0.000000 2017.415000 0.490000 ;
     END
   END la_oenb[86]
   PIN la_oenb[85]
@@ -3251,7 +3251,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1559.515000 0.000000 1559.655000 0.490000 ;
+        RECT 2012.770000 0.000000 2012.910000 0.490000 ;
     END
   END la_oenb[85]
   PIN la_oenb[84]
@@ -3259,7 +3259,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1556.025000 0.000000 1556.165000 0.490000 ;
+        RECT 2008.270000 0.000000 2008.410000 0.490000 ;
     END
   END la_oenb[84]
   PIN la_oenb[83]
@@ -3267,7 +3267,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1552.535000 0.000000 1552.675000 0.490000 ;
+        RECT 2003.765000 0.000000 2003.905000 0.490000 ;
     END
   END la_oenb[83]
   PIN la_oenb[82]
@@ -3275,7 +3275,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1549.045000 0.000000 1549.185000 0.490000 ;
+        RECT 1999.260000 0.000000 1999.400000 0.490000 ;
     END
   END la_oenb[82]
   PIN la_oenb[81]
@@ -3283,7 +3283,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1545.555000 0.000000 1545.695000 0.490000 ;
+        RECT 1994.760000 0.000000 1994.900000 0.490000 ;
     END
   END la_oenb[81]
   PIN la_oenb[80]
@@ -3291,7 +3291,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1542.070000 0.000000 1542.210000 0.490000 ;
+        RECT 1990.255000 0.000000 1990.395000 0.490000 ;
     END
   END la_oenb[80]
   PIN la_oenb[79]
@@ -3299,7 +3299,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1538.580000 0.000000 1538.720000 0.490000 ;
+        RECT 1985.755000 0.000000 1985.895000 0.490000 ;
     END
   END la_oenb[79]
   PIN la_oenb[78]
@@ -3307,7 +3307,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1535.090000 0.000000 1535.230000 0.490000 ;
+        RECT 1981.250000 0.000000 1981.390000 0.490000 ;
     END
   END la_oenb[78]
   PIN la_oenb[77]
@@ -3315,7 +3315,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1531.600000 0.000000 1531.740000 0.490000 ;
+        RECT 1976.745000 0.000000 1976.885000 0.490000 ;
     END
   END la_oenb[77]
   PIN la_oenb[76]
@@ -3323,7 +3323,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1528.110000 0.000000 1528.250000 0.490000 ;
+        RECT 1972.245000 0.000000 1972.385000 0.490000 ;
     END
   END la_oenb[76]
   PIN la_oenb[75]
@@ -3331,7 +3331,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1524.625000 0.000000 1524.765000 0.490000 ;
+        RECT 1967.740000 0.000000 1967.880000 0.490000 ;
     END
   END la_oenb[75]
   PIN la_oenb[74]
@@ -3339,7 +3339,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1521.135000 0.000000 1521.275000 0.490000 ;
+        RECT 1963.240000 0.000000 1963.380000 0.490000 ;
     END
   END la_oenb[74]
   PIN la_oenb[73]
@@ -3347,7 +3347,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1517.645000 0.000000 1517.785000 0.490000 ;
+        RECT 1958.735000 0.000000 1958.875000 0.490000 ;
     END
   END la_oenb[73]
   PIN la_oenb[72]
@@ -3355,7 +3355,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1514.155000 0.000000 1514.295000 0.490000 ;
+        RECT 1954.230000 0.000000 1954.370000 0.490000 ;
     END
   END la_oenb[72]
   PIN la_oenb[71]
@@ -3363,7 +3363,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1510.665000 0.000000 1510.805000 0.490000 ;
+        RECT 1949.730000 0.000000 1949.870000 0.490000 ;
     END
   END la_oenb[71]
   PIN la_oenb[70]
@@ -3371,7 +3371,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1507.180000 0.000000 1507.320000 0.490000 ;
+        RECT 1945.225000 0.000000 1945.365000 0.490000 ;
     END
   END la_oenb[70]
   PIN la_oenb[69]
@@ -3379,7 +3379,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1503.690000 0.000000 1503.830000 0.490000 ;
+        RECT 1940.725000 0.000000 1940.865000 0.490000 ;
     END
   END la_oenb[69]
   PIN la_oenb[68]
@@ -3387,7 +3387,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1500.200000 0.000000 1500.340000 0.490000 ;
+        RECT 1936.220000 0.000000 1936.360000 0.490000 ;
     END
   END la_oenb[68]
   PIN la_oenb[67]
@@ -3395,7 +3395,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1496.710000 0.000000 1496.850000 0.490000 ;
+        RECT 1931.715000 0.000000 1931.855000 0.490000 ;
     END
   END la_oenb[67]
   PIN la_oenb[66]
@@ -3403,7 +3403,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1493.220000 0.000000 1493.360000 0.490000 ;
+        RECT 1927.215000 0.000000 1927.355000 0.490000 ;
     END
   END la_oenb[66]
   PIN la_oenb[65]
@@ -3411,7 +3411,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1489.735000 0.000000 1489.875000 0.490000 ;
+        RECT 1922.710000 0.000000 1922.850000 0.490000 ;
     END
   END la_oenb[65]
   PIN la_oenb[64]
@@ -3419,7 +3419,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1486.245000 0.000000 1486.385000 0.490000 ;
+        RECT 1918.210000 0.000000 1918.350000 0.490000 ;
     END
   END la_oenb[64]
   PIN la_oenb[63]
@@ -3427,7 +3427,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1482.755000 0.000000 1482.895000 0.490000 ;
+        RECT 1913.705000 0.000000 1913.845000 0.490000 ;
     END
   END la_oenb[63]
   PIN la_oenb[62]
@@ -3435,7 +3435,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1479.265000 0.000000 1479.405000 0.490000 ;
+        RECT 1909.200000 0.000000 1909.340000 0.490000 ;
     END
   END la_oenb[62]
   PIN la_oenb[61]
@@ -3443,7 +3443,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1475.775000 0.000000 1475.915000 0.490000 ;
+        RECT 1904.700000 0.000000 1904.840000 0.490000 ;
     END
   END la_oenb[61]
   PIN la_oenb[60]
@@ -3451,7 +3451,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1472.290000 0.000000 1472.430000 0.490000 ;
+        RECT 1900.195000 0.000000 1900.335000 0.490000 ;
     END
   END la_oenb[60]
   PIN la_oenb[59]
@@ -3459,7 +3459,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1468.800000 0.000000 1468.940000 0.490000 ;
+        RECT 1895.695000 0.000000 1895.835000 0.490000 ;
     END
   END la_oenb[59]
   PIN la_oenb[58]
@@ -3467,7 +3467,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1465.310000 0.000000 1465.450000 0.490000 ;
+        RECT 1891.190000 0.000000 1891.330000 0.490000 ;
     END
   END la_oenb[58]
   PIN la_oenb[57]
@@ -3475,7 +3475,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1461.820000 0.000000 1461.960000 0.490000 ;
+        RECT 1886.685000 0.000000 1886.825000 0.490000 ;
     END
   END la_oenb[57]
   PIN la_oenb[56]
@@ -3483,7 +3483,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1458.330000 0.000000 1458.470000 0.490000 ;
+        RECT 1882.185000 0.000000 1882.325000 0.490000 ;
     END
   END la_oenb[56]
   PIN la_oenb[55]
@@ -3491,7 +3491,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1454.845000 0.000000 1454.985000 0.490000 ;
+        RECT 1877.680000 0.000000 1877.820000 0.490000 ;
     END
   END la_oenb[55]
   PIN la_oenb[54]
@@ -3499,7 +3499,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1451.355000 0.000000 1451.495000 0.490000 ;
+        RECT 1873.180000 0.000000 1873.320000 0.490000 ;
     END
   END la_oenb[54]
   PIN la_oenb[53]
@@ -3507,7 +3507,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1447.865000 0.000000 1448.005000 0.490000 ;
+        RECT 1868.675000 0.000000 1868.815000 0.490000 ;
     END
   END la_oenb[53]
   PIN la_oenb[52]
@@ -3515,7 +3515,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1444.375000 0.000000 1444.515000 0.490000 ;
+        RECT 1864.170000 0.000000 1864.310000 0.490000 ;
     END
   END la_oenb[52]
   PIN la_oenb[51]
@@ -3523,7 +3523,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1440.885000 0.000000 1441.025000 0.490000 ;
+        RECT 1859.670000 0.000000 1859.810000 0.490000 ;
     END
   END la_oenb[51]
   PIN la_oenb[50]
@@ -3531,7 +3531,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1437.400000 0.000000 1437.540000 0.490000 ;
+        RECT 1855.165000 0.000000 1855.305000 0.490000 ;
     END
   END la_oenb[50]
   PIN la_oenb[49]
@@ -3539,7 +3539,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1433.910000 0.000000 1434.050000 0.490000 ;
+        RECT 1850.665000 0.000000 1850.805000 0.490000 ;
     END
   END la_oenb[49]
   PIN la_oenb[48]
@@ -3547,7 +3547,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1430.420000 0.000000 1430.560000 0.490000 ;
+        RECT 1846.160000 0.000000 1846.300000 0.490000 ;
     END
   END la_oenb[48]
   PIN la_oenb[47]
@@ -3555,7 +3555,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1426.930000 0.000000 1427.070000 0.490000 ;
+        RECT 1841.655000 0.000000 1841.795000 0.490000 ;
     END
   END la_oenb[47]
   PIN la_oenb[46]
@@ -3563,7 +3563,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1423.440000 0.000000 1423.580000 0.490000 ;
+        RECT 1837.155000 0.000000 1837.295000 0.490000 ;
     END
   END la_oenb[46]
   PIN la_oenb[45]
@@ -3571,7 +3571,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1419.955000 0.000000 1420.095000 0.490000 ;
+        RECT 1832.650000 0.000000 1832.790000 0.490000 ;
     END
   END la_oenb[45]
   PIN la_oenb[44]
@@ -3579,7 +3579,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1416.465000 0.000000 1416.605000 0.490000 ;
+        RECT 1828.150000 0.000000 1828.290000 0.490000 ;
     END
   END la_oenb[44]
   PIN la_oenb[43]
@@ -3587,7 +3587,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1412.975000 0.000000 1413.115000 0.490000 ;
+        RECT 1823.645000 0.000000 1823.785000 0.490000 ;
     END
   END la_oenb[43]
   PIN la_oenb[42]
@@ -3595,7 +3595,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1409.485000 0.000000 1409.625000 0.490000 ;
+        RECT 1819.140000 0.000000 1819.280000 0.490000 ;
     END
   END la_oenb[42]
   PIN la_oenb[41]
@@ -3603,7 +3603,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1405.995000 0.000000 1406.135000 0.490000 ;
+        RECT 1814.640000 0.000000 1814.780000 0.490000 ;
     END
   END la_oenb[41]
   PIN la_oenb[40]
@@ -3611,7 +3611,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1402.510000 0.000000 1402.650000 0.490000 ;
+        RECT 1810.135000 0.000000 1810.275000 0.490000 ;
     END
   END la_oenb[40]
   PIN la_oenb[39]
@@ -3619,7 +3619,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1399.020000 0.000000 1399.160000 0.490000 ;
+        RECT 1805.635000 0.000000 1805.775000 0.490000 ;
     END
   END la_oenb[39]
   PIN la_oenb[38]
@@ -3627,7 +3627,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1395.530000 0.000000 1395.670000 0.490000 ;
+        RECT 1801.130000 0.000000 1801.270000 0.490000 ;
     END
   END la_oenb[38]
   PIN la_oenb[37]
@@ -3635,7 +3635,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1392.040000 0.000000 1392.180000 0.490000 ;
+        RECT 1796.625000 0.000000 1796.765000 0.490000 ;
     END
   END la_oenb[37]
   PIN la_oenb[36]
@@ -3643,7 +3643,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1388.550000 0.000000 1388.690000 0.490000 ;
+        RECT 1792.125000 0.000000 1792.265000 0.490000 ;
     END
   END la_oenb[36]
   PIN la_oenb[35]
@@ -3651,7 +3651,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1385.065000 0.000000 1385.205000 0.490000 ;
+        RECT 1787.620000 0.000000 1787.760000 0.490000 ;
     END
   END la_oenb[35]
   PIN la_oenb[34]
@@ -3659,7 +3659,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1381.575000 0.000000 1381.715000 0.490000 ;
+        RECT 1783.120000 0.000000 1783.260000 0.490000 ;
     END
   END la_oenb[34]
   PIN la_oenb[33]
@@ -3667,7 +3667,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1378.085000 0.000000 1378.225000 0.490000 ;
+        RECT 1778.615000 0.000000 1778.755000 0.490000 ;
     END
   END la_oenb[33]
   PIN la_oenb[32]
@@ -3675,7 +3675,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1374.595000 0.000000 1374.735000 0.490000 ;
+        RECT 1774.110000 0.000000 1774.250000 0.490000 ;
     END
   END la_oenb[32]
   PIN la_oenb[31]
@@ -3683,7 +3683,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1371.105000 0.000000 1371.245000 0.490000 ;
+        RECT 1769.610000 0.000000 1769.750000 0.490000 ;
     END
   END la_oenb[31]
   PIN la_oenb[30]
@@ -3691,7 +3691,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1367.620000 0.000000 1367.760000 0.490000 ;
+        RECT 1765.105000 0.000000 1765.245000 0.490000 ;
     END
   END la_oenb[30]
   PIN la_oenb[29]
@@ -3699,7 +3699,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1364.130000 0.000000 1364.270000 0.490000 ;
+        RECT 1760.605000 0.000000 1760.745000 0.490000 ;
     END
   END la_oenb[29]
   PIN la_oenb[28]
@@ -3707,7 +3707,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1360.640000 0.000000 1360.780000 0.490000 ;
+        RECT 1756.100000 0.000000 1756.240000 0.490000 ;
     END
   END la_oenb[28]
   PIN la_oenb[27]
@@ -3715,7 +3715,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1357.150000 0.000000 1357.290000 0.490000 ;
+        RECT 1751.595000 0.000000 1751.735000 0.490000 ;
     END
   END la_oenb[27]
   PIN la_oenb[26]
@@ -3723,7 +3723,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1353.660000 0.000000 1353.800000 0.490000 ;
+        RECT 1747.095000 0.000000 1747.235000 0.490000 ;
     END
   END la_oenb[26]
   PIN la_oenb[25]
@@ -3731,7 +3731,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1350.175000 0.000000 1350.315000 0.490000 ;
+        RECT 1742.590000 0.000000 1742.730000 0.490000 ;
     END
   END la_oenb[25]
   PIN la_oenb[24]
@@ -3739,7 +3739,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1346.685000 0.000000 1346.825000 0.490000 ;
+        RECT 1738.090000 0.000000 1738.230000 0.490000 ;
     END
   END la_oenb[24]
   PIN la_oenb[23]
@@ -3747,7 +3747,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1343.195000 0.000000 1343.335000 0.490000 ;
+        RECT 1733.585000 0.000000 1733.725000 0.490000 ;
     END
   END la_oenb[23]
   PIN la_oenb[22]
@@ -3755,7 +3755,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1339.705000 0.000000 1339.845000 0.490000 ;
+        RECT 1729.080000 0.000000 1729.220000 0.490000 ;
     END
   END la_oenb[22]
   PIN la_oenb[21]
@@ -3763,7 +3763,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1336.215000 0.000000 1336.355000 0.490000 ;
+        RECT 1724.580000 0.000000 1724.720000 0.490000 ;
     END
   END la_oenb[21]
   PIN la_oenb[20]
@@ -3771,7 +3771,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1332.730000 0.000000 1332.870000 0.490000 ;
+        RECT 1720.075000 0.000000 1720.215000 0.490000 ;
     END
   END la_oenb[20]
   PIN la_oenb[19]
@@ -3779,7 +3779,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1329.240000 0.000000 1329.380000 0.490000 ;
+        RECT 1715.575000 0.000000 1715.715000 0.490000 ;
     END
   END la_oenb[19]
   PIN la_oenb[18]
@@ -3787,7 +3787,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1325.750000 0.000000 1325.890000 0.490000 ;
+        RECT 1711.070000 0.000000 1711.210000 0.490000 ;
     END
   END la_oenb[18]
   PIN la_oenb[17]
@@ -3795,7 +3795,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1322.260000 0.000000 1322.400000 0.490000 ;
+        RECT 1706.565000 0.000000 1706.705000 0.490000 ;
     END
   END la_oenb[17]
   PIN la_oenb[16]
@@ -3803,7 +3803,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1318.770000 0.000000 1318.910000 0.490000 ;
+        RECT 1702.065000 0.000000 1702.205000 0.490000 ;
     END
   END la_oenb[16]
   PIN la_oenb[15]
@@ -3811,7 +3811,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1315.285000 0.000000 1315.425000 0.490000 ;
+        RECT 1697.560000 0.000000 1697.700000 0.490000 ;
     END
   END la_oenb[15]
   PIN la_oenb[14]
@@ -3819,7 +3819,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1311.795000 0.000000 1311.935000 0.490000 ;
+        RECT 1693.060000 0.000000 1693.200000 0.490000 ;
     END
   END la_oenb[14]
   PIN la_oenb[13]
@@ -3827,7 +3827,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1308.305000 0.000000 1308.445000 0.490000 ;
+        RECT 1688.555000 0.000000 1688.695000 0.490000 ;
     END
   END la_oenb[13]
   PIN la_oenb[12]
@@ -3835,7 +3835,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1304.815000 0.000000 1304.955000 0.490000 ;
+        RECT 1684.050000 0.000000 1684.190000 0.490000 ;
     END
   END la_oenb[12]
   PIN la_oenb[11]
@@ -3843,7 +3843,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1301.325000 0.000000 1301.465000 0.490000 ;
+        RECT 1679.550000 0.000000 1679.690000 0.490000 ;
     END
   END la_oenb[11]
   PIN la_oenb[10]
@@ -3851,7 +3851,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1297.840000 0.000000 1297.980000 0.490000 ;
+        RECT 1675.045000 0.000000 1675.185000 0.490000 ;
     END
   END la_oenb[10]
   PIN la_oenb[9]
@@ -3859,7 +3859,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1294.350000 0.000000 1294.490000 0.490000 ;
+        RECT 1670.545000 0.000000 1670.685000 0.490000 ;
     END
   END la_oenb[9]
   PIN la_oenb[8]
@@ -3867,7 +3867,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1290.860000 0.000000 1291.000000 0.490000 ;
+        RECT 1666.040000 0.000000 1666.180000 0.490000 ;
     END
   END la_oenb[8]
   PIN la_oenb[7]
@@ -3875,7 +3875,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1287.370000 0.000000 1287.510000 0.490000 ;
+        RECT 1661.535000 0.000000 1661.675000 0.490000 ;
     END
   END la_oenb[7]
   PIN la_oenb[6]
@@ -3883,7 +3883,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1283.880000 0.000000 1284.020000 0.490000 ;
+        RECT 1657.035000 0.000000 1657.175000 0.490000 ;
     END
   END la_oenb[6]
   PIN la_oenb[5]
@@ -3891,7 +3891,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1280.395000 0.000000 1280.535000 0.490000 ;
+        RECT 1652.530000 0.000000 1652.670000 0.490000 ;
     END
   END la_oenb[5]
   PIN la_oenb[4]
@@ -3899,7 +3899,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1276.905000 0.000000 1277.045000 0.490000 ;
+        RECT 1648.030000 0.000000 1648.170000 0.490000 ;
     END
   END la_oenb[4]
   PIN la_oenb[3]
@@ -3907,7 +3907,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1273.415000 0.000000 1273.555000 0.490000 ;
+        RECT 1643.525000 0.000000 1643.665000 0.490000 ;
     END
   END la_oenb[3]
   PIN la_oenb[2]
@@ -3915,7 +3915,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1269.925000 0.000000 1270.065000 0.490000 ;
+        RECT 1639.020000 0.000000 1639.160000 0.490000 ;
     END
   END la_oenb[2]
   PIN la_oenb[1]
@@ -3923,7 +3923,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1266.435000 0.000000 1266.575000 0.490000 ;
+        RECT 1634.520000 0.000000 1634.660000 0.490000 ;
     END
   END la_oenb[1]
   PIN la_oenb[0]
@@ -3931,7 +3931,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1262.950000 0.000000 1263.090000 0.490000 ;
+        RECT 1630.015000 0.000000 1630.155000 0.490000 ;
     END
   END la_oenb[0]
   PIN io_in[37]
@@ -3939,7 +3939,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 55.315000 0.800000 55.615000 ;
+        RECT 0.000000 76.060000 0.800000 76.360000 ;
     END
   END io_in[37]
   PIN io_in[36]
@@ -3947,7 +3947,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 138.510000 0.800000 138.810000 ;
+        RECT 0.000000 190.375000 0.800000 190.675000 ;
     END
   END io_in[36]
   PIN io_in[35]
@@ -3955,7 +3955,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 221.705000 0.800000 222.005000 ;
+        RECT 0.000000 304.690000 0.800000 304.990000 ;
     END
   END io_in[35]
   PIN io_in[34]
@@ -3963,7 +3963,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 332.635000 0.800000 332.935000 ;
+        RECT 0.000000 457.110000 0.800000 457.410000 ;
     END
   END io_in[34]
   PIN io_in[33]
@@ -3971,7 +3971,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 443.560000 0.800000 443.860000 ;
+        RECT 0.000000 609.530000 0.800000 609.830000 ;
     END
   END io_in[33]
   PIN io_in[32]
@@ -3979,7 +3979,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 554.490000 0.800000 554.790000 ;
+        RECT 0.000000 761.950000 0.800000 762.250000 ;
     END
   END io_in[32]
   PIN io_in[31]
@@ -3987,7 +3987,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 665.420000 0.800000 665.720000 ;
+        RECT 0.000000 914.370000 0.800000 914.670000 ;
     END
   END io_in[31]
   PIN io_in[30]
@@ -3995,7 +3995,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 776.345000 0.800000 776.645000 ;
+        RECT 0.000000 1066.790000 0.800000 1067.090000 ;
     END
   END io_in[30]
   PIN io_in[29]
@@ -4003,7 +4003,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 887.275000 0.800000 887.575000 ;
+        RECT 0.000000 1219.210000 0.800000 1219.510000 ;
     END
   END io_in[29]
   PIN io_in[28]
@@ -4011,7 +4011,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 998.200000 0.800000 998.500000 ;
+        RECT 0.000000 1371.630000 0.800000 1371.930000 ;
     END
   END io_in[28]
   PIN io_in[27]
@@ -4019,7 +4019,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1109.130000 0.800000 1109.430000 ;
+        RECT 0.000000 1524.050000 0.800000 1524.350000 ;
     END
   END io_in[27]
   PIN io_in[26]
@@ -4027,7 +4027,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1220.060000 0.800000 1220.360000 ;
+        RECT 0.000000 1676.470000 0.800000 1676.770000 ;
     END
   END io_in[26]
   PIN io_in[25]
@@ -4035,7 +4035,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1330.985000 0.800000 1331.285000 ;
+        RECT 0.000000 1828.890000 0.800000 1829.190000 ;
     END
   END io_in[25]
   PIN io_in[24]
@@ -4043,7 +4043,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1441.915000 0.800000 1442.215000 ;
+        RECT 0.000000 1981.310000 0.800000 1981.610000 ;
     END
   END io_in[24]
   PIN io_in[23]
@@ -4051,7 +4051,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 147.390000 1469.330000 147.530000 1469.820000 ;
+        RECT 190.250000 2019.110000 190.390000 2019.600000 ;
     END
   END io_in[23]
   PIN io_in[22]
@@ -4059,7 +4059,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 344.010000 1469.330000 344.150000 1469.820000 ;
+        RECT 444.010000 2019.110000 444.150000 2019.600000 ;
     END
   END io_in[22]
   PIN io_in[21]
@@ -4067,7 +4067,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 540.625000 1469.330000 540.765000 1469.820000 ;
+        RECT 697.770000 2019.110000 697.910000 2019.600000 ;
     END
   END io_in[21]
   PIN io_in[20]
@@ -4075,7 +4075,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 737.240000 1469.330000 737.380000 1469.820000 ;
+        RECT 951.530000 2019.110000 951.670000 2019.600000 ;
     END
   END io_in[20]
   PIN io_in[19]
@@ -4083,7 +4083,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 933.855000 1469.330000 933.995000 1469.820000 ;
+        RECT 1205.290000 2019.110000 1205.430000 2019.600000 ;
     END
   END io_in[19]
   PIN io_in[18]
@@ -4091,7 +4091,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1130.470000 1469.330000 1130.610000 1469.820000 ;
+        RECT 1459.050000 2019.110000 1459.190000 2019.600000 ;
     END
   END io_in[18]
   PIN io_in[17]
@@ -4099,7 +4099,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1327.090000 1469.330000 1327.230000 1469.820000 ;
+        RECT 1712.810000 2019.110000 1712.950000 2019.600000 ;
     END
   END io_in[17]
   PIN io_in[16]
@@ -4107,7 +4107,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1523.705000 1469.330000 1523.845000 1469.820000 ;
+        RECT 1966.570000 2019.110000 1966.710000 2019.600000 ;
     END
   END io_in[16]
   PIN io_in[15]
@@ -4115,7 +4115,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1715.040000 1469.330000 1715.180000 1469.820000 ;
+        RECT 2215.980000 2019.110000 2216.120000 2019.600000 ;
     END
   END io_in[15]
   PIN io_in[14]
@@ -4123,7 +4123,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 1413.100000 1720.400000 1413.400000 ;
+        RECT 2219.620000 1941.750000 2220.420000 1942.050000 ;
     END
   END io_in[14]
   PIN io_in[13]
@@ -4131,7 +4131,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 1300.040000 1720.400000 1300.340000 ;
+        RECT 2219.620000 1786.400000 2220.420000 1786.700000 ;
     END
   END io_in[13]
   PIN io_in[12]
@@ -4139,7 +4139,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 1186.980000 1720.400000 1187.280000 ;
+        RECT 2219.620000 1631.045000 2220.420000 1631.345000 ;
     END
   END io_in[12]
   PIN io_in[11]
@@ -4147,7 +4147,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 1073.920000 1720.400000 1074.220000 ;
+        RECT 2219.620000 1475.695000 2220.420000 1475.995000 ;
     END
   END io_in[11]
   PIN io_in[10]
@@ -4155,7 +4155,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 960.860000 1720.400000 961.160000 ;
+        RECT 2219.620000 1320.340000 2220.420000 1320.640000 ;
     END
   END io_in[10]
   PIN io_in[9]
@@ -4163,7 +4163,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 847.800000 1720.400000 848.100000 ;
+        RECT 2219.620000 1164.990000 2220.420000 1165.290000 ;
     END
   END io_in[9]
   PIN io_in[8]
@@ -4171,7 +4171,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 734.740000 1720.400000 735.040000 ;
+        RECT 2219.620000 1009.640000 2220.420000 1009.940000 ;
     END
   END io_in[8]
   PIN io_in[7]
@@ -4179,7 +4179,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 621.680000 1720.400000 621.980000 ;
+        RECT 2219.620000 854.285000 2220.420000 854.585000 ;
     END
   END io_in[7]
   PIN io_in[6]
@@ -4187,7 +4187,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 508.620000 1720.400000 508.920000 ;
+        RECT 2219.620000 698.935000 2220.420000 699.235000 ;
     END
   END io_in[6]
   PIN io_in[5]
@@ -4195,7 +4195,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 423.825000 1720.400000 424.125000 ;
+        RECT 2219.620000 582.420000 2220.420000 582.720000 ;
     END
   END io_in[5]
   PIN io_in[4]
@@ -4203,7 +4203,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 339.030000 1720.400000 339.330000 ;
+        RECT 2219.620000 465.905000 2220.420000 466.205000 ;
     END
   END io_in[4]
   PIN io_in[3]
@@ -4211,7 +4211,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 254.235000 1720.400000 254.535000 ;
+        RECT 2219.620000 349.390000 2220.420000 349.690000 ;
     END
   END io_in[3]
   PIN io_in[2]
@@ -4219,7 +4219,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 169.440000 1720.400000 169.740000 ;
+        RECT 2219.620000 232.880000 2220.420000 233.180000 ;
     END
   END io_in[2]
   PIN io_in[1]
@@ -4227,7 +4227,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 84.645000 1720.400000 84.945000 ;
+        RECT 2219.620000 116.365000 2220.420000 116.665000 ;
     END
   END io_in[1]
   PIN io_in[0]
@@ -4235,7 +4235,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 3.780000 1720.400000 4.080000 ;
+        RECT 2219.620000 3.780000 2220.420000 4.080000 ;
     END
   END io_in[0]
   PIN io_out[37]
@@ -4243,7 +4243,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 27.580000 0.800000 27.880000 ;
+        RECT 0.000000 37.955000 0.800000 38.255000 ;
     END
   END io_out[37]
   PIN io_out[36]
@@ -4251,7 +4251,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 110.780000 0.800000 111.080000 ;
+        RECT 0.000000 152.270000 0.800000 152.570000 ;
     END
   END io_out[36]
   PIN io_out[35]
@@ -4259,7 +4259,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 193.975000 0.800000 194.275000 ;
+        RECT 0.000000 266.585000 0.800000 266.885000 ;
     END
   END io_out[35]
   PIN io_out[34]
@@ -4267,7 +4267,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 304.900000 0.800000 305.200000 ;
+        RECT 0.000000 419.005000 0.800000 419.305000 ;
     END
   END io_out[34]
   PIN io_out[33]
@@ -4275,7 +4275,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 415.830000 0.800000 416.130000 ;
+        RECT 0.000000 571.425000 0.800000 571.725000 ;
     END
   END io_out[33]
   PIN io_out[32]
@@ -4283,7 +4283,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 526.760000 0.800000 527.060000 ;
+        RECT 0.000000 723.845000 0.800000 724.145000 ;
     END
   END io_out[32]
   PIN io_out[31]
@@ -4291,7 +4291,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 637.685000 0.800000 637.985000 ;
+        RECT 0.000000 876.265000 0.800000 876.565000 ;
     END
   END io_out[31]
   PIN io_out[30]
@@ -4299,7 +4299,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 748.615000 0.800000 748.915000 ;
+        RECT 0.000000 1028.685000 0.800000 1028.985000 ;
     END
   END io_out[30]
   PIN io_out[29]
@@ -4307,7 +4307,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 859.540000 0.800000 859.840000 ;
+        RECT 0.000000 1181.105000 0.800000 1181.405000 ;
     END
   END io_out[29]
   PIN io_out[28]
@@ -4315,7 +4315,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 970.470000 0.800000 970.770000 ;
+        RECT 0.000000 1333.525000 0.800000 1333.825000 ;
     END
   END io_out[28]
   PIN io_out[27]
@@ -4323,7 +4323,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1081.400000 0.800000 1081.700000 ;
+        RECT 0.000000 1485.945000 0.800000 1486.245000 ;
     END
   END io_out[27]
   PIN io_out[26]
@@ -4331,7 +4331,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1192.325000 0.800000 1192.625000 ;
+        RECT 0.000000 1638.365000 0.800000 1638.665000 ;
     END
   END io_out[26]
   PIN io_out[25]
@@ -4339,7 +4339,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1303.255000 0.800000 1303.555000 ;
+        RECT 0.000000 1790.785000 0.800000 1791.085000 ;
     END
   END io_out[25]
   PIN io_out[24]
@@ -4347,7 +4347,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1414.180000 0.800000 1414.480000 ;
+        RECT 0.000000 1943.205000 0.800000 1943.505000 ;
     END
   END io_out[24]
   PIN io_out[23]
@@ -4355,7 +4355,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 98.240000 1469.330000 98.380000 1469.820000 ;
+        RECT 126.810000 2019.110000 126.950000 2019.600000 ;
     END
   END io_out[23]
   PIN io_out[22]
@@ -4363,7 +4363,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 294.855000 1469.330000 294.995000 1469.820000 ;
+        RECT 380.570000 2019.110000 380.710000 2019.600000 ;
     END
   END io_out[22]
   PIN io_out[21]
@@ -4371,7 +4371,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 491.470000 1469.330000 491.610000 1469.820000 ;
+        RECT 634.330000 2019.110000 634.470000 2019.600000 ;
     END
   END io_out[21]
   PIN io_out[20]
@@ -4379,7 +4379,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 688.085000 1469.330000 688.225000 1469.820000 ;
+        RECT 888.090000 2019.110000 888.230000 2019.600000 ;
     END
   END io_out[20]
   PIN io_out[19]
@@ -4387,7 +4387,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 884.700000 1469.330000 884.840000 1469.820000 ;
+        RECT 1141.850000 2019.110000 1141.990000 2019.600000 ;
     END
   END io_out[19]
   PIN io_out[18]
@@ -4395,7 +4395,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1081.320000 1469.330000 1081.460000 1469.820000 ;
+        RECT 1395.610000 2019.110000 1395.750000 2019.600000 ;
     END
   END io_out[18]
   PIN io_out[17]
@@ -4403,7 +4403,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1277.935000 1469.330000 1278.075000 1469.820000 ;
+        RECT 1649.370000 2019.110000 1649.510000 2019.600000 ;
     END
   END io_out[17]
   PIN io_out[16]
@@ -4411,7 +4411,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1474.550000 1469.330000 1474.690000 1469.820000 ;
+        RECT 1903.130000 2019.110000 1903.270000 2019.600000 ;
     END
   END io_out[16]
   PIN io_out[15]
@@ -4419,7 +4419,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1671.165000 1469.330000 1671.305000 1469.820000 ;
+        RECT 2156.890000 2019.110000 2157.030000 2019.600000 ;
     END
   END io_out[15]
   PIN io_out[14]
@@ -4427,7 +4427,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 1441.365000 1720.400000 1441.665000 ;
+        RECT 2219.620000 1980.590000 2220.420000 1980.890000 ;
     END
   END io_out[14]
   PIN io_out[13]
@@ -4435,7 +4435,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 1328.305000 1720.400000 1328.605000 ;
+        RECT 2219.620000 1825.235000 2220.420000 1825.535000 ;
     END
   END io_out[13]
   PIN io_out[12]
@@ -4443,7 +4443,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 1215.245000 1720.400000 1215.545000 ;
+        RECT 2219.620000 1669.885000 2220.420000 1670.185000 ;
     END
   END io_out[12]
   PIN io_out[11]
@@ -4451,7 +4451,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 1102.185000 1720.400000 1102.485000 ;
+        RECT 2219.620000 1514.530000 2220.420000 1514.830000 ;
     END
   END io_out[11]
   PIN io_out[10]
@@ -4459,7 +4459,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 989.125000 1720.400000 989.425000 ;
+        RECT 2219.620000 1359.180000 2220.420000 1359.480000 ;
     END
   END io_out[10]
   PIN io_out[9]
@@ -4467,7 +4467,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 876.065000 1720.400000 876.365000 ;
+        RECT 2219.620000 1203.830000 2220.420000 1204.130000 ;
     END
   END io_out[9]
   PIN io_out[8]
@@ -4475,7 +4475,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 763.005000 1720.400000 763.305000 ;
+        RECT 2219.620000 1048.475000 2220.420000 1048.775000 ;
     END
   END io_out[8]
   PIN io_out[7]
@@ -4483,7 +4483,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 649.945000 1720.400000 650.245000 ;
+        RECT 2219.620000 893.125000 2220.420000 893.425000 ;
     END
   END io_out[7]
   PIN io_out[6]
@@ -4491,7 +4491,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 536.885000 1720.400000 537.185000 ;
+        RECT 2219.620000 737.770000 2220.420000 738.070000 ;
     END
   END io_out[6]
   PIN io_out[5]
@@ -4499,7 +4499,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 452.090000 1720.400000 452.390000 ;
+        RECT 2219.620000 621.260000 2220.420000 621.560000 ;
     END
   END io_out[5]
   PIN io_out[4]
@@ -4507,7 +4507,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 367.295000 1720.400000 367.595000 ;
+        RECT 2219.620000 504.745000 2220.420000 505.045000 ;
     END
   END io_out[4]
   PIN io_out[3]
@@ -4515,7 +4515,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 282.500000 1720.400000 282.800000 ;
+        RECT 2219.620000 388.230000 2220.420000 388.530000 ;
     END
   END io_out[3]
   PIN io_out[2]
@@ -4523,7 +4523,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 197.705000 1720.400000 198.005000 ;
+        RECT 2219.620000 271.715000 2220.420000 272.015000 ;
     END
   END io_out[2]
   PIN io_out[1]
@@ -4531,7 +4531,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 112.910000 1720.400000 113.210000 ;
+        RECT 2219.620000 155.200000 2220.420000 155.500000 ;
     END
   END io_out[1]
   PIN io_out[0]
@@ -4539,7 +4539,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 28.115000 1720.400000 28.415000 ;
+        RECT 2219.620000 38.690000 2220.420000 38.990000 ;
     END
   END io_out[0]
   PIN io_oeb[37]
@@ -4555,7 +4555,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 83.045000 0.800000 83.345000 ;
+        RECT 0.000000 114.165000 0.800000 114.465000 ;
     END
   END io_oeb[36]
   PIN io_oeb[35]
@@ -4563,7 +4563,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 166.240000 0.800000 166.540000 ;
+        RECT 0.000000 228.480000 0.800000 228.780000 ;
     END
   END io_oeb[35]
   PIN io_oeb[34]
@@ -4571,7 +4571,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 277.170000 0.800000 277.470000 ;
+        RECT 0.000000 380.900000 0.800000 381.200000 ;
     END
   END io_oeb[34]
   PIN io_oeb[33]
@@ -4579,7 +4579,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 388.100000 0.800000 388.400000 ;
+        RECT 0.000000 533.320000 0.800000 533.620000 ;
     END
   END io_oeb[33]
   PIN io_oeb[32]
@@ -4587,7 +4587,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 499.025000 0.800000 499.325000 ;
+        RECT 0.000000 685.740000 0.800000 686.040000 ;
     END
   END io_oeb[32]
   PIN io_oeb[31]
@@ -4595,7 +4595,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 609.955000 0.800000 610.255000 ;
+        RECT 0.000000 838.160000 0.800000 838.460000 ;
     END
   END io_oeb[31]
   PIN io_oeb[30]
@@ -4603,7 +4603,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 720.880000 0.800000 721.180000 ;
+        RECT 0.000000 990.580000 0.800000 990.880000 ;
     END
   END io_oeb[30]
   PIN io_oeb[29]
@@ -4611,7 +4611,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 831.810000 0.800000 832.110000 ;
+        RECT 0.000000 1143.000000 0.800000 1143.300000 ;
     END
   END io_oeb[29]
   PIN io_oeb[28]
@@ -4619,7 +4619,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 942.740000 0.800000 943.040000 ;
+        RECT 0.000000 1295.420000 0.800000 1295.720000 ;
     END
   END io_oeb[28]
   PIN io_oeb[27]
@@ -4627,7 +4627,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1053.665000 0.800000 1053.965000 ;
+        RECT 0.000000 1447.840000 0.800000 1448.140000 ;
     END
   END io_oeb[27]
   PIN io_oeb[26]
@@ -4635,7 +4635,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1164.595000 0.800000 1164.895000 ;
+        RECT 0.000000 1600.260000 0.800000 1600.560000 ;
     END
   END io_oeb[26]
   PIN io_oeb[25]
@@ -4643,7 +4643,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1275.520000 0.800000 1275.820000 ;
+        RECT 0.000000 1752.680000 0.800000 1752.980000 ;
     END
   END io_oeb[25]
   PIN io_oeb[24]
@@ -4651,7 +4651,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1386.450000 0.800000 1386.750000 ;
+        RECT 0.000000 1905.100000 0.800000 1905.400000 ;
     END
   END io_oeb[24]
   PIN io_oeb[23]
@@ -4659,7 +4659,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 49.085000 1469.330000 49.225000 1469.820000 ;
+        RECT 63.370000 2019.110000 63.510000 2019.600000 ;
     END
   END io_oeb[23]
   PIN io_oeb[22]
@@ -4667,7 +4667,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 245.700000 1469.330000 245.840000 1469.820000 ;
+        RECT 317.130000 2019.110000 317.270000 2019.600000 ;
     END
   END io_oeb[22]
   PIN io_oeb[21]
@@ -4675,7 +4675,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 442.315000 1469.330000 442.455000 1469.820000 ;
+        RECT 570.890000 2019.110000 571.030000 2019.600000 ;
     END
   END io_oeb[21]
   PIN io_oeb[20]
@@ -4683,7 +4683,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 638.930000 1469.330000 639.070000 1469.820000 ;
+        RECT 824.650000 2019.110000 824.790000 2019.600000 ;
     END
   END io_oeb[20]
   PIN io_oeb[19]
@@ -4691,7 +4691,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 835.550000 1469.330000 835.690000 1469.820000 ;
+        RECT 1078.410000 2019.110000 1078.550000 2019.600000 ;
     END
   END io_oeb[19]
   PIN io_oeb[18]
@@ -4699,7 +4699,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1032.165000 1469.330000 1032.305000 1469.820000 ;
+        RECT 1332.170000 2019.110000 1332.310000 2019.600000 ;
     END
   END io_oeb[18]
   PIN io_oeb[17]
@@ -4707,7 +4707,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1228.780000 1469.330000 1228.920000 1469.820000 ;
+        RECT 1585.930000 2019.110000 1586.070000 2019.600000 ;
     END
   END io_oeb[17]
   PIN io_oeb[16]
@@ -4715,7 +4715,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1425.395000 1469.330000 1425.535000 1469.820000 ;
+        RECT 1839.690000 2019.110000 1839.830000 2019.600000 ;
     END
   END io_oeb[16]
   PIN io_oeb[15]
@@ -4723,7 +4723,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1622.010000 1469.330000 1622.150000 1469.820000 ;
+        RECT 2093.450000 2019.110000 2093.590000 2019.600000 ;
     END
   END io_oeb[15]
   PIN io_oeb[14]
@@ -4731,7 +4731,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 1464.730000 1720.400000 1465.030000 ;
+        RECT 2219.620000 2014.340000 2220.420000 2014.640000 ;
     END
   END io_oeb[14]
   PIN io_oeb[13]
@@ -4739,7 +4739,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 1356.570000 1720.400000 1356.870000 ;
+        RECT 2219.620000 1864.075000 2220.420000 1864.375000 ;
     END
   END io_oeb[13]
   PIN io_oeb[12]
@@ -4747,7 +4747,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 1243.510000 1720.400000 1243.810000 ;
+        RECT 2219.620000 1708.720000 2220.420000 1709.020000 ;
     END
   END io_oeb[12]
   PIN io_oeb[11]
@@ -4755,7 +4755,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 1130.450000 1720.400000 1130.750000 ;
+        RECT 2219.620000 1553.370000 2220.420000 1553.670000 ;
     END
   END io_oeb[11]
   PIN io_oeb[10]
@@ -4763,7 +4763,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 1017.390000 1720.400000 1017.690000 ;
+        RECT 2219.620000 1398.020000 2220.420000 1398.320000 ;
     END
   END io_oeb[10]
   PIN io_oeb[9]
@@ -4771,7 +4771,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 904.330000 1720.400000 904.630000 ;
+        RECT 2219.620000 1242.665000 2220.420000 1242.965000 ;
     END
   END io_oeb[9]
   PIN io_oeb[8]
@@ -4779,7 +4779,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 791.270000 1720.400000 791.570000 ;
+        RECT 2219.620000 1087.315000 2220.420000 1087.615000 ;
     END
   END io_oeb[8]
   PIN io_oeb[7]
@@ -4787,7 +4787,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 678.210000 1720.400000 678.510000 ;
+        RECT 2219.620000 931.960000 2220.420000 932.260000 ;
     END
   END io_oeb[7]
   PIN io_oeb[6]
@@ -4795,7 +4795,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 565.150000 1720.400000 565.450000 ;
+        RECT 2219.620000 776.610000 2220.420000 776.910000 ;
     END
   END io_oeb[6]
   PIN io_oeb[5]
@@ -4803,7 +4803,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 480.355000 1720.400000 480.655000 ;
+        RECT 2219.620000 660.095000 2220.420000 660.395000 ;
     END
   END io_oeb[5]
   PIN io_oeb[4]
@@ -4811,7 +4811,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 395.560000 1720.400000 395.860000 ;
+        RECT 2219.620000 543.580000 2220.420000 543.880000 ;
     END
   END io_oeb[4]
   PIN io_oeb[3]
@@ -4819,7 +4819,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 310.765000 1720.400000 311.065000 ;
+        RECT 2219.620000 427.070000 2220.420000 427.370000 ;
     END
   END io_oeb[3]
   PIN io_oeb[2]
@@ -4827,7 +4827,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 225.970000 1720.400000 226.270000 ;
+        RECT 2219.620000 310.555000 2220.420000 310.855000 ;
     END
   END io_oeb[2]
   PIN io_oeb[1]
@@ -4835,7 +4835,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 141.175000 1720.400000 141.475000 ;
+        RECT 2219.620000 194.040000 2220.420000 194.340000 ;
     END
   END io_oeb[1]
   PIN io_oeb[0]
@@ -4843,7 +4843,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 56.380000 1720.400000 56.680000 ;
+        RECT 2219.620000 77.525000 2220.420000 77.825000 ;
     END
   END io_oeb[0]
   PIN analog_io[28]
@@ -4851,7 +4851,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 249.440000 0.800000 249.740000 ;
+        RECT 0.000000 342.795000 0.800000 343.095000 ;
     END
   END analog_io[28]
   PIN analog_io[27]
@@ -4859,7 +4859,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 360.365000 0.800000 360.665000 ;
+        RECT 0.000000 495.215000 0.800000 495.515000 ;
     END
   END analog_io[27]
   PIN analog_io[26]
@@ -4867,7 +4867,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 471.295000 0.800000 471.595000 ;
+        RECT 0.000000 647.635000 0.800000 647.935000 ;
     END
   END analog_io[26]
   PIN analog_io[25]
@@ -4875,7 +4875,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 582.220000 0.800000 582.520000 ;
+        RECT 0.000000 800.055000 0.800000 800.355000 ;
     END
   END analog_io[25]
   PIN analog_io[24]
@@ -4883,7 +4883,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 693.150000 0.800000 693.450000 ;
+        RECT 0.000000 952.475000 0.800000 952.775000 ;
     END
   END analog_io[24]
   PIN analog_io[23]
@@ -4891,7 +4891,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 804.080000 0.800000 804.380000 ;
+        RECT 0.000000 1104.895000 0.800000 1105.195000 ;
     END
   END analog_io[23]
   PIN analog_io[22]
@@ -4899,7 +4899,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 915.005000 0.800000 915.305000 ;
+        RECT 0.000000 1257.315000 0.800000 1257.615000 ;
     END
   END analog_io[22]
   PIN analog_io[21]
@@ -4907,7 +4907,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1025.935000 0.800000 1026.235000 ;
+        RECT 0.000000 1409.735000 0.800000 1410.035000 ;
     END
   END analog_io[21]
   PIN analog_io[20]
@@ -4915,7 +4915,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1136.860000 0.800000 1137.160000 ;
+        RECT 0.000000 1562.155000 0.800000 1562.455000 ;
     END
   END analog_io[20]
   PIN analog_io[19]
@@ -4923,7 +4923,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1247.790000 0.800000 1248.090000 ;
+        RECT 0.000000 1714.575000 0.800000 1714.875000 ;
     END
   END analog_io[19]
   PIN analog_io[18]
@@ -4931,7 +4931,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1358.720000 0.800000 1359.020000 ;
+        RECT 0.000000 1866.995000 0.800000 1867.295000 ;
     END
   END analog_io[18]
   PIN analog_io[17]
@@ -4939,7 +4939,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1465.340000 0.800000 1465.640000 ;
+        RECT 0.000000 2014.950000 0.800000 2015.250000 ;
     END
   END analog_io[17]
   PIN analog_io[16]
@@ -4947,7 +4947,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 196.545000 1469.330000 196.685000 1469.820000 ;
+        RECT 253.690000 2019.110000 253.830000 2019.600000 ;
     END
   END analog_io[16]
   PIN analog_io[15]
@@ -4955,7 +4955,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 393.160000 1469.330000 393.300000 1469.820000 ;
+        RECT 507.450000 2019.110000 507.590000 2019.600000 ;
     END
   END analog_io[15]
   PIN analog_io[14]
@@ -4963,7 +4963,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 589.780000 1469.330000 589.920000 1469.820000 ;
+        RECT 761.210000 2019.110000 761.350000 2019.600000 ;
     END
   END analog_io[14]
   PIN analog_io[13]
@@ -4971,7 +4971,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 786.395000 1469.330000 786.535000 1469.820000 ;
+        RECT 1014.970000 2019.110000 1015.110000 2019.600000 ;
     END
   END analog_io[13]
   PIN analog_io[12]
@@ -4979,7 +4979,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 983.010000 1469.330000 983.150000 1469.820000 ;
+        RECT 1268.730000 2019.110000 1268.870000 2019.600000 ;
     END
   END analog_io[12]
   PIN analog_io[11]
@@ -4987,7 +4987,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1179.625000 1469.330000 1179.765000 1469.820000 ;
+        RECT 1522.490000 2019.110000 1522.630000 2019.600000 ;
     END
   END analog_io[11]
   PIN analog_io[10]
@@ -4995,7 +4995,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1376.240000 1469.330000 1376.380000 1469.820000 ;
+        RECT 1776.250000 2019.110000 1776.390000 2019.600000 ;
     END
   END analog_io[10]
   PIN analog_io[9]
@@ -5003,7 +5003,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1572.860000 1469.330000 1573.000000 1469.820000 ;
+        RECT 2030.010000 2019.110000 2030.150000 2019.600000 ;
     END
   END analog_io[9]
   PIN analog_io[8]
@@ -5011,7 +5011,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 4.300000 1469.330000 4.440000 1469.820000 ;
+        RECT 4.300000 2019.110000 4.440000 2019.600000 ;
     END
   END analog_io[8]
   PIN analog_io[7]
@@ -5019,7 +5019,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 1384.835000 1720.400000 1385.135000 ;
+        RECT 2219.620000 1902.910000 2220.420000 1903.210000 ;
     END
   END analog_io[7]
   PIN analog_io[6]
@@ -5027,7 +5027,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 1271.775000 1720.400000 1272.075000 ;
+        RECT 2219.620000 1747.560000 2220.420000 1747.860000 ;
     END
   END analog_io[6]
   PIN analog_io[5]
@@ -5035,7 +5035,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 1158.715000 1720.400000 1159.015000 ;
+        RECT 2219.620000 1592.210000 2220.420000 1592.510000 ;
     END
   END analog_io[5]
   PIN analog_io[4]
@@ -5043,7 +5043,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 1045.655000 1720.400000 1045.955000 ;
+        RECT 2219.620000 1436.855000 2220.420000 1437.155000 ;
     END
   END analog_io[4]
   PIN analog_io[3]
@@ -5051,7 +5051,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 932.595000 1720.400000 932.895000 ;
+        RECT 2219.620000 1281.505000 2220.420000 1281.805000 ;
     END
   END analog_io[3]
   PIN analog_io[2]
@@ -5059,7 +5059,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 819.535000 1720.400000 819.835000 ;
+        RECT 2219.620000 1126.150000 2220.420000 1126.450000 ;
     END
   END analog_io[2]
   PIN analog_io[1]
@@ -5067,7 +5067,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 706.475000 1720.400000 706.775000 ;
+        RECT 2219.620000 970.800000 2220.420000 971.100000 ;
     END
   END analog_io[1]
   PIN analog_io[0]
@@ -5075,7 +5075,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 1719.600000 593.415000 1720.400000 593.715000 ;
+        RECT 2219.620000 815.450000 2220.420000 815.750000 ;
     END
   END analog_io[0]
   PIN user_clock2
@@ -5083,7 +5083,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1709.540000 0.000000 1709.680000 0.490000 ;
+        RECT 2206.400000 0.000000 2206.540000 0.490000 ;
     END
   END user_clock2
   PIN user_irq[2]
@@ -5091,7 +5091,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1714.580000 0.000000 1714.720000 0.490000 ;
+        RECT 2217.820000 0.000000 2217.960000 0.490000 ;
     END
   END user_irq[2]
   PIN user_irq[1]
@@ -5099,7 +5099,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1716.520000 0.000000 1716.660000 0.490000 ;
+        RECT 2215.405000 0.000000 2215.545000 0.490000 ;
     END
   END user_irq[1]
   PIN user_irq[0]
@@ -5107,59 +5107,27 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1713.030000 0.000000 1713.170000 0.490000 ;
+        RECT 2210.905000 0.000000 2211.045000 0.490000 ;
     END
   END user_irq[0]
-  PIN VPWR
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER met4 ;
-        RECT 1716.340000 1.930000 1718.340000 1467.720000 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 2.060000 1.930000 4.060000 1467.720000 ;
-    END
-
-# P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
-    PORT
-      LAYER met4 ;
-        RECT 1123.005000 632.850000 1124.745000 1020.830000 ;
-      LAYER met4 ;
-        RECT 1591.525000 632.850000 1593.265000 1020.830000 ;
-    END
-# end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
-
-
-# P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
-    PORT
-      LAYER met4 ;
-        RECT 556.985000 648.195000 558.725000 1036.175000 ;
-      LAYER met4 ;
-        RECT 88.465000 648.195000 90.205000 1036.175000 ;
-    END
-# end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
-
-  END VPWR
   PIN VGND
     DIRECTION INOUT ;
     USE GROUND ;
     PORT
       LAYER met4 ;
-        RECT 1712.340000 5.930000 1714.340000 1463.720000 ;
+        RECT 2216.360000 1.930000 2218.360000 2017.330000 ;
     END
     PORT
       LAYER met4 ;
-        RECT 6.060000 5.930000 8.060000 1463.720000 ;
+        RECT 2.060000 1.930000 4.060000 2017.330000 ;
     END
 
 # P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
     PORT
       LAYER met4 ;
-        RECT 1594.925000 629.450000 1596.665000 1024.230000 ;
+        RECT 65.665000 971.965000 460.445000 973.705000 ;
       LAYER met4 ;
-        RECT 1119.605000 629.450000 1121.345000 1024.230000 ;
+        RECT 65.665000 1447.285000 460.445000 1449.025000 ;
     END
 # end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
 
@@ -5167,778 +5135,930 @@
 # P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
     PORT
       LAYER met4 ;
-        RECT 85.065000 644.795000 86.805000 1039.575000 ;
+        RECT 1744.050000 389.940000 2138.830000 391.680000 ;
       LAYER met4 ;
-        RECT 560.385000 644.795000 562.125000 1039.575000 ;
+        RECT 1744.050000 865.260000 2138.830000 867.000000 ;
+    END
+# end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
+
+
+# P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
+    PORT
+      LAYER met4 ;
+        RECT 1761.455000 980.995000 2156.235000 982.735000 ;
+      LAYER met4 ;
+        RECT 1761.455000 1456.315000 2156.235000 1458.055000 ;
+    END
+# end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
+
+
+# P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
+    PORT
+      LAYER met4 ;
+        RECT 73.365000 872.810000 468.145000 874.550000 ;
+      LAYER met4 ;
+        RECT 73.365000 397.490000 468.145000 399.230000 ;
+    END
+# end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
+
+
+# P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
+    PORT
+      LAYER met4 ;
+        RECT 537.060000 1563.930000 538.800000 1958.710000 ;
+      LAYER met4 ;
+        RECT 61.740000 1563.930000 63.480000 1958.710000 ;
+    END
+# end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
+
+
+# P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
+    PORT
+      LAYER met4 ;
+        RECT 2165.190000 1574.525000 2166.930000 1969.305000 ;
+      LAYER met4 ;
+        RECT 1689.870000 1574.525000 1691.610000 1969.305000 ;
+    END
+# end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
+
+
+# P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
+    PORT
+      LAYER met4 ;
+        RECT 1632.085000 1561.130000 1633.825000 1955.910000 ;
+      LAYER met4 ;
+        RECT 1156.765000 1561.130000 1158.505000 1955.910000 ;
+    END
+# end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
+
+
+# P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
+    PORT
+      LAYER met4 ;
+        RECT 630.675000 1557.940000 632.415000 1952.720000 ;
+      LAYER met4 ;
+        RECT 1105.995000 1557.940000 1107.735000 1952.720000 ;
     END
 # end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
 
   END VGND
+  PIN VPWR
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 2212.360000 5.930000 2214.360000 2013.330000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 6.060000 5.930000 8.060000 2013.330000 ;
+    END
+
+# P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
+    PORT
+      LAYER met4 ;
+        RECT 69.065000 1443.885000 457.045000 1445.625000 ;
+      LAYER met4 ;
+        RECT 69.065000 975.365000 457.045000 977.105000 ;
+    END
+# end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
+
+
+# P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
+    PORT
+      LAYER met4 ;
+        RECT 1747.450000 861.860000 2135.430000 863.600000 ;
+      LAYER met4 ;
+        RECT 1747.450000 393.340000 2135.430000 395.080000 ;
+    END
+# end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
+
+
+# P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
+    PORT
+      LAYER met4 ;
+        RECT 1764.855000 1452.915000 2152.835000 1454.655000 ;
+      LAYER met4 ;
+        RECT 1764.855000 984.395000 2152.835000 986.135000 ;
+    END
+# end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
+
+
+# P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
+    PORT
+      LAYER met4 ;
+        RECT 76.765000 400.890000 464.745000 402.630000 ;
+      LAYER met4 ;
+        RECT 76.765000 869.410000 464.745000 871.150000 ;
+    END
+# end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
+
+
+# P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
+    PORT
+      LAYER met4 ;
+        RECT 65.140000 1567.330000 66.880000 1955.310000 ;
+      LAYER met4 ;
+        RECT 533.660000 1567.330000 535.400000 1955.310000 ;
+    END
+# end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
+
+
+# P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
+    PORT
+      LAYER met4 ;
+        RECT 1693.270000 1577.925000 1695.010000 1965.905000 ;
+      LAYER met4 ;
+        RECT 2161.790000 1577.925000 2163.530000 1965.905000 ;
+    END
+# end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
+
+
+# P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
+    PORT
+      LAYER met4 ;
+        RECT 1160.165000 1564.530000 1161.905000 1952.510000 ;
+      LAYER met4 ;
+        RECT 1628.685000 1564.530000 1630.425000 1952.510000 ;
+    END
+# end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
+
+
+# P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
+    PORT
+      LAYER met4 ;
+        RECT 1102.595000 1561.340000 1104.335000 1949.320000 ;
+      LAYER met4 ;
+        RECT 634.075000 1561.340000 635.815000 1949.320000 ;
+    END
+# end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
+
+  END VPWR
   OBS
     LAYER li1 ;
-      RECT 0.000000 0.000000 1720.400000 1469.820000 ;
+      RECT 0.000000 0.000000 2220.420000 2019.600000 ;
     LAYER met1 ;
-      RECT 0.000000 0.000000 1720.400000 1469.820000 ;
+      RECT 0.000000 0.000000 2220.420000 2019.600000 ;
     LAYER met2 ;
-      RECT 1715.320000 1469.190000 1720.400000 1469.820000 ;
-      RECT 1671.445000 1469.190000 1714.900000 1469.820000 ;
-      RECT 1622.290000 1469.190000 1671.025000 1469.820000 ;
-      RECT 1573.140000 1469.190000 1621.870000 1469.820000 ;
-      RECT 1523.985000 1469.190000 1572.720000 1469.820000 ;
-      RECT 1474.830000 1469.190000 1523.565000 1469.820000 ;
-      RECT 1425.675000 1469.190000 1474.410000 1469.820000 ;
-      RECT 1376.520000 1469.190000 1425.255000 1469.820000 ;
-      RECT 1327.370000 1469.190000 1376.100000 1469.820000 ;
-      RECT 1278.215000 1469.190000 1326.950000 1469.820000 ;
-      RECT 1229.060000 1469.190000 1277.795000 1469.820000 ;
-      RECT 1179.905000 1469.190000 1228.640000 1469.820000 ;
-      RECT 1130.750000 1469.190000 1179.485000 1469.820000 ;
-      RECT 1081.600000 1469.190000 1130.330000 1469.820000 ;
-      RECT 1032.445000 1469.190000 1081.180000 1469.820000 ;
-      RECT 983.290000 1469.190000 1032.025000 1469.820000 ;
-      RECT 934.135000 1469.190000 982.870000 1469.820000 ;
-      RECT 884.980000 1469.190000 933.715000 1469.820000 ;
-      RECT 835.830000 1469.190000 884.560000 1469.820000 ;
-      RECT 786.675000 1469.190000 835.410000 1469.820000 ;
-      RECT 737.520000 1469.190000 786.255000 1469.820000 ;
-      RECT 688.365000 1469.190000 737.100000 1469.820000 ;
-      RECT 639.210000 1469.190000 687.945000 1469.820000 ;
-      RECT 590.060000 1469.190000 638.790000 1469.820000 ;
-      RECT 540.905000 1469.190000 589.640000 1469.820000 ;
-      RECT 491.750000 1469.190000 540.485000 1469.820000 ;
-      RECT 442.595000 1469.190000 491.330000 1469.820000 ;
-      RECT 393.440000 1469.190000 442.175000 1469.820000 ;
-      RECT 344.290000 1469.190000 393.020000 1469.820000 ;
-      RECT 295.135000 1469.190000 343.870000 1469.820000 ;
-      RECT 245.980000 1469.190000 294.715000 1469.820000 ;
-      RECT 196.825000 1469.190000 245.560000 1469.820000 ;
-      RECT 147.670000 1469.190000 196.405000 1469.820000 ;
-      RECT 98.520000 1469.190000 147.250000 1469.820000 ;
-      RECT 49.365000 1469.190000 98.100000 1469.820000 ;
-      RECT 4.580000 1469.190000 48.945000 1469.820000 ;
-      RECT 0.000000 1469.190000 4.160000 1469.820000 ;
-      RECT 0.000000 0.630000 1720.400000 1469.190000 ;
-      RECT 1716.800000 0.000000 1720.400000 0.630000 ;
-      RECT 1714.860000 0.000000 1716.380000 0.630000 ;
-      RECT 1713.310000 0.000000 1714.440000 0.630000 ;
-      RECT 1709.820000 0.000000 1712.890000 0.630000 ;
-      RECT 1706.330000 0.000000 1709.400000 0.630000 ;
-      RECT 1702.840000 0.000000 1705.910000 0.630000 ;
-      RECT 1699.355000 0.000000 1702.420000 0.630000 ;
-      RECT 1695.865000 0.000000 1698.935000 0.630000 ;
-      RECT 1692.375000 0.000000 1695.445000 0.630000 ;
-      RECT 1688.885000 0.000000 1691.955000 0.630000 ;
-      RECT 1685.395000 0.000000 1688.465000 0.630000 ;
-      RECT 1681.910000 0.000000 1684.975000 0.630000 ;
-      RECT 1678.420000 0.000000 1681.490000 0.630000 ;
-      RECT 1674.930000 0.000000 1678.000000 0.630000 ;
-      RECT 1671.440000 0.000000 1674.510000 0.630000 ;
-      RECT 1667.950000 0.000000 1671.020000 0.630000 ;
-      RECT 1664.465000 0.000000 1667.530000 0.630000 ;
-      RECT 1660.975000 0.000000 1664.045000 0.630000 ;
-      RECT 1657.485000 0.000000 1660.555000 0.630000 ;
-      RECT 1653.995000 0.000000 1657.065000 0.630000 ;
-      RECT 1650.505000 0.000000 1653.575000 0.630000 ;
-      RECT 1647.020000 0.000000 1650.085000 0.630000 ;
-      RECT 1643.530000 0.000000 1646.600000 0.630000 ;
-      RECT 1640.040000 0.000000 1643.110000 0.630000 ;
-      RECT 1636.550000 0.000000 1639.620000 0.630000 ;
-      RECT 1633.060000 0.000000 1636.130000 0.630000 ;
-      RECT 1629.575000 0.000000 1632.640000 0.630000 ;
-      RECT 1626.085000 0.000000 1629.155000 0.630000 ;
-      RECT 1622.595000 0.000000 1625.665000 0.630000 ;
-      RECT 1619.105000 0.000000 1622.175000 0.630000 ;
-      RECT 1615.615000 0.000000 1618.685000 0.630000 ;
-      RECT 1612.130000 0.000000 1615.195000 0.630000 ;
-      RECT 1608.640000 0.000000 1611.710000 0.630000 ;
-      RECT 1605.150000 0.000000 1608.220000 0.630000 ;
-      RECT 1601.660000 0.000000 1604.730000 0.630000 ;
-      RECT 1598.170000 0.000000 1601.240000 0.630000 ;
-      RECT 1594.685000 0.000000 1597.750000 0.630000 ;
-      RECT 1591.195000 0.000000 1594.265000 0.630000 ;
-      RECT 1587.705000 0.000000 1590.775000 0.630000 ;
-      RECT 1584.215000 0.000000 1587.285000 0.630000 ;
-      RECT 1580.725000 0.000000 1583.795000 0.630000 ;
-      RECT 1577.240000 0.000000 1580.305000 0.630000 ;
-      RECT 1573.750000 0.000000 1576.820000 0.630000 ;
-      RECT 1570.260000 0.000000 1573.330000 0.630000 ;
-      RECT 1566.770000 0.000000 1569.840000 0.630000 ;
-      RECT 1563.280000 0.000000 1566.350000 0.630000 ;
-      RECT 1559.795000 0.000000 1562.860000 0.630000 ;
-      RECT 1556.305000 0.000000 1559.375000 0.630000 ;
-      RECT 1552.815000 0.000000 1555.885000 0.630000 ;
-      RECT 1549.325000 0.000000 1552.395000 0.630000 ;
-      RECT 1545.835000 0.000000 1548.905000 0.630000 ;
-      RECT 1542.350000 0.000000 1545.415000 0.630000 ;
-      RECT 1538.860000 0.000000 1541.930000 0.630000 ;
-      RECT 1535.370000 0.000000 1538.440000 0.630000 ;
-      RECT 1531.880000 0.000000 1534.950000 0.630000 ;
-      RECT 1528.390000 0.000000 1531.460000 0.630000 ;
-      RECT 1524.905000 0.000000 1527.970000 0.630000 ;
-      RECT 1521.415000 0.000000 1524.485000 0.630000 ;
-      RECT 1517.925000 0.000000 1520.995000 0.630000 ;
-      RECT 1514.435000 0.000000 1517.505000 0.630000 ;
-      RECT 1510.945000 0.000000 1514.015000 0.630000 ;
-      RECT 1507.460000 0.000000 1510.525000 0.630000 ;
-      RECT 1503.970000 0.000000 1507.040000 0.630000 ;
-      RECT 1500.480000 0.000000 1503.550000 0.630000 ;
-      RECT 1496.990000 0.000000 1500.060000 0.630000 ;
-      RECT 1493.500000 0.000000 1496.570000 0.630000 ;
-      RECT 1490.015000 0.000000 1493.080000 0.630000 ;
-      RECT 1486.525000 0.000000 1489.595000 0.630000 ;
-      RECT 1483.035000 0.000000 1486.105000 0.630000 ;
-      RECT 1479.545000 0.000000 1482.615000 0.630000 ;
-      RECT 1476.055000 0.000000 1479.125000 0.630000 ;
-      RECT 1472.570000 0.000000 1475.635000 0.630000 ;
-      RECT 1469.080000 0.000000 1472.150000 0.630000 ;
-      RECT 1465.590000 0.000000 1468.660000 0.630000 ;
-      RECT 1462.100000 0.000000 1465.170000 0.630000 ;
-      RECT 1458.610000 0.000000 1461.680000 0.630000 ;
-      RECT 1455.125000 0.000000 1458.190000 0.630000 ;
-      RECT 1451.635000 0.000000 1454.705000 0.630000 ;
-      RECT 1448.145000 0.000000 1451.215000 0.630000 ;
-      RECT 1444.655000 0.000000 1447.725000 0.630000 ;
-      RECT 1441.165000 0.000000 1444.235000 0.630000 ;
-      RECT 1437.680000 0.000000 1440.745000 0.630000 ;
-      RECT 1434.190000 0.000000 1437.260000 0.630000 ;
-      RECT 1430.700000 0.000000 1433.770000 0.630000 ;
-      RECT 1427.210000 0.000000 1430.280000 0.630000 ;
-      RECT 1423.720000 0.000000 1426.790000 0.630000 ;
-      RECT 1420.235000 0.000000 1423.300000 0.630000 ;
-      RECT 1416.745000 0.000000 1419.815000 0.630000 ;
-      RECT 1413.255000 0.000000 1416.325000 0.630000 ;
-      RECT 1409.765000 0.000000 1412.835000 0.630000 ;
-      RECT 1406.275000 0.000000 1409.345000 0.630000 ;
-      RECT 1402.790000 0.000000 1405.855000 0.630000 ;
-      RECT 1399.300000 0.000000 1402.370000 0.630000 ;
-      RECT 1395.810000 0.000000 1398.880000 0.630000 ;
-      RECT 1392.320000 0.000000 1395.390000 0.630000 ;
-      RECT 1388.830000 0.000000 1391.900000 0.630000 ;
-      RECT 1385.345000 0.000000 1388.410000 0.630000 ;
-      RECT 1381.855000 0.000000 1384.925000 0.630000 ;
-      RECT 1378.365000 0.000000 1381.435000 0.630000 ;
-      RECT 1374.875000 0.000000 1377.945000 0.630000 ;
-      RECT 1371.385000 0.000000 1374.455000 0.630000 ;
-      RECT 1367.900000 0.000000 1370.965000 0.630000 ;
-      RECT 1364.410000 0.000000 1367.480000 0.630000 ;
-      RECT 1360.920000 0.000000 1363.990000 0.630000 ;
-      RECT 1357.430000 0.000000 1360.500000 0.630000 ;
-      RECT 1353.940000 0.000000 1357.010000 0.630000 ;
-      RECT 1350.455000 0.000000 1353.520000 0.630000 ;
-      RECT 1346.965000 0.000000 1350.035000 0.630000 ;
-      RECT 1343.475000 0.000000 1346.545000 0.630000 ;
-      RECT 1339.985000 0.000000 1343.055000 0.630000 ;
-      RECT 1336.495000 0.000000 1339.565000 0.630000 ;
-      RECT 1333.010000 0.000000 1336.075000 0.630000 ;
-      RECT 1329.520000 0.000000 1332.590000 0.630000 ;
-      RECT 1326.030000 0.000000 1329.100000 0.630000 ;
-      RECT 1322.540000 0.000000 1325.610000 0.630000 ;
-      RECT 1319.050000 0.000000 1322.120000 0.630000 ;
-      RECT 1315.565000 0.000000 1318.630000 0.630000 ;
-      RECT 1312.075000 0.000000 1315.145000 0.630000 ;
-      RECT 1308.585000 0.000000 1311.655000 0.630000 ;
-      RECT 1305.095000 0.000000 1308.165000 0.630000 ;
-      RECT 1301.605000 0.000000 1304.675000 0.630000 ;
-      RECT 1298.120000 0.000000 1301.185000 0.630000 ;
-      RECT 1294.630000 0.000000 1297.700000 0.630000 ;
-      RECT 1291.140000 0.000000 1294.210000 0.630000 ;
-      RECT 1287.650000 0.000000 1290.720000 0.630000 ;
-      RECT 1284.160000 0.000000 1287.230000 0.630000 ;
-      RECT 1280.675000 0.000000 1283.740000 0.630000 ;
-      RECT 1277.185000 0.000000 1280.255000 0.630000 ;
-      RECT 1273.695000 0.000000 1276.765000 0.630000 ;
-      RECT 1270.205000 0.000000 1273.275000 0.630000 ;
-      RECT 1266.715000 0.000000 1269.785000 0.630000 ;
-      RECT 1263.230000 0.000000 1266.295000 0.630000 ;
-      RECT 1259.740000 0.000000 1262.810000 0.630000 ;
-      RECT 1256.250000 0.000000 1259.320000 0.630000 ;
-      RECT 1252.760000 0.000000 1255.830000 0.630000 ;
-      RECT 1249.270000 0.000000 1252.340000 0.630000 ;
-      RECT 1245.785000 0.000000 1248.850000 0.630000 ;
-      RECT 1242.295000 0.000000 1245.365000 0.630000 ;
-      RECT 1238.805000 0.000000 1241.875000 0.630000 ;
-      RECT 1235.315000 0.000000 1238.385000 0.630000 ;
-      RECT 1231.825000 0.000000 1234.895000 0.630000 ;
-      RECT 1228.340000 0.000000 1231.405000 0.630000 ;
-      RECT 1224.850000 0.000000 1227.920000 0.630000 ;
-      RECT 1221.360000 0.000000 1224.430000 0.630000 ;
-      RECT 1217.870000 0.000000 1220.940000 0.630000 ;
-      RECT 1214.380000 0.000000 1217.450000 0.630000 ;
-      RECT 1210.895000 0.000000 1213.960000 0.630000 ;
-      RECT 1207.405000 0.000000 1210.475000 0.630000 ;
-      RECT 1203.915000 0.000000 1206.985000 0.630000 ;
-      RECT 1200.425000 0.000000 1203.495000 0.630000 ;
-      RECT 1196.935000 0.000000 1200.005000 0.630000 ;
-      RECT 1193.450000 0.000000 1196.515000 0.630000 ;
-      RECT 1189.960000 0.000000 1193.030000 0.630000 ;
-      RECT 1186.470000 0.000000 1189.540000 0.630000 ;
-      RECT 1182.980000 0.000000 1186.050000 0.630000 ;
-      RECT 1179.490000 0.000000 1182.560000 0.630000 ;
-      RECT 1176.005000 0.000000 1179.070000 0.630000 ;
-      RECT 1172.515000 0.000000 1175.585000 0.630000 ;
-      RECT 1169.025000 0.000000 1172.095000 0.630000 ;
-      RECT 1165.535000 0.000000 1168.605000 0.630000 ;
-      RECT 1162.045000 0.000000 1165.115000 0.630000 ;
-      RECT 1158.560000 0.000000 1161.625000 0.630000 ;
-      RECT 1155.070000 0.000000 1158.140000 0.630000 ;
-      RECT 1151.580000 0.000000 1154.650000 0.630000 ;
-      RECT 1148.090000 0.000000 1151.160000 0.630000 ;
-      RECT 1144.600000 0.000000 1147.670000 0.630000 ;
-      RECT 1141.115000 0.000000 1144.180000 0.630000 ;
-      RECT 1137.625000 0.000000 1140.695000 0.630000 ;
-      RECT 1134.135000 0.000000 1137.205000 0.630000 ;
-      RECT 1130.645000 0.000000 1133.715000 0.630000 ;
-      RECT 1127.155000 0.000000 1130.225000 0.630000 ;
-      RECT 1123.670000 0.000000 1126.735000 0.630000 ;
-      RECT 1120.180000 0.000000 1123.250000 0.630000 ;
-      RECT 1116.690000 0.000000 1119.760000 0.630000 ;
-      RECT 1113.200000 0.000000 1116.270000 0.630000 ;
-      RECT 1109.710000 0.000000 1112.780000 0.630000 ;
-      RECT 1106.225000 0.000000 1109.290000 0.630000 ;
-      RECT 1102.735000 0.000000 1105.805000 0.630000 ;
-      RECT 1099.245000 0.000000 1102.315000 0.630000 ;
-      RECT 1095.755000 0.000000 1098.825000 0.630000 ;
-      RECT 1092.265000 0.000000 1095.335000 0.630000 ;
-      RECT 1088.780000 0.000000 1091.845000 0.630000 ;
-      RECT 1085.290000 0.000000 1088.360000 0.630000 ;
-      RECT 1081.800000 0.000000 1084.870000 0.630000 ;
-      RECT 1078.310000 0.000000 1081.380000 0.630000 ;
-      RECT 1074.820000 0.000000 1077.890000 0.630000 ;
-      RECT 1071.335000 0.000000 1074.400000 0.630000 ;
-      RECT 1067.845000 0.000000 1070.915000 0.630000 ;
-      RECT 1064.355000 0.000000 1067.425000 0.630000 ;
-      RECT 1060.865000 0.000000 1063.935000 0.630000 ;
-      RECT 1057.375000 0.000000 1060.445000 0.630000 ;
-      RECT 1053.890000 0.000000 1056.955000 0.630000 ;
-      RECT 1050.400000 0.000000 1053.470000 0.630000 ;
-      RECT 1046.910000 0.000000 1049.980000 0.630000 ;
-      RECT 1043.420000 0.000000 1046.490000 0.630000 ;
-      RECT 1039.930000 0.000000 1043.000000 0.630000 ;
-      RECT 1036.445000 0.000000 1039.510000 0.630000 ;
-      RECT 1032.955000 0.000000 1036.025000 0.630000 ;
-      RECT 1029.465000 0.000000 1032.535000 0.630000 ;
-      RECT 1025.975000 0.000000 1029.045000 0.630000 ;
-      RECT 1022.485000 0.000000 1025.555000 0.630000 ;
-      RECT 1019.000000 0.000000 1022.065000 0.630000 ;
-      RECT 1015.510000 0.000000 1018.580000 0.630000 ;
-      RECT 1012.020000 0.000000 1015.090000 0.630000 ;
-      RECT 1008.530000 0.000000 1011.600000 0.630000 ;
-      RECT 1005.040000 0.000000 1008.110000 0.630000 ;
-      RECT 1001.555000 0.000000 1004.620000 0.630000 ;
-      RECT 998.065000 0.000000 1001.135000 0.630000 ;
-      RECT 994.575000 0.000000 997.645000 0.630000 ;
-      RECT 991.085000 0.000000 994.155000 0.630000 ;
-      RECT 987.595000 0.000000 990.665000 0.630000 ;
-      RECT 984.110000 0.000000 987.175000 0.630000 ;
-      RECT 980.620000 0.000000 983.690000 0.630000 ;
-      RECT 977.130000 0.000000 980.200000 0.630000 ;
-      RECT 973.640000 0.000000 976.710000 0.630000 ;
-      RECT 970.150000 0.000000 973.220000 0.630000 ;
-      RECT 966.665000 0.000000 969.730000 0.630000 ;
-      RECT 963.175000 0.000000 966.245000 0.630000 ;
-      RECT 959.685000 0.000000 962.755000 0.630000 ;
-      RECT 956.195000 0.000000 959.265000 0.630000 ;
-      RECT 952.705000 0.000000 955.775000 0.630000 ;
-      RECT 949.220000 0.000000 952.285000 0.630000 ;
-      RECT 945.730000 0.000000 948.800000 0.630000 ;
-      RECT 942.240000 0.000000 945.310000 0.630000 ;
-      RECT 938.750000 0.000000 941.820000 0.630000 ;
-      RECT 935.260000 0.000000 938.330000 0.630000 ;
-      RECT 931.775000 0.000000 934.840000 0.630000 ;
-      RECT 928.285000 0.000000 931.355000 0.630000 ;
-      RECT 924.795000 0.000000 927.865000 0.630000 ;
-      RECT 921.305000 0.000000 924.375000 0.630000 ;
-      RECT 917.815000 0.000000 920.885000 0.630000 ;
-      RECT 914.330000 0.000000 917.395000 0.630000 ;
-      RECT 910.840000 0.000000 913.910000 0.630000 ;
-      RECT 907.350000 0.000000 910.420000 0.630000 ;
-      RECT 903.860000 0.000000 906.930000 0.630000 ;
-      RECT 900.370000 0.000000 903.440000 0.630000 ;
-      RECT 896.885000 0.000000 899.950000 0.630000 ;
-      RECT 893.395000 0.000000 896.465000 0.630000 ;
-      RECT 889.905000 0.000000 892.975000 0.630000 ;
-      RECT 886.415000 0.000000 889.485000 0.630000 ;
-      RECT 882.925000 0.000000 885.995000 0.630000 ;
-      RECT 879.440000 0.000000 882.505000 0.630000 ;
-      RECT 875.950000 0.000000 879.020000 0.630000 ;
-      RECT 872.460000 0.000000 875.530000 0.630000 ;
-      RECT 868.970000 0.000000 872.040000 0.630000 ;
-      RECT 865.480000 0.000000 868.550000 0.630000 ;
-      RECT 861.995000 0.000000 865.060000 0.630000 ;
-      RECT 858.505000 0.000000 861.575000 0.630000 ;
-      RECT 855.015000 0.000000 858.085000 0.630000 ;
-      RECT 851.525000 0.000000 854.595000 0.630000 ;
-      RECT 848.035000 0.000000 851.105000 0.630000 ;
-      RECT 844.550000 0.000000 847.615000 0.630000 ;
-      RECT 841.060000 0.000000 844.130000 0.630000 ;
-      RECT 837.570000 0.000000 840.640000 0.630000 ;
-      RECT 834.080000 0.000000 837.150000 0.630000 ;
-      RECT 830.590000 0.000000 833.660000 0.630000 ;
-      RECT 827.105000 0.000000 830.170000 0.630000 ;
-      RECT 823.615000 0.000000 826.685000 0.630000 ;
-      RECT 820.125000 0.000000 823.195000 0.630000 ;
-      RECT 816.635000 0.000000 819.705000 0.630000 ;
-      RECT 813.145000 0.000000 816.215000 0.630000 ;
-      RECT 809.660000 0.000000 812.725000 0.630000 ;
-      RECT 806.170000 0.000000 809.240000 0.630000 ;
-      RECT 802.680000 0.000000 805.750000 0.630000 ;
-      RECT 799.190000 0.000000 802.260000 0.630000 ;
-      RECT 795.700000 0.000000 798.770000 0.630000 ;
-      RECT 792.215000 0.000000 795.280000 0.630000 ;
-      RECT 788.725000 0.000000 791.795000 0.630000 ;
-      RECT 785.235000 0.000000 788.305000 0.630000 ;
-      RECT 781.745000 0.000000 784.815000 0.630000 ;
-      RECT 778.255000 0.000000 781.325000 0.630000 ;
-      RECT 774.770000 0.000000 777.835000 0.630000 ;
-      RECT 771.280000 0.000000 774.350000 0.630000 ;
-      RECT 767.790000 0.000000 770.860000 0.630000 ;
-      RECT 764.300000 0.000000 767.370000 0.630000 ;
-      RECT 760.810000 0.000000 763.880000 0.630000 ;
-      RECT 757.325000 0.000000 760.390000 0.630000 ;
-      RECT 753.835000 0.000000 756.905000 0.630000 ;
-      RECT 750.345000 0.000000 753.415000 0.630000 ;
-      RECT 746.855000 0.000000 749.925000 0.630000 ;
-      RECT 743.365000 0.000000 746.435000 0.630000 ;
-      RECT 739.880000 0.000000 742.945000 0.630000 ;
-      RECT 736.390000 0.000000 739.460000 0.630000 ;
-      RECT 732.900000 0.000000 735.970000 0.630000 ;
-      RECT 729.410000 0.000000 732.480000 0.630000 ;
-      RECT 725.920000 0.000000 728.990000 0.630000 ;
-      RECT 722.435000 0.000000 725.500000 0.630000 ;
-      RECT 718.945000 0.000000 722.015000 0.630000 ;
-      RECT 715.455000 0.000000 718.525000 0.630000 ;
-      RECT 711.965000 0.000000 715.035000 0.630000 ;
-      RECT 708.475000 0.000000 711.545000 0.630000 ;
-      RECT 704.990000 0.000000 708.055000 0.630000 ;
-      RECT 701.500000 0.000000 704.570000 0.630000 ;
-      RECT 698.010000 0.000000 701.080000 0.630000 ;
-      RECT 694.520000 0.000000 697.590000 0.630000 ;
-      RECT 691.030000 0.000000 694.100000 0.630000 ;
-      RECT 687.545000 0.000000 690.610000 0.630000 ;
-      RECT 684.055000 0.000000 687.125000 0.630000 ;
-      RECT 680.565000 0.000000 683.635000 0.630000 ;
-      RECT 677.075000 0.000000 680.145000 0.630000 ;
-      RECT 673.585000 0.000000 676.655000 0.630000 ;
-      RECT 670.100000 0.000000 673.165000 0.630000 ;
-      RECT 666.610000 0.000000 669.680000 0.630000 ;
-      RECT 663.120000 0.000000 666.190000 0.630000 ;
-      RECT 659.630000 0.000000 662.700000 0.630000 ;
-      RECT 656.140000 0.000000 659.210000 0.630000 ;
-      RECT 652.655000 0.000000 655.720000 0.630000 ;
-      RECT 649.165000 0.000000 652.235000 0.630000 ;
-      RECT 645.675000 0.000000 648.745000 0.630000 ;
-      RECT 642.185000 0.000000 645.255000 0.630000 ;
-      RECT 638.695000 0.000000 641.765000 0.630000 ;
-      RECT 635.210000 0.000000 638.275000 0.630000 ;
-      RECT 631.720000 0.000000 634.790000 0.630000 ;
-      RECT 628.230000 0.000000 631.300000 0.630000 ;
-      RECT 624.740000 0.000000 627.810000 0.630000 ;
-      RECT 621.250000 0.000000 624.320000 0.630000 ;
-      RECT 617.765000 0.000000 620.830000 0.630000 ;
-      RECT 614.275000 0.000000 617.345000 0.630000 ;
-      RECT 610.785000 0.000000 613.855000 0.630000 ;
-      RECT 607.295000 0.000000 610.365000 0.630000 ;
-      RECT 603.805000 0.000000 606.875000 0.630000 ;
-      RECT 600.320000 0.000000 603.385000 0.630000 ;
-      RECT 596.830000 0.000000 599.900000 0.630000 ;
-      RECT 593.340000 0.000000 596.410000 0.630000 ;
-      RECT 589.850000 0.000000 592.920000 0.630000 ;
-      RECT 586.360000 0.000000 589.430000 0.630000 ;
-      RECT 582.875000 0.000000 585.940000 0.630000 ;
-      RECT 579.385000 0.000000 582.455000 0.630000 ;
-      RECT 575.895000 0.000000 578.965000 0.630000 ;
-      RECT 572.405000 0.000000 575.475000 0.630000 ;
-      RECT 568.915000 0.000000 571.985000 0.630000 ;
-      RECT 565.430000 0.000000 568.495000 0.630000 ;
-      RECT 561.940000 0.000000 565.010000 0.630000 ;
-      RECT 558.450000 0.000000 561.520000 0.630000 ;
-      RECT 554.960000 0.000000 558.030000 0.630000 ;
-      RECT 551.470000 0.000000 554.540000 0.630000 ;
-      RECT 547.985000 0.000000 551.050000 0.630000 ;
-      RECT 544.495000 0.000000 547.565000 0.630000 ;
-      RECT 541.005000 0.000000 544.075000 0.630000 ;
-      RECT 537.515000 0.000000 540.585000 0.630000 ;
-      RECT 534.025000 0.000000 537.095000 0.630000 ;
-      RECT 530.540000 0.000000 533.605000 0.630000 ;
-      RECT 527.050000 0.000000 530.120000 0.630000 ;
-      RECT 523.560000 0.000000 526.630000 0.630000 ;
-      RECT 520.070000 0.000000 523.140000 0.630000 ;
-      RECT 516.580000 0.000000 519.650000 0.630000 ;
-      RECT 513.095000 0.000000 516.160000 0.630000 ;
-      RECT 509.605000 0.000000 512.675000 0.630000 ;
-      RECT 506.115000 0.000000 509.185000 0.630000 ;
-      RECT 502.625000 0.000000 505.695000 0.630000 ;
-      RECT 499.135000 0.000000 502.205000 0.630000 ;
-      RECT 495.650000 0.000000 498.715000 0.630000 ;
-      RECT 492.160000 0.000000 495.230000 0.630000 ;
-      RECT 488.670000 0.000000 491.740000 0.630000 ;
-      RECT 485.180000 0.000000 488.250000 0.630000 ;
-      RECT 481.690000 0.000000 484.760000 0.630000 ;
-      RECT 478.205000 0.000000 481.270000 0.630000 ;
-      RECT 474.715000 0.000000 477.785000 0.630000 ;
-      RECT 471.225000 0.000000 474.295000 0.630000 ;
-      RECT 467.735000 0.000000 470.805000 0.630000 ;
-      RECT 464.245000 0.000000 467.315000 0.630000 ;
-      RECT 460.760000 0.000000 463.825000 0.630000 ;
-      RECT 457.270000 0.000000 460.340000 0.630000 ;
-      RECT 453.780000 0.000000 456.850000 0.630000 ;
-      RECT 450.290000 0.000000 453.360000 0.630000 ;
-      RECT 446.800000 0.000000 449.870000 0.630000 ;
-      RECT 443.315000 0.000000 446.380000 0.630000 ;
-      RECT 439.825000 0.000000 442.895000 0.630000 ;
-      RECT 436.335000 0.000000 439.405000 0.630000 ;
-      RECT 432.845000 0.000000 435.915000 0.630000 ;
-      RECT 429.355000 0.000000 432.425000 0.630000 ;
-      RECT 425.870000 0.000000 428.935000 0.630000 ;
-      RECT 422.380000 0.000000 425.450000 0.630000 ;
-      RECT 418.890000 0.000000 421.960000 0.630000 ;
-      RECT 415.400000 0.000000 418.470000 0.630000 ;
-      RECT 411.910000 0.000000 414.980000 0.630000 ;
-      RECT 408.425000 0.000000 411.490000 0.630000 ;
-      RECT 404.935000 0.000000 408.005000 0.630000 ;
-      RECT 401.445000 0.000000 404.515000 0.630000 ;
-      RECT 397.955000 0.000000 401.025000 0.630000 ;
-      RECT 394.465000 0.000000 397.535000 0.630000 ;
-      RECT 390.980000 0.000000 394.045000 0.630000 ;
-      RECT 387.490000 0.000000 390.560000 0.630000 ;
-      RECT 384.000000 0.000000 387.070000 0.630000 ;
-      RECT 380.510000 0.000000 383.580000 0.630000 ;
-      RECT 377.020000 0.000000 380.090000 0.630000 ;
-      RECT 373.535000 0.000000 376.600000 0.630000 ;
-      RECT 370.045000 0.000000 373.115000 0.630000 ;
-      RECT 366.555000 0.000000 369.625000 0.630000 ;
-      RECT 363.065000 0.000000 366.135000 0.630000 ;
-      RECT 359.575000 0.000000 362.645000 0.630000 ;
-      RECT 356.090000 0.000000 359.155000 0.630000 ;
-      RECT 352.600000 0.000000 355.670000 0.630000 ;
-      RECT 349.110000 0.000000 352.180000 0.630000 ;
-      RECT 345.620000 0.000000 348.690000 0.630000 ;
-      RECT 342.130000 0.000000 345.200000 0.630000 ;
-      RECT 338.645000 0.000000 341.710000 0.630000 ;
-      RECT 335.155000 0.000000 338.225000 0.630000 ;
-      RECT 331.665000 0.000000 334.735000 0.630000 ;
-      RECT 328.175000 0.000000 331.245000 0.630000 ;
-      RECT 324.685000 0.000000 327.755000 0.630000 ;
-      RECT 321.200000 0.000000 324.265000 0.630000 ;
-      RECT 317.710000 0.000000 320.780000 0.630000 ;
-      RECT 314.220000 0.000000 317.290000 0.630000 ;
-      RECT 310.730000 0.000000 313.800000 0.630000 ;
-      RECT 307.240000 0.000000 310.310000 0.630000 ;
-      RECT 303.755000 0.000000 306.820000 0.630000 ;
-      RECT 300.265000 0.000000 303.335000 0.630000 ;
-      RECT 296.775000 0.000000 299.845000 0.630000 ;
-      RECT 293.285000 0.000000 296.355000 0.630000 ;
-      RECT 289.795000 0.000000 292.865000 0.630000 ;
-      RECT 286.310000 0.000000 289.375000 0.630000 ;
-      RECT 282.820000 0.000000 285.890000 0.630000 ;
-      RECT 279.330000 0.000000 282.400000 0.630000 ;
-      RECT 275.840000 0.000000 278.910000 0.630000 ;
-      RECT 272.350000 0.000000 275.420000 0.630000 ;
-      RECT 268.865000 0.000000 271.930000 0.630000 ;
-      RECT 265.375000 0.000000 268.445000 0.630000 ;
-      RECT 261.885000 0.000000 264.955000 0.630000 ;
-      RECT 258.395000 0.000000 261.465000 0.630000 ;
-      RECT 254.905000 0.000000 257.975000 0.630000 ;
-      RECT 251.420000 0.000000 254.485000 0.630000 ;
-      RECT 247.930000 0.000000 251.000000 0.630000 ;
-      RECT 244.440000 0.000000 247.510000 0.630000 ;
-      RECT 240.950000 0.000000 244.020000 0.630000 ;
-      RECT 237.460000 0.000000 240.530000 0.630000 ;
-      RECT 233.975000 0.000000 237.040000 0.630000 ;
-      RECT 230.485000 0.000000 233.555000 0.630000 ;
-      RECT 226.995000 0.000000 230.065000 0.630000 ;
-      RECT 223.505000 0.000000 226.575000 0.630000 ;
-      RECT 220.015000 0.000000 223.085000 0.630000 ;
-      RECT 216.530000 0.000000 219.595000 0.630000 ;
-      RECT 213.040000 0.000000 216.110000 0.630000 ;
-      RECT 209.550000 0.000000 212.620000 0.630000 ;
-      RECT 206.060000 0.000000 209.130000 0.630000 ;
-      RECT 202.570000 0.000000 205.640000 0.630000 ;
-      RECT 199.085000 0.000000 202.150000 0.630000 ;
-      RECT 195.595000 0.000000 198.665000 0.630000 ;
-      RECT 192.105000 0.000000 195.175000 0.630000 ;
-      RECT 188.615000 0.000000 191.685000 0.630000 ;
-      RECT 185.125000 0.000000 188.195000 0.630000 ;
-      RECT 181.640000 0.000000 184.705000 0.630000 ;
-      RECT 178.150000 0.000000 181.220000 0.630000 ;
-      RECT 174.660000 0.000000 177.730000 0.630000 ;
-      RECT 171.170000 0.000000 174.240000 0.630000 ;
-      RECT 167.680000 0.000000 170.750000 0.630000 ;
-      RECT 164.195000 0.000000 167.260000 0.630000 ;
-      RECT 160.705000 0.000000 163.775000 0.630000 ;
-      RECT 157.215000 0.000000 160.285000 0.630000 ;
-      RECT 153.725000 0.000000 156.795000 0.630000 ;
-      RECT 150.235000 0.000000 153.305000 0.630000 ;
-      RECT 146.750000 0.000000 149.815000 0.630000 ;
-      RECT 143.260000 0.000000 146.330000 0.630000 ;
-      RECT 139.770000 0.000000 142.840000 0.630000 ;
-      RECT 136.280000 0.000000 139.350000 0.630000 ;
-      RECT 132.790000 0.000000 135.860000 0.630000 ;
-      RECT 129.305000 0.000000 132.370000 0.630000 ;
-      RECT 125.815000 0.000000 128.885000 0.630000 ;
-      RECT 122.325000 0.000000 125.395000 0.630000 ;
-      RECT 118.835000 0.000000 121.905000 0.630000 ;
-      RECT 115.345000 0.000000 118.415000 0.630000 ;
-      RECT 111.860000 0.000000 114.925000 0.630000 ;
-      RECT 108.370000 0.000000 111.440000 0.630000 ;
-      RECT 104.880000 0.000000 107.950000 0.630000 ;
-      RECT 101.390000 0.000000 104.460000 0.630000 ;
-      RECT 97.900000 0.000000 100.970000 0.630000 ;
-      RECT 94.415000 0.000000 97.480000 0.630000 ;
-      RECT 90.925000 0.000000 93.995000 0.630000 ;
-      RECT 87.435000 0.000000 90.505000 0.630000 ;
-      RECT 83.945000 0.000000 87.015000 0.630000 ;
-      RECT 80.455000 0.000000 83.525000 0.630000 ;
-      RECT 76.970000 0.000000 80.035000 0.630000 ;
-      RECT 73.480000 0.000000 76.550000 0.630000 ;
-      RECT 69.990000 0.000000 73.060000 0.630000 ;
-      RECT 66.500000 0.000000 69.570000 0.630000 ;
-      RECT 63.010000 0.000000 66.080000 0.630000 ;
-      RECT 59.525000 0.000000 62.590000 0.630000 ;
-      RECT 56.035000 0.000000 59.105000 0.630000 ;
-      RECT 52.545000 0.000000 55.615000 0.630000 ;
-      RECT 49.055000 0.000000 52.125000 0.630000 ;
-      RECT 45.565000 0.000000 48.635000 0.630000 ;
-      RECT 42.080000 0.000000 45.145000 0.630000 ;
-      RECT 38.590000 0.000000 41.660000 0.630000 ;
-      RECT 35.100000 0.000000 38.170000 0.630000 ;
-      RECT 31.610000 0.000000 34.680000 0.630000 ;
-      RECT 28.120000 0.000000 31.190000 0.630000 ;
-      RECT 24.635000 0.000000 27.700000 0.630000 ;
-      RECT 21.145000 0.000000 24.215000 0.630000 ;
-      RECT 17.655000 0.000000 20.725000 0.630000 ;
-      RECT 14.165000 0.000000 17.235000 0.630000 ;
-      RECT 10.675000 0.000000 13.745000 0.630000 ;
-      RECT 7.190000 0.000000 10.255000 0.630000 ;
-      RECT 3.700000 0.000000 6.770000 0.630000 ;
-      RECT 1.820000 0.000000 3.280000 0.630000 ;
+      RECT 2216.260000 2018.970000 2220.420000 2019.600000 ;
+      RECT 2157.170000 2018.970000 2215.840000 2019.600000 ;
+      RECT 2093.730000 2018.970000 2156.750000 2019.600000 ;
+      RECT 2030.290000 2018.970000 2093.310000 2019.600000 ;
+      RECT 1966.850000 2018.970000 2029.870000 2019.600000 ;
+      RECT 1903.410000 2018.970000 1966.430000 2019.600000 ;
+      RECT 1839.970000 2018.970000 1902.990000 2019.600000 ;
+      RECT 1776.530000 2018.970000 1839.550000 2019.600000 ;
+      RECT 1713.090000 2018.970000 1776.110000 2019.600000 ;
+      RECT 1649.650000 2018.970000 1712.670000 2019.600000 ;
+      RECT 1586.210000 2018.970000 1649.230000 2019.600000 ;
+      RECT 1522.770000 2018.970000 1585.790000 2019.600000 ;
+      RECT 1459.330000 2018.970000 1522.350000 2019.600000 ;
+      RECT 1395.890000 2018.970000 1458.910000 2019.600000 ;
+      RECT 1332.450000 2018.970000 1395.470000 2019.600000 ;
+      RECT 1269.010000 2018.970000 1332.030000 2019.600000 ;
+      RECT 1205.570000 2018.970000 1268.590000 2019.600000 ;
+      RECT 1142.130000 2018.970000 1205.150000 2019.600000 ;
+      RECT 1078.690000 2018.970000 1141.710000 2019.600000 ;
+      RECT 1015.250000 2018.970000 1078.270000 2019.600000 ;
+      RECT 951.810000 2018.970000 1014.830000 2019.600000 ;
+      RECT 888.370000 2018.970000 951.390000 2019.600000 ;
+      RECT 824.930000 2018.970000 887.950000 2019.600000 ;
+      RECT 761.490000 2018.970000 824.510000 2019.600000 ;
+      RECT 698.050000 2018.970000 761.070000 2019.600000 ;
+      RECT 634.610000 2018.970000 697.630000 2019.600000 ;
+      RECT 571.170000 2018.970000 634.190000 2019.600000 ;
+      RECT 507.730000 2018.970000 570.750000 2019.600000 ;
+      RECT 444.290000 2018.970000 507.310000 2019.600000 ;
+      RECT 380.850000 2018.970000 443.870000 2019.600000 ;
+      RECT 317.410000 2018.970000 380.430000 2019.600000 ;
+      RECT 253.970000 2018.970000 316.990000 2019.600000 ;
+      RECT 190.530000 2018.970000 253.550000 2019.600000 ;
+      RECT 127.090000 2018.970000 190.110000 2019.600000 ;
+      RECT 63.650000 2018.970000 126.670000 2019.600000 ;
+      RECT 4.580000 2018.970000 63.230000 2019.600000 ;
+      RECT 0.000000 2018.970000 4.160000 2019.600000 ;
+      RECT 0.000000 0.630000 2220.420000 2018.970000 ;
+      RECT 2218.100000 0.000000 2220.420000 0.630000 ;
+      RECT 2215.685000 0.000000 2217.680000 0.630000 ;
+      RECT 2211.185000 0.000000 2215.265000 0.630000 ;
+      RECT 2206.680000 0.000000 2210.765000 0.630000 ;
+      RECT 2202.175000 0.000000 2206.260000 0.630000 ;
+      RECT 2197.675000 0.000000 2201.755000 0.630000 ;
+      RECT 2193.170000 0.000000 2197.255000 0.630000 ;
+      RECT 2188.670000 0.000000 2192.750000 0.630000 ;
+      RECT 2184.165000 0.000000 2188.250000 0.630000 ;
+      RECT 2179.660000 0.000000 2183.745000 0.630000 ;
+      RECT 2175.160000 0.000000 2179.240000 0.630000 ;
+      RECT 2170.655000 0.000000 2174.740000 0.630000 ;
+      RECT 2166.155000 0.000000 2170.235000 0.630000 ;
+      RECT 2161.650000 0.000000 2165.735000 0.630000 ;
+      RECT 2157.145000 0.000000 2161.230000 0.630000 ;
+      RECT 2152.645000 0.000000 2156.725000 0.630000 ;
+      RECT 2148.140000 0.000000 2152.225000 0.630000 ;
+      RECT 2143.640000 0.000000 2147.720000 0.630000 ;
+      RECT 2139.135000 0.000000 2143.220000 0.630000 ;
+      RECT 2134.630000 0.000000 2138.715000 0.630000 ;
+      RECT 2130.130000 0.000000 2134.210000 0.630000 ;
+      RECT 2125.625000 0.000000 2129.710000 0.630000 ;
+      RECT 2121.125000 0.000000 2125.205000 0.630000 ;
+      RECT 2116.620000 0.000000 2120.705000 0.630000 ;
+      RECT 2112.115000 0.000000 2116.200000 0.630000 ;
+      RECT 2107.615000 0.000000 2111.695000 0.630000 ;
+      RECT 2103.110000 0.000000 2107.195000 0.630000 ;
+      RECT 2098.610000 0.000000 2102.690000 0.630000 ;
+      RECT 2094.105000 0.000000 2098.190000 0.630000 ;
+      RECT 2089.600000 0.000000 2093.685000 0.630000 ;
+      RECT 2085.100000 0.000000 2089.180000 0.630000 ;
+      RECT 2080.595000 0.000000 2084.680000 0.630000 ;
+      RECT 2076.095000 0.000000 2080.175000 0.630000 ;
+      RECT 2071.590000 0.000000 2075.675000 0.630000 ;
+      RECT 2067.085000 0.000000 2071.170000 0.630000 ;
+      RECT 2062.585000 0.000000 2066.665000 0.630000 ;
+      RECT 2058.080000 0.000000 2062.165000 0.630000 ;
+      RECT 2053.580000 0.000000 2057.660000 0.630000 ;
+      RECT 2049.075000 0.000000 2053.160000 0.630000 ;
+      RECT 2044.570000 0.000000 2048.655000 0.630000 ;
+      RECT 2040.070000 0.000000 2044.150000 0.630000 ;
+      RECT 2035.565000 0.000000 2039.650000 0.630000 ;
+      RECT 2031.065000 0.000000 2035.145000 0.630000 ;
+      RECT 2026.560000 0.000000 2030.645000 0.630000 ;
+      RECT 2022.055000 0.000000 2026.140000 0.630000 ;
+      RECT 2017.555000 0.000000 2021.635000 0.630000 ;
+      RECT 2013.050000 0.000000 2017.135000 0.630000 ;
+      RECT 2008.550000 0.000000 2012.630000 0.630000 ;
+      RECT 2004.045000 0.000000 2008.130000 0.630000 ;
+      RECT 1999.540000 0.000000 2003.625000 0.630000 ;
+      RECT 1995.040000 0.000000 1999.120000 0.630000 ;
+      RECT 1990.535000 0.000000 1994.620000 0.630000 ;
+      RECT 1986.035000 0.000000 1990.115000 0.630000 ;
+      RECT 1981.530000 0.000000 1985.615000 0.630000 ;
+      RECT 1977.025000 0.000000 1981.110000 0.630000 ;
+      RECT 1972.525000 0.000000 1976.605000 0.630000 ;
+      RECT 1968.020000 0.000000 1972.105000 0.630000 ;
+      RECT 1963.520000 0.000000 1967.600000 0.630000 ;
+      RECT 1959.015000 0.000000 1963.100000 0.630000 ;
+      RECT 1954.510000 0.000000 1958.595000 0.630000 ;
+      RECT 1950.010000 0.000000 1954.090000 0.630000 ;
+      RECT 1945.505000 0.000000 1949.590000 0.630000 ;
+      RECT 1941.005000 0.000000 1945.085000 0.630000 ;
+      RECT 1936.500000 0.000000 1940.585000 0.630000 ;
+      RECT 1931.995000 0.000000 1936.080000 0.630000 ;
+      RECT 1927.495000 0.000000 1931.575000 0.630000 ;
+      RECT 1922.990000 0.000000 1927.075000 0.630000 ;
+      RECT 1918.490000 0.000000 1922.570000 0.630000 ;
+      RECT 1913.985000 0.000000 1918.070000 0.630000 ;
+      RECT 1909.480000 0.000000 1913.565000 0.630000 ;
+      RECT 1904.980000 0.000000 1909.060000 0.630000 ;
+      RECT 1900.475000 0.000000 1904.560000 0.630000 ;
+      RECT 1895.975000 0.000000 1900.055000 0.630000 ;
+      RECT 1891.470000 0.000000 1895.555000 0.630000 ;
+      RECT 1886.965000 0.000000 1891.050000 0.630000 ;
+      RECT 1882.465000 0.000000 1886.545000 0.630000 ;
+      RECT 1877.960000 0.000000 1882.045000 0.630000 ;
+      RECT 1873.460000 0.000000 1877.540000 0.630000 ;
+      RECT 1868.955000 0.000000 1873.040000 0.630000 ;
+      RECT 1864.450000 0.000000 1868.535000 0.630000 ;
+      RECT 1859.950000 0.000000 1864.030000 0.630000 ;
+      RECT 1855.445000 0.000000 1859.530000 0.630000 ;
+      RECT 1850.945000 0.000000 1855.025000 0.630000 ;
+      RECT 1846.440000 0.000000 1850.525000 0.630000 ;
+      RECT 1841.935000 0.000000 1846.020000 0.630000 ;
+      RECT 1837.435000 0.000000 1841.515000 0.630000 ;
+      RECT 1832.930000 0.000000 1837.015000 0.630000 ;
+      RECT 1828.430000 0.000000 1832.510000 0.630000 ;
+      RECT 1823.925000 0.000000 1828.010000 0.630000 ;
+      RECT 1819.420000 0.000000 1823.505000 0.630000 ;
+      RECT 1814.920000 0.000000 1819.000000 0.630000 ;
+      RECT 1810.415000 0.000000 1814.500000 0.630000 ;
+      RECT 1805.915000 0.000000 1809.995000 0.630000 ;
+      RECT 1801.410000 0.000000 1805.495000 0.630000 ;
+      RECT 1796.905000 0.000000 1800.990000 0.630000 ;
+      RECT 1792.405000 0.000000 1796.485000 0.630000 ;
+      RECT 1787.900000 0.000000 1791.985000 0.630000 ;
+      RECT 1783.400000 0.000000 1787.480000 0.630000 ;
+      RECT 1778.895000 0.000000 1782.980000 0.630000 ;
+      RECT 1774.390000 0.000000 1778.475000 0.630000 ;
+      RECT 1769.890000 0.000000 1773.970000 0.630000 ;
+      RECT 1765.385000 0.000000 1769.470000 0.630000 ;
+      RECT 1760.885000 0.000000 1764.965000 0.630000 ;
+      RECT 1756.380000 0.000000 1760.465000 0.630000 ;
+      RECT 1751.875000 0.000000 1755.960000 0.630000 ;
+      RECT 1747.375000 0.000000 1751.455000 0.630000 ;
+      RECT 1742.870000 0.000000 1746.955000 0.630000 ;
+      RECT 1738.370000 0.000000 1742.450000 0.630000 ;
+      RECT 1733.865000 0.000000 1737.950000 0.630000 ;
+      RECT 1729.360000 0.000000 1733.445000 0.630000 ;
+      RECT 1724.860000 0.000000 1728.940000 0.630000 ;
+      RECT 1720.355000 0.000000 1724.440000 0.630000 ;
+      RECT 1715.855000 0.000000 1719.935000 0.630000 ;
+      RECT 1711.350000 0.000000 1715.435000 0.630000 ;
+      RECT 1706.845000 0.000000 1710.930000 0.630000 ;
+      RECT 1702.345000 0.000000 1706.425000 0.630000 ;
+      RECT 1697.840000 0.000000 1701.925000 0.630000 ;
+      RECT 1693.340000 0.000000 1697.420000 0.630000 ;
+      RECT 1688.835000 0.000000 1692.920000 0.630000 ;
+      RECT 1684.330000 0.000000 1688.415000 0.630000 ;
+      RECT 1679.830000 0.000000 1683.910000 0.630000 ;
+      RECT 1675.325000 0.000000 1679.410000 0.630000 ;
+      RECT 1670.825000 0.000000 1674.905000 0.630000 ;
+      RECT 1666.320000 0.000000 1670.405000 0.630000 ;
+      RECT 1661.815000 0.000000 1665.900000 0.630000 ;
+      RECT 1657.315000 0.000000 1661.395000 0.630000 ;
+      RECT 1652.810000 0.000000 1656.895000 0.630000 ;
+      RECT 1648.310000 0.000000 1652.390000 0.630000 ;
+      RECT 1643.805000 0.000000 1647.890000 0.630000 ;
+      RECT 1639.300000 0.000000 1643.385000 0.630000 ;
+      RECT 1634.800000 0.000000 1638.880000 0.630000 ;
+      RECT 1630.295000 0.000000 1634.380000 0.630000 ;
+      RECT 1625.795000 0.000000 1629.875000 0.630000 ;
+      RECT 1621.290000 0.000000 1625.375000 0.630000 ;
+      RECT 1616.785000 0.000000 1620.870000 0.630000 ;
+      RECT 1612.285000 0.000000 1616.365000 0.630000 ;
+      RECT 1607.780000 0.000000 1611.865000 0.630000 ;
+      RECT 1603.280000 0.000000 1607.360000 0.630000 ;
+      RECT 1598.775000 0.000000 1602.860000 0.630000 ;
+      RECT 1594.270000 0.000000 1598.355000 0.630000 ;
+      RECT 1589.770000 0.000000 1593.850000 0.630000 ;
+      RECT 1585.265000 0.000000 1589.350000 0.630000 ;
+      RECT 1580.765000 0.000000 1584.845000 0.630000 ;
+      RECT 1576.260000 0.000000 1580.345000 0.630000 ;
+      RECT 1571.755000 0.000000 1575.840000 0.630000 ;
+      RECT 1567.255000 0.000000 1571.335000 0.630000 ;
+      RECT 1562.750000 0.000000 1566.835000 0.630000 ;
+      RECT 1558.250000 0.000000 1562.330000 0.630000 ;
+      RECT 1553.745000 0.000000 1557.830000 0.630000 ;
+      RECT 1549.240000 0.000000 1553.325000 0.630000 ;
+      RECT 1544.740000 0.000000 1548.820000 0.630000 ;
+      RECT 1540.235000 0.000000 1544.320000 0.630000 ;
+      RECT 1535.735000 0.000000 1539.815000 0.630000 ;
+      RECT 1531.230000 0.000000 1535.315000 0.630000 ;
+      RECT 1526.725000 0.000000 1530.810000 0.630000 ;
+      RECT 1522.225000 0.000000 1526.305000 0.630000 ;
+      RECT 1517.720000 0.000000 1521.805000 0.630000 ;
+      RECT 1513.220000 0.000000 1517.300000 0.630000 ;
+      RECT 1508.715000 0.000000 1512.800000 0.630000 ;
+      RECT 1504.210000 0.000000 1508.295000 0.630000 ;
+      RECT 1499.710000 0.000000 1503.790000 0.630000 ;
+      RECT 1495.205000 0.000000 1499.290000 0.630000 ;
+      RECT 1490.705000 0.000000 1494.785000 0.630000 ;
+      RECT 1486.200000 0.000000 1490.285000 0.630000 ;
+      RECT 1481.695000 0.000000 1485.780000 0.630000 ;
+      RECT 1477.195000 0.000000 1481.275000 0.630000 ;
+      RECT 1472.690000 0.000000 1476.775000 0.630000 ;
+      RECT 1468.190000 0.000000 1472.270000 0.630000 ;
+      RECT 1463.685000 0.000000 1467.770000 0.630000 ;
+      RECT 1459.180000 0.000000 1463.265000 0.630000 ;
+      RECT 1454.680000 0.000000 1458.760000 0.630000 ;
+      RECT 1450.175000 0.000000 1454.260000 0.630000 ;
+      RECT 1445.675000 0.000000 1449.755000 0.630000 ;
+      RECT 1441.170000 0.000000 1445.255000 0.630000 ;
+      RECT 1436.665000 0.000000 1440.750000 0.630000 ;
+      RECT 1432.165000 0.000000 1436.245000 0.630000 ;
+      RECT 1427.660000 0.000000 1431.745000 0.630000 ;
+      RECT 1423.160000 0.000000 1427.240000 0.630000 ;
+      RECT 1418.655000 0.000000 1422.740000 0.630000 ;
+      RECT 1414.150000 0.000000 1418.235000 0.630000 ;
+      RECT 1409.650000 0.000000 1413.730000 0.630000 ;
+      RECT 1405.145000 0.000000 1409.230000 0.630000 ;
+      RECT 1400.645000 0.000000 1404.725000 0.630000 ;
+      RECT 1396.140000 0.000000 1400.225000 0.630000 ;
+      RECT 1391.635000 0.000000 1395.720000 0.630000 ;
+      RECT 1387.135000 0.000000 1391.215000 0.630000 ;
+      RECT 1382.630000 0.000000 1386.715000 0.630000 ;
+      RECT 1378.130000 0.000000 1382.210000 0.630000 ;
+      RECT 1373.625000 0.000000 1377.710000 0.630000 ;
+      RECT 1369.120000 0.000000 1373.205000 0.630000 ;
+      RECT 1364.620000 0.000000 1368.700000 0.630000 ;
+      RECT 1360.115000 0.000000 1364.200000 0.630000 ;
+      RECT 1355.615000 0.000000 1359.695000 0.630000 ;
+      RECT 1351.110000 0.000000 1355.195000 0.630000 ;
+      RECT 1346.605000 0.000000 1350.690000 0.630000 ;
+      RECT 1342.105000 0.000000 1346.185000 0.630000 ;
+      RECT 1337.600000 0.000000 1341.685000 0.630000 ;
+      RECT 1333.100000 0.000000 1337.180000 0.630000 ;
+      RECT 1328.595000 0.000000 1332.680000 0.630000 ;
+      RECT 1324.090000 0.000000 1328.175000 0.630000 ;
+      RECT 1319.590000 0.000000 1323.670000 0.630000 ;
+      RECT 1315.085000 0.000000 1319.170000 0.630000 ;
+      RECT 1310.585000 0.000000 1314.665000 0.630000 ;
+      RECT 1306.080000 0.000000 1310.165000 0.630000 ;
+      RECT 1301.575000 0.000000 1305.660000 0.630000 ;
+      RECT 1297.075000 0.000000 1301.155000 0.630000 ;
+      RECT 1292.570000 0.000000 1296.655000 0.630000 ;
+      RECT 1288.070000 0.000000 1292.150000 0.630000 ;
+      RECT 1283.565000 0.000000 1287.650000 0.630000 ;
+      RECT 1279.060000 0.000000 1283.145000 0.630000 ;
+      RECT 1274.560000 0.000000 1278.640000 0.630000 ;
+      RECT 1270.055000 0.000000 1274.140000 0.630000 ;
+      RECT 1265.555000 0.000000 1269.635000 0.630000 ;
+      RECT 1261.050000 0.000000 1265.135000 0.630000 ;
+      RECT 1256.545000 0.000000 1260.630000 0.630000 ;
+      RECT 1252.045000 0.000000 1256.125000 0.630000 ;
+      RECT 1247.540000 0.000000 1251.625000 0.630000 ;
+      RECT 1243.040000 0.000000 1247.120000 0.630000 ;
+      RECT 1238.535000 0.000000 1242.620000 0.630000 ;
+      RECT 1234.030000 0.000000 1238.115000 0.630000 ;
+      RECT 1229.530000 0.000000 1233.610000 0.630000 ;
+      RECT 1225.025000 0.000000 1229.110000 0.630000 ;
+      RECT 1220.525000 0.000000 1224.605000 0.630000 ;
+      RECT 1216.020000 0.000000 1220.105000 0.630000 ;
+      RECT 1211.515000 0.000000 1215.600000 0.630000 ;
+      RECT 1207.015000 0.000000 1211.095000 0.630000 ;
+      RECT 1202.510000 0.000000 1206.595000 0.630000 ;
+      RECT 1198.010000 0.000000 1202.090000 0.630000 ;
+      RECT 1193.505000 0.000000 1197.590000 0.630000 ;
+      RECT 1189.000000 0.000000 1193.085000 0.630000 ;
+      RECT 1184.500000 0.000000 1188.580000 0.630000 ;
+      RECT 1179.995000 0.000000 1184.080000 0.630000 ;
+      RECT 1175.495000 0.000000 1179.575000 0.630000 ;
+      RECT 1170.990000 0.000000 1175.075000 0.630000 ;
+      RECT 1166.485000 0.000000 1170.570000 0.630000 ;
+      RECT 1161.985000 0.000000 1166.065000 0.630000 ;
+      RECT 1157.480000 0.000000 1161.565000 0.630000 ;
+      RECT 1152.980000 0.000000 1157.060000 0.630000 ;
+      RECT 1148.475000 0.000000 1152.560000 0.630000 ;
+      RECT 1143.970000 0.000000 1148.055000 0.630000 ;
+      RECT 1139.470000 0.000000 1143.550000 0.630000 ;
+      RECT 1134.965000 0.000000 1139.050000 0.630000 ;
+      RECT 1130.465000 0.000000 1134.545000 0.630000 ;
+      RECT 1125.960000 0.000000 1130.045000 0.630000 ;
+      RECT 1121.455000 0.000000 1125.540000 0.630000 ;
+      RECT 1116.955000 0.000000 1121.035000 0.630000 ;
+      RECT 1112.450000 0.000000 1116.535000 0.630000 ;
+      RECT 1107.950000 0.000000 1112.030000 0.630000 ;
+      RECT 1103.445000 0.000000 1107.530000 0.630000 ;
+      RECT 1098.940000 0.000000 1103.025000 0.630000 ;
+      RECT 1094.440000 0.000000 1098.520000 0.630000 ;
+      RECT 1089.935000 0.000000 1094.020000 0.630000 ;
+      RECT 1085.435000 0.000000 1089.515000 0.630000 ;
+      RECT 1080.930000 0.000000 1085.015000 0.630000 ;
+      RECT 1076.425000 0.000000 1080.510000 0.630000 ;
+      RECT 1071.925000 0.000000 1076.005000 0.630000 ;
+      RECT 1067.420000 0.000000 1071.505000 0.630000 ;
+      RECT 1062.920000 0.000000 1067.000000 0.630000 ;
+      RECT 1058.415000 0.000000 1062.500000 0.630000 ;
+      RECT 1053.910000 0.000000 1057.995000 0.630000 ;
+      RECT 1049.410000 0.000000 1053.490000 0.630000 ;
+      RECT 1044.905000 0.000000 1048.990000 0.630000 ;
+      RECT 1040.405000 0.000000 1044.485000 0.630000 ;
+      RECT 1035.900000 0.000000 1039.985000 0.630000 ;
+      RECT 1031.395000 0.000000 1035.480000 0.630000 ;
+      RECT 1026.895000 0.000000 1030.975000 0.630000 ;
+      RECT 1022.390000 0.000000 1026.475000 0.630000 ;
+      RECT 1017.890000 0.000000 1021.970000 0.630000 ;
+      RECT 1013.385000 0.000000 1017.470000 0.630000 ;
+      RECT 1008.880000 0.000000 1012.965000 0.630000 ;
+      RECT 1004.380000 0.000000 1008.460000 0.630000 ;
+      RECT 999.875000 0.000000 1003.960000 0.630000 ;
+      RECT 995.375000 0.000000 999.455000 0.630000 ;
+      RECT 990.870000 0.000000 994.955000 0.630000 ;
+      RECT 986.365000 0.000000 990.450000 0.630000 ;
+      RECT 981.865000 0.000000 985.945000 0.630000 ;
+      RECT 977.360000 0.000000 981.445000 0.630000 ;
+      RECT 972.860000 0.000000 976.940000 0.630000 ;
+      RECT 968.355000 0.000000 972.440000 0.630000 ;
+      RECT 963.850000 0.000000 967.935000 0.630000 ;
+      RECT 959.350000 0.000000 963.430000 0.630000 ;
+      RECT 954.845000 0.000000 958.930000 0.630000 ;
+      RECT 950.345000 0.000000 954.425000 0.630000 ;
+      RECT 945.840000 0.000000 949.925000 0.630000 ;
+      RECT 941.335000 0.000000 945.420000 0.630000 ;
+      RECT 936.835000 0.000000 940.915000 0.630000 ;
+      RECT 932.330000 0.000000 936.415000 0.630000 ;
+      RECT 927.830000 0.000000 931.910000 0.630000 ;
+      RECT 923.325000 0.000000 927.410000 0.630000 ;
+      RECT 918.820000 0.000000 922.905000 0.630000 ;
+      RECT 914.320000 0.000000 918.400000 0.630000 ;
+      RECT 909.815000 0.000000 913.900000 0.630000 ;
+      RECT 905.315000 0.000000 909.395000 0.630000 ;
+      RECT 900.810000 0.000000 904.895000 0.630000 ;
+      RECT 896.305000 0.000000 900.390000 0.630000 ;
+      RECT 891.805000 0.000000 895.885000 0.630000 ;
+      RECT 887.300000 0.000000 891.385000 0.630000 ;
+      RECT 882.800000 0.000000 886.880000 0.630000 ;
+      RECT 878.295000 0.000000 882.380000 0.630000 ;
+      RECT 873.790000 0.000000 877.875000 0.630000 ;
+      RECT 869.290000 0.000000 873.370000 0.630000 ;
+      RECT 864.785000 0.000000 868.870000 0.630000 ;
+      RECT 860.285000 0.000000 864.365000 0.630000 ;
+      RECT 855.780000 0.000000 859.865000 0.630000 ;
+      RECT 851.275000 0.000000 855.360000 0.630000 ;
+      RECT 846.775000 0.000000 850.855000 0.630000 ;
+      RECT 842.270000 0.000000 846.355000 0.630000 ;
+      RECT 837.770000 0.000000 841.850000 0.630000 ;
+      RECT 833.265000 0.000000 837.350000 0.630000 ;
+      RECT 828.760000 0.000000 832.845000 0.630000 ;
+      RECT 824.260000 0.000000 828.340000 0.630000 ;
+      RECT 819.755000 0.000000 823.840000 0.630000 ;
+      RECT 815.255000 0.000000 819.335000 0.630000 ;
+      RECT 810.750000 0.000000 814.835000 0.630000 ;
+      RECT 806.245000 0.000000 810.330000 0.630000 ;
+      RECT 801.745000 0.000000 805.825000 0.630000 ;
+      RECT 797.240000 0.000000 801.325000 0.630000 ;
+      RECT 792.740000 0.000000 796.820000 0.630000 ;
+      RECT 788.235000 0.000000 792.320000 0.630000 ;
+      RECT 783.730000 0.000000 787.815000 0.630000 ;
+      RECT 779.230000 0.000000 783.310000 0.630000 ;
+      RECT 774.725000 0.000000 778.810000 0.630000 ;
+      RECT 770.225000 0.000000 774.305000 0.630000 ;
+      RECT 765.720000 0.000000 769.805000 0.630000 ;
+      RECT 761.215000 0.000000 765.300000 0.630000 ;
+      RECT 756.715000 0.000000 760.795000 0.630000 ;
+      RECT 752.210000 0.000000 756.295000 0.630000 ;
+      RECT 747.710000 0.000000 751.790000 0.630000 ;
+      RECT 743.205000 0.000000 747.290000 0.630000 ;
+      RECT 738.700000 0.000000 742.785000 0.630000 ;
+      RECT 734.200000 0.000000 738.280000 0.630000 ;
+      RECT 729.695000 0.000000 733.780000 0.630000 ;
+      RECT 725.195000 0.000000 729.275000 0.630000 ;
+      RECT 720.690000 0.000000 724.775000 0.630000 ;
+      RECT 716.185000 0.000000 720.270000 0.630000 ;
+      RECT 711.685000 0.000000 715.765000 0.630000 ;
+      RECT 707.180000 0.000000 711.265000 0.630000 ;
+      RECT 702.680000 0.000000 706.760000 0.630000 ;
+      RECT 698.175000 0.000000 702.260000 0.630000 ;
+      RECT 693.670000 0.000000 697.755000 0.630000 ;
+      RECT 689.170000 0.000000 693.250000 0.630000 ;
+      RECT 684.665000 0.000000 688.750000 0.630000 ;
+      RECT 680.165000 0.000000 684.245000 0.630000 ;
+      RECT 675.660000 0.000000 679.745000 0.630000 ;
+      RECT 671.155000 0.000000 675.240000 0.630000 ;
+      RECT 666.655000 0.000000 670.735000 0.630000 ;
+      RECT 662.150000 0.000000 666.235000 0.630000 ;
+      RECT 657.650000 0.000000 661.730000 0.630000 ;
+      RECT 653.145000 0.000000 657.230000 0.630000 ;
+      RECT 648.640000 0.000000 652.725000 0.630000 ;
+      RECT 644.140000 0.000000 648.220000 0.630000 ;
+      RECT 639.635000 0.000000 643.720000 0.630000 ;
+      RECT 635.135000 0.000000 639.215000 0.630000 ;
+      RECT 630.630000 0.000000 634.715000 0.630000 ;
+      RECT 626.125000 0.000000 630.210000 0.630000 ;
+      RECT 621.625000 0.000000 625.705000 0.630000 ;
+      RECT 617.120000 0.000000 621.205000 0.630000 ;
+      RECT 612.620000 0.000000 616.700000 0.630000 ;
+      RECT 608.115000 0.000000 612.200000 0.630000 ;
+      RECT 603.610000 0.000000 607.695000 0.630000 ;
+      RECT 599.110000 0.000000 603.190000 0.630000 ;
+      RECT 594.605000 0.000000 598.690000 0.630000 ;
+      RECT 590.105000 0.000000 594.185000 0.630000 ;
+      RECT 585.600000 0.000000 589.685000 0.630000 ;
+      RECT 581.095000 0.000000 585.180000 0.630000 ;
+      RECT 576.595000 0.000000 580.675000 0.630000 ;
+      RECT 572.090000 0.000000 576.175000 0.630000 ;
+      RECT 567.590000 0.000000 571.670000 0.630000 ;
+      RECT 563.085000 0.000000 567.170000 0.630000 ;
+      RECT 558.580000 0.000000 562.665000 0.630000 ;
+      RECT 554.080000 0.000000 558.160000 0.630000 ;
+      RECT 549.575000 0.000000 553.660000 0.630000 ;
+      RECT 545.075000 0.000000 549.155000 0.630000 ;
+      RECT 540.570000 0.000000 544.655000 0.630000 ;
+      RECT 536.065000 0.000000 540.150000 0.630000 ;
+      RECT 531.565000 0.000000 535.645000 0.630000 ;
+      RECT 527.060000 0.000000 531.145000 0.630000 ;
+      RECT 522.560000 0.000000 526.640000 0.630000 ;
+      RECT 518.055000 0.000000 522.140000 0.630000 ;
+      RECT 513.550000 0.000000 517.635000 0.630000 ;
+      RECT 509.050000 0.000000 513.130000 0.630000 ;
+      RECT 504.545000 0.000000 508.630000 0.630000 ;
+      RECT 500.045000 0.000000 504.125000 0.630000 ;
+      RECT 495.540000 0.000000 499.625000 0.630000 ;
+      RECT 491.035000 0.000000 495.120000 0.630000 ;
+      RECT 486.535000 0.000000 490.615000 0.630000 ;
+      RECT 482.030000 0.000000 486.115000 0.630000 ;
+      RECT 477.530000 0.000000 481.610000 0.630000 ;
+      RECT 473.025000 0.000000 477.110000 0.630000 ;
+      RECT 468.520000 0.000000 472.605000 0.630000 ;
+      RECT 464.020000 0.000000 468.100000 0.630000 ;
+      RECT 459.515000 0.000000 463.600000 0.630000 ;
+      RECT 455.015000 0.000000 459.095000 0.630000 ;
+      RECT 450.510000 0.000000 454.595000 0.630000 ;
+      RECT 446.005000 0.000000 450.090000 0.630000 ;
+      RECT 441.505000 0.000000 445.585000 0.630000 ;
+      RECT 437.000000 0.000000 441.085000 0.630000 ;
+      RECT 432.500000 0.000000 436.580000 0.630000 ;
+      RECT 427.995000 0.000000 432.080000 0.630000 ;
+      RECT 423.490000 0.000000 427.575000 0.630000 ;
+      RECT 418.990000 0.000000 423.070000 0.630000 ;
+      RECT 414.485000 0.000000 418.570000 0.630000 ;
+      RECT 409.985000 0.000000 414.065000 0.630000 ;
+      RECT 405.480000 0.000000 409.565000 0.630000 ;
+      RECT 400.975000 0.000000 405.060000 0.630000 ;
+      RECT 396.475000 0.000000 400.555000 0.630000 ;
+      RECT 391.970000 0.000000 396.055000 0.630000 ;
+      RECT 387.470000 0.000000 391.550000 0.630000 ;
+      RECT 382.965000 0.000000 387.050000 0.630000 ;
+      RECT 378.460000 0.000000 382.545000 0.630000 ;
+      RECT 373.960000 0.000000 378.040000 0.630000 ;
+      RECT 369.455000 0.000000 373.540000 0.630000 ;
+      RECT 364.955000 0.000000 369.035000 0.630000 ;
+      RECT 360.450000 0.000000 364.535000 0.630000 ;
+      RECT 355.945000 0.000000 360.030000 0.630000 ;
+      RECT 351.445000 0.000000 355.525000 0.630000 ;
+      RECT 346.940000 0.000000 351.025000 0.630000 ;
+      RECT 342.440000 0.000000 346.520000 0.630000 ;
+      RECT 337.935000 0.000000 342.020000 0.630000 ;
+      RECT 333.430000 0.000000 337.515000 0.630000 ;
+      RECT 328.930000 0.000000 333.010000 0.630000 ;
+      RECT 324.425000 0.000000 328.510000 0.630000 ;
+      RECT 319.925000 0.000000 324.005000 0.630000 ;
+      RECT 315.420000 0.000000 319.505000 0.630000 ;
+      RECT 310.915000 0.000000 315.000000 0.630000 ;
+      RECT 306.415000 0.000000 310.495000 0.630000 ;
+      RECT 301.910000 0.000000 305.995000 0.630000 ;
+      RECT 297.410000 0.000000 301.490000 0.630000 ;
+      RECT 292.905000 0.000000 296.990000 0.630000 ;
+      RECT 288.400000 0.000000 292.485000 0.630000 ;
+      RECT 283.900000 0.000000 287.980000 0.630000 ;
+      RECT 279.395000 0.000000 283.480000 0.630000 ;
+      RECT 274.895000 0.000000 278.975000 0.630000 ;
+      RECT 270.390000 0.000000 274.475000 0.630000 ;
+      RECT 265.885000 0.000000 269.970000 0.630000 ;
+      RECT 261.385000 0.000000 265.465000 0.630000 ;
+      RECT 256.880000 0.000000 260.965000 0.630000 ;
+      RECT 252.380000 0.000000 256.460000 0.630000 ;
+      RECT 247.875000 0.000000 251.960000 0.630000 ;
+      RECT 243.370000 0.000000 247.455000 0.630000 ;
+      RECT 238.870000 0.000000 242.950000 0.630000 ;
+      RECT 234.365000 0.000000 238.450000 0.630000 ;
+      RECT 229.865000 0.000000 233.945000 0.630000 ;
+      RECT 225.360000 0.000000 229.445000 0.630000 ;
+      RECT 220.855000 0.000000 224.940000 0.630000 ;
+      RECT 216.355000 0.000000 220.435000 0.630000 ;
+      RECT 211.850000 0.000000 215.935000 0.630000 ;
+      RECT 207.350000 0.000000 211.430000 0.630000 ;
+      RECT 202.845000 0.000000 206.930000 0.630000 ;
+      RECT 198.340000 0.000000 202.425000 0.630000 ;
+      RECT 193.840000 0.000000 197.920000 0.630000 ;
+      RECT 189.335000 0.000000 193.420000 0.630000 ;
+      RECT 184.835000 0.000000 188.915000 0.630000 ;
+      RECT 180.330000 0.000000 184.415000 0.630000 ;
+      RECT 175.825000 0.000000 179.910000 0.630000 ;
+      RECT 171.325000 0.000000 175.405000 0.630000 ;
+      RECT 166.820000 0.000000 170.905000 0.630000 ;
+      RECT 162.320000 0.000000 166.400000 0.630000 ;
+      RECT 157.815000 0.000000 161.900000 0.630000 ;
+      RECT 153.310000 0.000000 157.395000 0.630000 ;
+      RECT 148.810000 0.000000 152.890000 0.630000 ;
+      RECT 144.305000 0.000000 148.390000 0.630000 ;
+      RECT 139.805000 0.000000 143.885000 0.630000 ;
+      RECT 135.300000 0.000000 139.385000 0.630000 ;
+      RECT 130.795000 0.000000 134.880000 0.630000 ;
+      RECT 126.295000 0.000000 130.375000 0.630000 ;
+      RECT 121.790000 0.000000 125.875000 0.630000 ;
+      RECT 117.290000 0.000000 121.370000 0.630000 ;
+      RECT 112.785000 0.000000 116.870000 0.630000 ;
+      RECT 108.280000 0.000000 112.365000 0.630000 ;
+      RECT 103.780000 0.000000 107.860000 0.630000 ;
+      RECT 99.275000 0.000000 103.360000 0.630000 ;
+      RECT 94.775000 0.000000 98.855000 0.630000 ;
+      RECT 90.270000 0.000000 94.355000 0.630000 ;
+      RECT 85.765000 0.000000 89.850000 0.630000 ;
+      RECT 81.265000 0.000000 85.345000 0.630000 ;
+      RECT 76.760000 0.000000 80.845000 0.630000 ;
+      RECT 72.260000 0.000000 76.340000 0.630000 ;
+      RECT 67.755000 0.000000 71.840000 0.630000 ;
+      RECT 63.250000 0.000000 67.335000 0.630000 ;
+      RECT 58.750000 0.000000 62.830000 0.630000 ;
+      RECT 54.245000 0.000000 58.330000 0.630000 ;
+      RECT 49.745000 0.000000 53.825000 0.630000 ;
+      RECT 45.240000 0.000000 49.325000 0.630000 ;
+      RECT 40.735000 0.000000 44.820000 0.630000 ;
+      RECT 36.235000 0.000000 40.315000 0.630000 ;
+      RECT 31.730000 0.000000 35.815000 0.630000 ;
+      RECT 27.230000 0.000000 31.310000 0.630000 ;
+      RECT 22.725000 0.000000 26.810000 0.630000 ;
+      RECT 18.220000 0.000000 22.305000 0.630000 ;
+      RECT 13.720000 0.000000 17.800000 0.630000 ;
+      RECT 9.215000 0.000000 13.300000 0.630000 ;
+      RECT 4.715000 0.000000 8.795000 0.630000 ;
+      RECT 1.820000 0.000000 4.295000 0.630000 ;
       RECT 0.000000 0.000000 1.400000 0.630000 ;
     LAYER met3 ;
-      RECT 0.000000 1465.940000 1720.400000 1469.820000 ;
-      RECT 1.100000 1465.330000 1720.400000 1465.940000 ;
-      RECT 1.100000 1465.040000 1719.300000 1465.330000 ;
-      RECT 0.000000 1464.430000 1719.300000 1465.040000 ;
-      RECT 0.000000 1442.515000 1720.400000 1464.430000 ;
-      RECT 1.100000 1441.965000 1720.400000 1442.515000 ;
-      RECT 1.100000 1441.615000 1719.300000 1441.965000 ;
-      RECT 0.000000 1441.065000 1719.300000 1441.615000 ;
-      RECT 0.000000 1414.780000 1720.400000 1441.065000 ;
-      RECT 1.100000 1413.880000 1720.400000 1414.780000 ;
-      RECT 0.000000 1413.700000 1720.400000 1413.880000 ;
-      RECT 0.000000 1412.800000 1719.300000 1413.700000 ;
-      RECT 0.000000 1387.050000 1720.400000 1412.800000 ;
-      RECT 1.100000 1386.150000 1720.400000 1387.050000 ;
-      RECT 0.000000 1385.435000 1720.400000 1386.150000 ;
-      RECT 0.000000 1384.535000 1719.300000 1385.435000 ;
-      RECT 0.000000 1359.320000 1720.400000 1384.535000 ;
-      RECT 1.100000 1358.420000 1720.400000 1359.320000 ;
-      RECT 0.000000 1357.170000 1720.400000 1358.420000 ;
-      RECT 0.000000 1356.270000 1719.300000 1357.170000 ;
-      RECT 0.000000 1331.585000 1720.400000 1356.270000 ;
-      RECT 1.100000 1330.685000 1720.400000 1331.585000 ;
-      RECT 0.000000 1328.905000 1720.400000 1330.685000 ;
-      RECT 0.000000 1328.005000 1719.300000 1328.905000 ;
-      RECT 0.000000 1303.855000 1720.400000 1328.005000 ;
-      RECT 1.100000 1302.955000 1720.400000 1303.855000 ;
-      RECT 0.000000 1300.640000 1720.400000 1302.955000 ;
-      RECT 0.000000 1299.740000 1719.300000 1300.640000 ;
-      RECT 0.000000 1276.120000 1720.400000 1299.740000 ;
-      RECT 1.100000 1275.220000 1720.400000 1276.120000 ;
-      RECT 0.000000 1272.375000 1720.400000 1275.220000 ;
-      RECT 0.000000 1271.475000 1719.300000 1272.375000 ;
-      RECT 0.000000 1248.390000 1720.400000 1271.475000 ;
-      RECT 1.100000 1247.490000 1720.400000 1248.390000 ;
-      RECT 0.000000 1244.110000 1720.400000 1247.490000 ;
-      RECT 0.000000 1243.210000 1719.300000 1244.110000 ;
-      RECT 0.000000 1220.660000 1720.400000 1243.210000 ;
-      RECT 1.100000 1219.760000 1720.400000 1220.660000 ;
-      RECT 0.000000 1215.845000 1720.400000 1219.760000 ;
-      RECT 0.000000 1214.945000 1719.300000 1215.845000 ;
-      RECT 0.000000 1192.925000 1720.400000 1214.945000 ;
-      RECT 1.100000 1192.025000 1720.400000 1192.925000 ;
-      RECT 0.000000 1187.580000 1720.400000 1192.025000 ;
-      RECT 0.000000 1186.680000 1719.300000 1187.580000 ;
-      RECT 0.000000 1165.195000 1720.400000 1186.680000 ;
-      RECT 1.100000 1164.295000 1720.400000 1165.195000 ;
-      RECT 0.000000 1159.315000 1720.400000 1164.295000 ;
-      RECT 0.000000 1158.415000 1719.300000 1159.315000 ;
-      RECT 0.000000 1137.460000 1720.400000 1158.415000 ;
-      RECT 1.100000 1136.560000 1720.400000 1137.460000 ;
-      RECT 0.000000 1131.050000 1720.400000 1136.560000 ;
-      RECT 0.000000 1130.150000 1719.300000 1131.050000 ;
-      RECT 0.000000 1109.730000 1720.400000 1130.150000 ;
-      RECT 1.100000 1108.830000 1720.400000 1109.730000 ;
-      RECT 0.000000 1102.785000 1720.400000 1108.830000 ;
-      RECT 0.000000 1101.885000 1719.300000 1102.785000 ;
-      RECT 0.000000 1082.000000 1720.400000 1101.885000 ;
-      RECT 1.100000 1081.100000 1720.400000 1082.000000 ;
-      RECT 0.000000 1074.520000 1720.400000 1081.100000 ;
-      RECT 0.000000 1073.620000 1719.300000 1074.520000 ;
-      RECT 0.000000 1054.265000 1720.400000 1073.620000 ;
-      RECT 1.100000 1053.365000 1720.400000 1054.265000 ;
-      RECT 0.000000 1046.255000 1720.400000 1053.365000 ;
-      RECT 0.000000 1045.355000 1719.300000 1046.255000 ;
-      RECT 0.000000 1026.535000 1720.400000 1045.355000 ;
-      RECT 1.100000 1025.635000 1720.400000 1026.535000 ;
-      RECT 0.000000 1017.990000 1720.400000 1025.635000 ;
-      RECT 0.000000 1017.090000 1719.300000 1017.990000 ;
-      RECT 0.000000 998.800000 1720.400000 1017.090000 ;
-      RECT 1.100000 997.900000 1720.400000 998.800000 ;
-      RECT 0.000000 989.725000 1720.400000 997.900000 ;
-      RECT 0.000000 988.825000 1719.300000 989.725000 ;
-      RECT 0.000000 971.070000 1720.400000 988.825000 ;
-      RECT 1.100000 970.170000 1720.400000 971.070000 ;
-      RECT 0.000000 961.460000 1720.400000 970.170000 ;
-      RECT 0.000000 960.560000 1719.300000 961.460000 ;
-      RECT 0.000000 943.340000 1720.400000 960.560000 ;
-      RECT 1.100000 942.440000 1720.400000 943.340000 ;
-      RECT 0.000000 933.195000 1720.400000 942.440000 ;
-      RECT 0.000000 932.295000 1719.300000 933.195000 ;
-      RECT 0.000000 915.605000 1720.400000 932.295000 ;
-      RECT 1.100000 914.705000 1720.400000 915.605000 ;
-      RECT 0.000000 904.930000 1720.400000 914.705000 ;
-      RECT 0.000000 904.030000 1719.300000 904.930000 ;
-      RECT 0.000000 887.875000 1720.400000 904.030000 ;
-      RECT 1.100000 886.975000 1720.400000 887.875000 ;
-      RECT 0.000000 876.665000 1720.400000 886.975000 ;
-      RECT 0.000000 875.765000 1719.300000 876.665000 ;
-      RECT 0.000000 860.140000 1720.400000 875.765000 ;
-      RECT 1.100000 859.240000 1720.400000 860.140000 ;
-      RECT 0.000000 848.400000 1720.400000 859.240000 ;
-      RECT 0.000000 847.500000 1719.300000 848.400000 ;
-      RECT 0.000000 832.410000 1720.400000 847.500000 ;
-      RECT 1.100000 831.510000 1720.400000 832.410000 ;
-      RECT 0.000000 820.135000 1720.400000 831.510000 ;
-      RECT 0.000000 819.235000 1719.300000 820.135000 ;
-      RECT 0.000000 804.680000 1720.400000 819.235000 ;
-      RECT 1.100000 803.780000 1720.400000 804.680000 ;
-      RECT 0.000000 791.870000 1720.400000 803.780000 ;
-      RECT 0.000000 790.970000 1719.300000 791.870000 ;
-      RECT 0.000000 776.945000 1720.400000 790.970000 ;
-      RECT 1.100000 776.045000 1720.400000 776.945000 ;
-      RECT 0.000000 763.605000 1720.400000 776.045000 ;
-      RECT 0.000000 762.705000 1719.300000 763.605000 ;
-      RECT 0.000000 749.215000 1720.400000 762.705000 ;
-      RECT 1.100000 748.315000 1720.400000 749.215000 ;
-      RECT 0.000000 735.340000 1720.400000 748.315000 ;
-      RECT 0.000000 734.440000 1719.300000 735.340000 ;
-      RECT 0.000000 721.480000 1720.400000 734.440000 ;
-      RECT 1.100000 720.580000 1720.400000 721.480000 ;
-      RECT 0.000000 707.075000 1720.400000 720.580000 ;
-      RECT 0.000000 706.175000 1719.300000 707.075000 ;
-      RECT 0.000000 693.750000 1720.400000 706.175000 ;
-      RECT 1.100000 692.850000 1720.400000 693.750000 ;
-      RECT 0.000000 678.810000 1720.400000 692.850000 ;
-      RECT 0.000000 677.910000 1719.300000 678.810000 ;
-      RECT 0.000000 666.020000 1720.400000 677.910000 ;
-      RECT 1.100000 665.120000 1720.400000 666.020000 ;
-      RECT 0.000000 650.545000 1720.400000 665.120000 ;
-      RECT 0.000000 649.645000 1719.300000 650.545000 ;
-      RECT 0.000000 638.285000 1720.400000 649.645000 ;
-      RECT 1.100000 637.385000 1720.400000 638.285000 ;
-      RECT 0.000000 622.280000 1720.400000 637.385000 ;
-      RECT 0.000000 621.380000 1719.300000 622.280000 ;
-      RECT 0.000000 610.555000 1720.400000 621.380000 ;
-      RECT 1.100000 609.655000 1720.400000 610.555000 ;
-      RECT 0.000000 594.015000 1720.400000 609.655000 ;
-      RECT 0.000000 593.115000 1719.300000 594.015000 ;
-      RECT 0.000000 582.820000 1720.400000 593.115000 ;
-      RECT 1.100000 581.920000 1720.400000 582.820000 ;
-      RECT 0.000000 565.750000 1720.400000 581.920000 ;
-      RECT 0.000000 564.850000 1719.300000 565.750000 ;
-      RECT 0.000000 555.090000 1720.400000 564.850000 ;
-      RECT 1.100000 554.190000 1720.400000 555.090000 ;
-      RECT 0.000000 537.485000 1720.400000 554.190000 ;
-      RECT 0.000000 536.585000 1719.300000 537.485000 ;
-      RECT 0.000000 527.360000 1720.400000 536.585000 ;
-      RECT 1.100000 526.460000 1720.400000 527.360000 ;
-      RECT 0.000000 509.220000 1720.400000 526.460000 ;
-      RECT 0.000000 508.320000 1719.300000 509.220000 ;
-      RECT 0.000000 499.625000 1720.400000 508.320000 ;
-      RECT 1.100000 498.725000 1720.400000 499.625000 ;
-      RECT 0.000000 480.955000 1720.400000 498.725000 ;
-      RECT 0.000000 480.055000 1719.300000 480.955000 ;
-      RECT 0.000000 471.895000 1720.400000 480.055000 ;
-      RECT 1.100000 470.995000 1720.400000 471.895000 ;
-      RECT 0.000000 452.690000 1720.400000 470.995000 ;
-      RECT 0.000000 451.790000 1719.300000 452.690000 ;
-      RECT 0.000000 444.160000 1720.400000 451.790000 ;
-      RECT 1.100000 443.260000 1720.400000 444.160000 ;
-      RECT 0.000000 424.425000 1720.400000 443.260000 ;
-      RECT 0.000000 423.525000 1719.300000 424.425000 ;
-      RECT 0.000000 416.430000 1720.400000 423.525000 ;
-      RECT 1.100000 415.530000 1720.400000 416.430000 ;
-      RECT 0.000000 396.160000 1720.400000 415.530000 ;
-      RECT 0.000000 395.260000 1719.300000 396.160000 ;
-      RECT 0.000000 388.700000 1720.400000 395.260000 ;
-      RECT 1.100000 387.800000 1720.400000 388.700000 ;
-      RECT 0.000000 367.895000 1720.400000 387.800000 ;
-      RECT 0.000000 366.995000 1719.300000 367.895000 ;
-      RECT 0.000000 360.965000 1720.400000 366.995000 ;
-      RECT 1.100000 360.065000 1720.400000 360.965000 ;
-      RECT 0.000000 339.630000 1720.400000 360.065000 ;
-      RECT 0.000000 338.730000 1719.300000 339.630000 ;
-      RECT 0.000000 333.235000 1720.400000 338.730000 ;
-      RECT 1.100000 332.335000 1720.400000 333.235000 ;
-      RECT 0.000000 311.365000 1720.400000 332.335000 ;
-      RECT 0.000000 310.465000 1719.300000 311.365000 ;
-      RECT 0.000000 305.500000 1720.400000 310.465000 ;
-      RECT 1.100000 304.600000 1720.400000 305.500000 ;
-      RECT 0.000000 283.100000 1720.400000 304.600000 ;
-      RECT 0.000000 282.200000 1719.300000 283.100000 ;
-      RECT 0.000000 277.770000 1720.400000 282.200000 ;
-      RECT 1.100000 276.870000 1720.400000 277.770000 ;
-      RECT 0.000000 254.835000 1720.400000 276.870000 ;
-      RECT 0.000000 253.935000 1719.300000 254.835000 ;
-      RECT 0.000000 250.040000 1720.400000 253.935000 ;
-      RECT 1.100000 249.140000 1720.400000 250.040000 ;
-      RECT 0.000000 226.570000 1720.400000 249.140000 ;
-      RECT 0.000000 225.670000 1719.300000 226.570000 ;
-      RECT 0.000000 222.305000 1720.400000 225.670000 ;
-      RECT 1.100000 221.405000 1720.400000 222.305000 ;
-      RECT 0.000000 198.305000 1720.400000 221.405000 ;
-      RECT 0.000000 197.405000 1719.300000 198.305000 ;
-      RECT 0.000000 194.575000 1720.400000 197.405000 ;
-      RECT 1.100000 193.675000 1720.400000 194.575000 ;
-      RECT 0.000000 170.040000 1720.400000 193.675000 ;
-      RECT 0.000000 169.140000 1719.300000 170.040000 ;
-      RECT 0.000000 166.840000 1720.400000 169.140000 ;
-      RECT 1.100000 165.940000 1720.400000 166.840000 ;
-      RECT 0.000000 141.775000 1720.400000 165.940000 ;
-      RECT 0.000000 140.875000 1719.300000 141.775000 ;
-      RECT 0.000000 139.110000 1720.400000 140.875000 ;
-      RECT 1.100000 138.210000 1720.400000 139.110000 ;
-      RECT 0.000000 113.510000 1720.400000 138.210000 ;
-      RECT 0.000000 112.610000 1719.300000 113.510000 ;
-      RECT 0.000000 111.380000 1720.400000 112.610000 ;
-      RECT 1.100000 110.480000 1720.400000 111.380000 ;
-      RECT 0.000000 85.245000 1720.400000 110.480000 ;
-      RECT 0.000000 84.345000 1719.300000 85.245000 ;
-      RECT 0.000000 83.645000 1720.400000 84.345000 ;
-      RECT 1.100000 82.745000 1720.400000 83.645000 ;
-      RECT 0.000000 56.980000 1720.400000 82.745000 ;
-      RECT 0.000000 56.080000 1719.300000 56.980000 ;
-      RECT 0.000000 55.915000 1720.400000 56.080000 ;
-      RECT 1.100000 55.015000 1720.400000 55.915000 ;
-      RECT 0.000000 28.715000 1720.400000 55.015000 ;
-      RECT 0.000000 28.180000 1719.300000 28.715000 ;
-      RECT 1.100000 27.815000 1719.300000 28.180000 ;
-      RECT 1.100000 27.280000 1720.400000 27.815000 ;
-      RECT 0.000000 4.990000 1720.400000 27.280000 ;
-      RECT 1.100000 4.380000 1720.400000 4.990000 ;
-      RECT 1.100000 4.090000 1719.300000 4.380000 ;
-      RECT 0.000000 3.480000 1719.300000 4.090000 ;
-      RECT 0.000000 0.000000 1720.400000 3.480000 ;
+      RECT 0.000000 2015.550000 2220.420000 2019.600000 ;
+      RECT 1.100000 2014.940000 2220.420000 2015.550000 ;
+      RECT 1.100000 2014.650000 2219.320000 2014.940000 ;
+      RECT 0.000000 2014.040000 2219.320000 2014.650000 ;
+      RECT 0.000000 1981.910000 2220.420000 2014.040000 ;
+      RECT 1.100000 1981.190000 2220.420000 1981.910000 ;
+      RECT 1.100000 1981.010000 2219.320000 1981.190000 ;
+      RECT 0.000000 1980.290000 2219.320000 1981.010000 ;
+      RECT 0.000000 1943.805000 2220.420000 1980.290000 ;
+      RECT 1.100000 1942.905000 2220.420000 1943.805000 ;
+      RECT 0.000000 1942.350000 2220.420000 1942.905000 ;
+      RECT 0.000000 1941.450000 2219.320000 1942.350000 ;
+      RECT 0.000000 1905.700000 2220.420000 1941.450000 ;
+      RECT 1.100000 1904.800000 2220.420000 1905.700000 ;
+      RECT 0.000000 1903.510000 2220.420000 1904.800000 ;
+      RECT 0.000000 1902.610000 2219.320000 1903.510000 ;
+      RECT 0.000000 1867.595000 2220.420000 1902.610000 ;
+      RECT 1.100000 1866.695000 2220.420000 1867.595000 ;
+      RECT 0.000000 1864.675000 2220.420000 1866.695000 ;
+      RECT 0.000000 1863.775000 2219.320000 1864.675000 ;
+      RECT 0.000000 1829.490000 2220.420000 1863.775000 ;
+      RECT 1.100000 1828.590000 2220.420000 1829.490000 ;
+      RECT 0.000000 1825.835000 2220.420000 1828.590000 ;
+      RECT 0.000000 1824.935000 2219.320000 1825.835000 ;
+      RECT 0.000000 1791.385000 2220.420000 1824.935000 ;
+      RECT 1.100000 1790.485000 2220.420000 1791.385000 ;
+      RECT 0.000000 1787.000000 2220.420000 1790.485000 ;
+      RECT 0.000000 1786.100000 2219.320000 1787.000000 ;
+      RECT 0.000000 1753.280000 2220.420000 1786.100000 ;
+      RECT 1.100000 1752.380000 2220.420000 1753.280000 ;
+      RECT 0.000000 1748.160000 2220.420000 1752.380000 ;
+      RECT 0.000000 1747.260000 2219.320000 1748.160000 ;
+      RECT 0.000000 1715.175000 2220.420000 1747.260000 ;
+      RECT 1.100000 1714.275000 2220.420000 1715.175000 ;
+      RECT 0.000000 1709.320000 2220.420000 1714.275000 ;
+      RECT 0.000000 1708.420000 2219.320000 1709.320000 ;
+      RECT 0.000000 1677.070000 2220.420000 1708.420000 ;
+      RECT 1.100000 1676.170000 2220.420000 1677.070000 ;
+      RECT 0.000000 1670.485000 2220.420000 1676.170000 ;
+      RECT 0.000000 1669.585000 2219.320000 1670.485000 ;
+      RECT 0.000000 1638.965000 2220.420000 1669.585000 ;
+      RECT 1.100000 1638.065000 2220.420000 1638.965000 ;
+      RECT 0.000000 1631.645000 2220.420000 1638.065000 ;
+      RECT 0.000000 1630.745000 2219.320000 1631.645000 ;
+      RECT 0.000000 1600.860000 2220.420000 1630.745000 ;
+      RECT 1.100000 1599.960000 2220.420000 1600.860000 ;
+      RECT 0.000000 1592.810000 2220.420000 1599.960000 ;
+      RECT 0.000000 1591.910000 2219.320000 1592.810000 ;
+      RECT 0.000000 1562.755000 2220.420000 1591.910000 ;
+      RECT 1.100000 1561.855000 2220.420000 1562.755000 ;
+      RECT 0.000000 1553.970000 2220.420000 1561.855000 ;
+      RECT 0.000000 1553.070000 2219.320000 1553.970000 ;
+      RECT 0.000000 1524.650000 2220.420000 1553.070000 ;
+      RECT 1.100000 1523.750000 2220.420000 1524.650000 ;
+      RECT 0.000000 1515.130000 2220.420000 1523.750000 ;
+      RECT 0.000000 1514.230000 2219.320000 1515.130000 ;
+      RECT 0.000000 1486.545000 2220.420000 1514.230000 ;
+      RECT 1.100000 1485.645000 2220.420000 1486.545000 ;
+      RECT 0.000000 1476.295000 2220.420000 1485.645000 ;
+      RECT 0.000000 1475.395000 2219.320000 1476.295000 ;
+      RECT 0.000000 1448.440000 2220.420000 1475.395000 ;
+      RECT 1.100000 1447.540000 2220.420000 1448.440000 ;
+      RECT 0.000000 1437.455000 2220.420000 1447.540000 ;
+      RECT 0.000000 1436.555000 2219.320000 1437.455000 ;
+      RECT 0.000000 1410.335000 2220.420000 1436.555000 ;
+      RECT 1.100000 1409.435000 2220.420000 1410.335000 ;
+      RECT 0.000000 1398.620000 2220.420000 1409.435000 ;
+      RECT 0.000000 1397.720000 2219.320000 1398.620000 ;
+      RECT 0.000000 1372.230000 2220.420000 1397.720000 ;
+      RECT 1.100000 1371.330000 2220.420000 1372.230000 ;
+      RECT 0.000000 1359.780000 2220.420000 1371.330000 ;
+      RECT 0.000000 1358.880000 2219.320000 1359.780000 ;
+      RECT 0.000000 1334.125000 2220.420000 1358.880000 ;
+      RECT 1.100000 1333.225000 2220.420000 1334.125000 ;
+      RECT 0.000000 1320.940000 2220.420000 1333.225000 ;
+      RECT 0.000000 1320.040000 2219.320000 1320.940000 ;
+      RECT 0.000000 1296.020000 2220.420000 1320.040000 ;
+      RECT 1.100000 1295.120000 2220.420000 1296.020000 ;
+      RECT 0.000000 1282.105000 2220.420000 1295.120000 ;
+      RECT 0.000000 1281.205000 2219.320000 1282.105000 ;
+      RECT 0.000000 1257.915000 2220.420000 1281.205000 ;
+      RECT 1.100000 1257.015000 2220.420000 1257.915000 ;
+      RECT 0.000000 1243.265000 2220.420000 1257.015000 ;
+      RECT 0.000000 1242.365000 2219.320000 1243.265000 ;
+      RECT 0.000000 1219.810000 2220.420000 1242.365000 ;
+      RECT 1.100000 1218.910000 2220.420000 1219.810000 ;
+      RECT 0.000000 1204.430000 2220.420000 1218.910000 ;
+      RECT 0.000000 1203.530000 2219.320000 1204.430000 ;
+      RECT 0.000000 1181.705000 2220.420000 1203.530000 ;
+      RECT 1.100000 1180.805000 2220.420000 1181.705000 ;
+      RECT 0.000000 1165.590000 2220.420000 1180.805000 ;
+      RECT 0.000000 1164.690000 2219.320000 1165.590000 ;
+      RECT 0.000000 1143.600000 2220.420000 1164.690000 ;
+      RECT 1.100000 1142.700000 2220.420000 1143.600000 ;
+      RECT 0.000000 1126.750000 2220.420000 1142.700000 ;
+      RECT 0.000000 1125.850000 2219.320000 1126.750000 ;
+      RECT 0.000000 1105.495000 2220.420000 1125.850000 ;
+      RECT 1.100000 1104.595000 2220.420000 1105.495000 ;
+      RECT 0.000000 1087.915000 2220.420000 1104.595000 ;
+      RECT 0.000000 1087.015000 2219.320000 1087.915000 ;
+      RECT 0.000000 1067.390000 2220.420000 1087.015000 ;
+      RECT 1.100000 1066.490000 2220.420000 1067.390000 ;
+      RECT 0.000000 1049.075000 2220.420000 1066.490000 ;
+      RECT 0.000000 1048.175000 2219.320000 1049.075000 ;
+      RECT 0.000000 1029.285000 2220.420000 1048.175000 ;
+      RECT 1.100000 1028.385000 2220.420000 1029.285000 ;
+      RECT 0.000000 1010.240000 2220.420000 1028.385000 ;
+      RECT 0.000000 1009.340000 2219.320000 1010.240000 ;
+      RECT 0.000000 991.180000 2220.420000 1009.340000 ;
+      RECT 1.100000 990.280000 2220.420000 991.180000 ;
+      RECT 0.000000 971.400000 2220.420000 990.280000 ;
+      RECT 0.000000 970.500000 2219.320000 971.400000 ;
+      RECT 0.000000 953.075000 2220.420000 970.500000 ;
+      RECT 1.100000 952.175000 2220.420000 953.075000 ;
+      RECT 0.000000 932.560000 2220.420000 952.175000 ;
+      RECT 0.000000 931.660000 2219.320000 932.560000 ;
+      RECT 0.000000 914.970000 2220.420000 931.660000 ;
+      RECT 1.100000 914.070000 2220.420000 914.970000 ;
+      RECT 0.000000 893.725000 2220.420000 914.070000 ;
+      RECT 0.000000 892.825000 2219.320000 893.725000 ;
+      RECT 0.000000 876.865000 2220.420000 892.825000 ;
+      RECT 1.100000 875.965000 2220.420000 876.865000 ;
+      RECT 0.000000 854.885000 2220.420000 875.965000 ;
+      RECT 0.000000 853.985000 2219.320000 854.885000 ;
+      RECT 0.000000 838.760000 2220.420000 853.985000 ;
+      RECT 1.100000 837.860000 2220.420000 838.760000 ;
+      RECT 0.000000 816.050000 2220.420000 837.860000 ;
+      RECT 0.000000 815.150000 2219.320000 816.050000 ;
+      RECT 0.000000 800.655000 2220.420000 815.150000 ;
+      RECT 1.100000 799.755000 2220.420000 800.655000 ;
+      RECT 0.000000 777.210000 2220.420000 799.755000 ;
+      RECT 0.000000 776.310000 2219.320000 777.210000 ;
+      RECT 0.000000 762.550000 2220.420000 776.310000 ;
+      RECT 1.100000 761.650000 2220.420000 762.550000 ;
+      RECT 0.000000 738.370000 2220.420000 761.650000 ;
+      RECT 0.000000 737.470000 2219.320000 738.370000 ;
+      RECT 0.000000 724.445000 2220.420000 737.470000 ;
+      RECT 1.100000 723.545000 2220.420000 724.445000 ;
+      RECT 0.000000 699.535000 2220.420000 723.545000 ;
+      RECT 0.000000 698.635000 2219.320000 699.535000 ;
+      RECT 0.000000 686.340000 2220.420000 698.635000 ;
+      RECT 1.100000 685.440000 2220.420000 686.340000 ;
+      RECT 0.000000 660.695000 2220.420000 685.440000 ;
+      RECT 0.000000 659.795000 2219.320000 660.695000 ;
+      RECT 0.000000 648.235000 2220.420000 659.795000 ;
+      RECT 1.100000 647.335000 2220.420000 648.235000 ;
+      RECT 0.000000 621.860000 2220.420000 647.335000 ;
+      RECT 0.000000 620.960000 2219.320000 621.860000 ;
+      RECT 0.000000 610.130000 2220.420000 620.960000 ;
+      RECT 1.100000 609.230000 2220.420000 610.130000 ;
+      RECT 0.000000 583.020000 2220.420000 609.230000 ;
+      RECT 0.000000 582.120000 2219.320000 583.020000 ;
+      RECT 0.000000 572.025000 2220.420000 582.120000 ;
+      RECT 1.100000 571.125000 2220.420000 572.025000 ;
+      RECT 0.000000 544.180000 2220.420000 571.125000 ;
+      RECT 0.000000 543.280000 2219.320000 544.180000 ;
+      RECT 0.000000 533.920000 2220.420000 543.280000 ;
+      RECT 1.100000 533.020000 2220.420000 533.920000 ;
+      RECT 0.000000 505.345000 2220.420000 533.020000 ;
+      RECT 0.000000 504.445000 2219.320000 505.345000 ;
+      RECT 0.000000 495.815000 2220.420000 504.445000 ;
+      RECT 1.100000 494.915000 2220.420000 495.815000 ;
+      RECT 0.000000 466.505000 2220.420000 494.915000 ;
+      RECT 0.000000 465.605000 2219.320000 466.505000 ;
+      RECT 0.000000 457.710000 2220.420000 465.605000 ;
+      RECT 1.100000 456.810000 2220.420000 457.710000 ;
+      RECT 0.000000 427.670000 2220.420000 456.810000 ;
+      RECT 0.000000 426.770000 2219.320000 427.670000 ;
+      RECT 0.000000 419.605000 2220.420000 426.770000 ;
+      RECT 1.100000 418.705000 2220.420000 419.605000 ;
+      RECT 0.000000 388.830000 2220.420000 418.705000 ;
+      RECT 0.000000 387.930000 2219.320000 388.830000 ;
+      RECT 0.000000 381.500000 2220.420000 387.930000 ;
+      RECT 1.100000 380.600000 2220.420000 381.500000 ;
+      RECT 0.000000 349.990000 2220.420000 380.600000 ;
+      RECT 0.000000 349.090000 2219.320000 349.990000 ;
+      RECT 0.000000 343.395000 2220.420000 349.090000 ;
+      RECT 1.100000 342.495000 2220.420000 343.395000 ;
+      RECT 0.000000 311.155000 2220.420000 342.495000 ;
+      RECT 0.000000 310.255000 2219.320000 311.155000 ;
+      RECT 0.000000 305.290000 2220.420000 310.255000 ;
+      RECT 1.100000 304.390000 2220.420000 305.290000 ;
+      RECT 0.000000 272.315000 2220.420000 304.390000 ;
+      RECT 0.000000 271.415000 2219.320000 272.315000 ;
+      RECT 0.000000 267.185000 2220.420000 271.415000 ;
+      RECT 1.100000 266.285000 2220.420000 267.185000 ;
+      RECT 0.000000 233.480000 2220.420000 266.285000 ;
+      RECT 0.000000 232.580000 2219.320000 233.480000 ;
+      RECT 0.000000 229.080000 2220.420000 232.580000 ;
+      RECT 1.100000 228.180000 2220.420000 229.080000 ;
+      RECT 0.000000 194.640000 2220.420000 228.180000 ;
+      RECT 0.000000 193.740000 2219.320000 194.640000 ;
+      RECT 0.000000 190.975000 2220.420000 193.740000 ;
+      RECT 1.100000 190.075000 2220.420000 190.975000 ;
+      RECT 0.000000 155.800000 2220.420000 190.075000 ;
+      RECT 0.000000 154.900000 2219.320000 155.800000 ;
+      RECT 0.000000 152.870000 2220.420000 154.900000 ;
+      RECT 1.100000 151.970000 2220.420000 152.870000 ;
+      RECT 0.000000 116.965000 2220.420000 151.970000 ;
+      RECT 0.000000 116.065000 2219.320000 116.965000 ;
+      RECT 0.000000 114.765000 2220.420000 116.065000 ;
+      RECT 1.100000 113.865000 2220.420000 114.765000 ;
+      RECT 0.000000 78.125000 2220.420000 113.865000 ;
+      RECT 0.000000 77.225000 2219.320000 78.125000 ;
+      RECT 0.000000 76.660000 2220.420000 77.225000 ;
+      RECT 1.100000 75.760000 2220.420000 76.660000 ;
+      RECT 0.000000 39.290000 2220.420000 75.760000 ;
+      RECT 0.000000 38.555000 2219.320000 39.290000 ;
+      RECT 1.100000 38.390000 2219.320000 38.555000 ;
+      RECT 1.100000 37.655000 2220.420000 38.390000 ;
+      RECT 0.000000 4.990000 2220.420000 37.655000 ;
+      RECT 1.100000 4.380000 2220.420000 4.990000 ;
+      RECT 1.100000 4.090000 2219.320000 4.380000 ;
+      RECT 0.000000 3.480000 2219.320000 4.090000 ;
+      RECT 0.000000 0.000000 2220.420000 3.480000 ;
     LAYER met4 ;
-      RECT 0.000000 1468.020000 1720.400000 1469.820000 ;
-      RECT 4.360000 1464.020000 1716.040000 1468.020000 ;
-      RECT 1714.640000 5.630000 1716.040000 1464.020000 ;
-      RECT 8.360000 5.630000 1712.040000 1464.020000 ;
-      RECT 4.360000 5.630000 5.760000 1464.020000 ;
-      RECT 1718.640000 1.630000 1720.400000 1468.020000 ;
-      RECT 4.360000 1.630000 1716.040000 5.630000 ;
-      RECT 0.000000 1.630000 1.760000 1468.020000 ;
-      RECT 0.000000 0.000000 1720.400000 1.630000 ;
+      RECT 0.000000 2017.630000 2220.420000 2019.600000 ;
+      RECT 4.360000 2013.630000 2216.060000 2017.630000 ;
+      RECT 2214.660000 5.630000 2216.060000 2013.630000 ;
+      RECT 8.360000 5.630000 2212.060000 2013.630000 ;
+      RECT 4.360000 5.630000 5.760000 2013.630000 ;
+      RECT 2218.660000 1.630000 2220.420000 2017.630000 ;
+      RECT 4.360000 1.630000 2216.060000 5.630000 ;
+      RECT 0.000000 1.630000 1.760000 2017.630000 ;
+      RECT 0.000000 0.000000 2220.420000 1.630000 ;
   END
 END azadi_soc_top_caravel
 
diff --git a/verilog/gl/azadi_soc_top_caravel_power.v b/verilog/gl/azadi_soc_top_caravel_power.v
new file mode 100644
index 0000000..612c95b
--- /dev/null
+++ b/verilog/gl/azadi_soc_top_caravel_power.v
@@ -0,0 +1,448511 @@
+/*
+###############################################################
+#  Generated by:      Cadence Innovus 20.10-p004_1
+#  OS:                Linux x86_64(Host ID merl-HP-Z840)
+#  Generated on:      Tue Dec 28 16:05:02 2021
+#  Design:            azadi_soc_top_caravel
+#  Command:           eval_legacy {savenetlist -excludeLeafCell -includePowerGround -flat -exportTopPGNets azadi_soc_top_caravel_power.v}
+###############################################################
+*/
+module azadi_soc_top_caravel (
+	wb_clk_i, 
+	wb_rst_i, 
+	wbs_stb_i, 
+	wbs_cyc_i, 
+	wbs_we_i, 
+	wbs_sel_i, 
+	wbs_dat_i, 
+	wbs_adr_i, 
+	wbs_ack_o, 
+	wbs_dat_o, 
+	la_data_in, 
+	la_data_out, 
+	la_oenb, 
+	io_in, 
+	io_out, 
+	io_oeb, 
+	analog_io, 
+	user_clock2, 
+	user_irq, 
+	VGND, 
+	VPWR);
+   input wb_clk_i;
+   input wb_rst_i;
+   input wbs_stb_i;
+   input wbs_cyc_i;
+   input wbs_we_i;
+   input [3:0] wbs_sel_i;
+   input [31:0] wbs_dat_i;
+   input [31:0] wbs_adr_i;
+   output wbs_ack_o;
+   output [31:0] wbs_dat_o;
+   input [127:0] la_data_in;
+   output [127:0] la_data_out;
+   input [127:0] la_oenb;
+   input [37:0] io_in;
+   output [37:0] io_out;
+   output [37:0] io_oeb;
+   inout [28:0] analog_io;
+   input user_clock2;
+   output [2:0] user_irq;
+   inout VGND;
+   inout VPWR;
+
+   // Internal wires
+   wire CTS_157;
+   wire CTS_156;
+   wire CTS_155;
+   wire CTS_154;
+   wire CTS_148;
+   wire CTS_147;
+   wire CTS_146;
+   wire CTS_145;
+   wire CTS_144;
+   wire CTS_143;
+   wire CTS_142;
+   wire CTS_141;
+   wire CTS_140;
+   wire CTS_139;
+   wire CTS_138;
+   wire CTS_150;
+   wire CTS_153;
+   wire CTS_135;
+   wire CTS_134;
+   wire CTS_133;
+   wire CTS_132;
+   wire CTS_131;
+   wire CTS_130;
+   wire CTS_129;
+   wire CTS_128;
+   wire CTS_127;
+   wire CTS_126;
+   wire CTS_125;
+   wire CTS_124;
+   wire CTS_123;
+   wire CTS_122;
+   wire CTS_121;
+   wire CTS_120;
+   wire CTS_119;
+   wire CTS_118;
+   wire CTS_117;
+   wire CTS_152;
+   wire CTS_105;
+   wire CTS_104;
+   wire CTS_103;
+   wire CTS_102;
+   wire CTS_101;
+   wire CTS_100;
+   wire CTS_99;
+   wire CTS_98;
+   wire CTS_97;
+   wire CTS_96;
+   wire CTS_95;
+   wire CTS_94;
+   wire CTS_93;
+   wire CTS_92;
+   wire CTS_91;
+   wire CTS_90;
+   wire CTS_89;
+   wire CTS_88;
+   wire CTS_87;
+   wire CTS_83;
+   wire CTS_82;
+   wire CTS_81;
+   wire CTS_80;
+   wire CTS_79;
+   wire CTS_78;
+   wire CTS_77;
+   wire CTS_57;
+   wire CTS_151;
+   wire CTS_149;
+   wire CTS_137;
+   wire \soc_top_u_uart_rx_prog/CTS_1 ;
+   wire CTS_136;
+   wire CTS_54;
+   wire CTS_53;
+   wire CTS_52;
+   wire CTS_51;
+   wire CTS_50;
+   wire CTS_49;
+   wire CTS_48;
+   wire CTS_47;
+   wire CTS_46;
+   wire CTS_45;
+   wire CTS_44;
+   wire CTS_43;
+   wire CTS_42;
+   wire CTS_41;
+   wire CTS_40;
+   wire CTS_39;
+   wire CTS_38;
+   wire CTS_37;
+   wire CTS_36;
+   wire CTS_35;
+   wire CTS_34;
+   wire CTS_33;
+   wire CTS_32;
+   wire CTS_31;
+   wire CTS_56;
+   wire CTS_55;
+   wire CTS_28;
+   wire CTS_27;
+   wire CTS_26;
+   wire CTS_25;
+   wire CTS_24;
+   wire CTS_23;
+   wire CTS_22;
+   wire CTS_21;
+   wire CTS_20;
+   wire CTS_19;
+   wire CTS_18;
+   wire CTS_17;
+   wire CTS_16;
+   wire CTS_15;
+   wire CTS_14;
+   wire CTS_13;
+   wire CTS_12;
+   wire CTS_30;
+   wire CTS_29;
+   wire CTS_116;
+   wire CTS_115;
+   wire CTS_114;
+   wire CTS_113;
+   wire CTS_112;
+   wire CTS_111;
+   wire CTS_110;
+   wire CTS_109;
+   wire CTS_108;
+   wire CTS_107;
+   wire CTS_106;
+   wire CTS_86;
+   wire CTS_85;
+   wire CTS_84;
+   wire CTS_76;
+   wire CTS_75;
+   wire CTS_74;
+   wire CTS_73;
+   wire CTS_72;
+   wire CTS_71;
+   wire CTS_70;
+   wire CTS_69;
+   wire CTS_68;
+   wire CTS_67;
+   wire CTS_66;
+   wire CTS_65;
+   wire CTS_64;
+   wire CTS_63;
+   wire CTS_62;
+   wire CTS_61;
+   wire CTS_60;
+   wire CTS_59;
+   wire CTS_58;
+   wire CTS_11;
+   wire CTS_10;
+   wire CTS_9;
+   wire CTS_8;
+   wire CTS_7;
+   wire CTS_6;
+   wire CTS_5;
+   wire CTS_4;
+   wire CTS_3;
+   wire CTS_2;
+   wire CTS_1;
+   wire FE_DBTN170_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sum_33;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTN169_n_513 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTN168_n_1595 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTN167_n_1796 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTN166_n_2057 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTN165_n_1746 ;
+   wire FE_DBTN164_soc_top_xbar_to_timer_a_data_27;
+   wire FE_DBTN163_soc_top_xbar_to_timer_a_data_21;
+   wire FE_DBTN162_soc_top_xbar_to_timer_a_data_14;
+   wire FE_DBTN161_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sum_30;
+   wire FE_DBTN160_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sum_26;
+   wire FE_DBTN159_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_leading_zero_count_3;
+   wire FE_DBTN158_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_leading_zero_count_0;
+   wire FE_DBTN157_soc_top_u_top_u_core_instr_rdata_id_31;
+   wire FE_DBTN156_soc_top_u_top_u_core_instr_rdata_id_20;
+   wire FE_DBTN155_soc_top_u_top_u_core_instr_rdata_id_13;
+   wire FE_DBTN154_soc_top_u_top_u_core_instr_rdata_id_12;
+   wire FE_DBTN153_soc_top_u_top_u_core_instr_rdata_alu_id_30;
+   wire FE_DBTN152_soc_top_u_top_u_core_instr_rdata_alu_id_29;
+   wire FE_DBTN151_soc_top_u_top_u_core_instr_rdata_alu_id_28;
+   wire FE_DBTN150_soc_top_u_top_u_core_instr_rdata_alu_id_27;
+   wire FE_DBTN149_soc_top_u_top_u_core_instr_rdata_alu_id_26;
+   wire FE_DBTN148_soc_top_u_top_u_core_instr_rdata_alu_id_18;
+   wire FE_DBTN147_soc_top_u_top_u_core_instr_rdata_alu_id_17;
+   wire FE_DBTN146_soc_top_u_top_u_core_instr_rdata_alu_id_16;
+   wire FE_DBTN145_soc_top_u_top_u_core_rf_raddr_b_4;
+   wire FE_DBTN144_soc_top_u_top_u_core_alu_operator_ex_1;
+   wire FE_DBTN143_soc_top_u_top_u_core_multdiv_operator_ex_1;
+   wire FE_DBTN142_soc_top_u_top_u_core_fp_operands_0_28;
+   wire FE_DBTN141_soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs_2;
+   wire FE_DBTN140_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_16;
+   wire FE_DBTN139_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_0;
+   wire FE_DBTN138_soc_top_u_dccm_bank_sel_1;
+   wire FE_DBTN137_n_79412;
+   wire FE_DBTN136_n_89917;
+   wire FE_DBTN135_n_88477;
+   wire FE_DBTN134_n_40154;
+   wire FE_DBTN133_n_90635;
+   wire FE_DBTN132_n_63885;
+   wire FE_DBTN131_n_42726;
+   wire FE_DBTN130_csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_add_365_53_n_2898;
+   wire FE_DBTN129_csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_add_365_53_n_3093;
+   wire FE_DBTN128_n_57800;
+   wire FE_DBTN127_n_93072;
+   wire FE_DBTN126_n_70008;
+   wire FE_DBTN125_n_84427;
+   wire FE_DBTN124_n_74612;
+   wire FE_DBTN123_soc_top_u_top_u_core_pc_set;
+   wire FE_DBTN122_n_59102;
+   wire FE_DBTN121_soc_top_u_spi_host_spi_host_tip;
+   wire FE_DBTN120_n_84419;
+   wire FE_DBTN119_n_87578;
+   wire FE_DBTN118_n_84455;
+   wire FE_DBTN117_n_72176;
+   wire FE_DBTN116_n_72527;
+   wire FE_DBTN115_n_72188;
+   wire FE_DBTN114_n_3234;
+   wire FE_DBTN113_n_35471;
+   wire FE_DBTN112_n_12763;
+   wire FE_DBTN111_n_62295;
+   wire FE_DBTN110_n_13396;
+   wire FE_DBTN109_n_62758;
+   wire FE_DBTN108_n_71084;
+   wire FE_DBTN107_n_16532;
+   wire FE_DBTN106_n_17712;
+   wire FE_DBTN105_n_17742;
+   wire FE_DBTN104_n_17765;
+   wire FE_DBTN103_n_71622;
+   wire FE_DBTN102_n_18754;
+   wire FE_DBTN101_n_21768;
+   wire FE_DBTN100_n_23967;
+   wire FE_DBTN99_n_25132;
+   wire FE_DBTN98_n_26214;
+   wire FE_DBTN97_n_60382;
+   wire FE_DBTN96_n_57702;
+   wire FE_DBTN95_n_27635;
+   wire FE_DBTN94_n_27641;
+   wire FE_DBTN93_n_27649;
+   wire FE_DBTN92_n_27674;
+   wire FE_DBTN91_n_27677;
+   wire FE_DBTN90_n_72277;
+   wire FE_DBTN89_n_30785;
+   wire FE_DBTN88_n_31300;
+   wire FE_DBTN87_n_31302;
+   wire FE_DBTN86_n_31303;
+   wire FE_DBTN85_n_59637;
+   wire FE_DBTN84_n_84225;
+   wire FE_DBTN83_n_57117;
+   wire FE_DBTN82_n_87862;
+   wire FE_DBTN81_n_38089;
+   wire FE_DBTN80_n_84985;
+   wire FE_DBTN79_n_53716;
+   wire FE_DBTN78_n_81343;
+   wire FE_DBTN77_n_60716;
+   wire FE_DBTN76_n_78163;
+   wire FE_DBTN75_n_46359;
+   wire FE_DBTN74_n_58492;
+   wire FE_DBTN73_n_61059;
+   wire FE_DBTN72_n_90623;
+   wire FE_DBTN71_n_79000;
+   wire FE_DBTN70_n_87553;
+   wire FE_DBTN69_n_53970;
+   wire FE_DBTN68_n_54295;
+   wire FE_DBTN67_n_55310;
+   wire FE_DBTN66_n_79636;
+   wire FE_DBTN65_n_58755;
+   wire FE_DBTN64_n_59187;
+   wire FE_DBTN63_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sll_527_36_n_957;
+   wire FE_DBTN62_n_69924;
+   wire FE_DBTN61_n_58241;
+   wire FE_DBTN60_n_58731;
+   wire FE_DBTN59_n_58812;
+   wire FE_DBTN58_n_59277;
+   wire FE_DBTN57_n_78875;
+   wire FE_DBTN56_n_59622;
+   wire FE_DBTN55_n_78653;
+   wire FE_DBTN54_n_78756;
+   wire FE_DBTN53_n_60824;
+   wire FE_DBTN52_n_61107;
+   wire FE_DBTN51_n_61429;
+   wire FE_DBTN50_n_61465;
+   wire FE_DBTN49_n_85250;
+   wire FE_DBTN48_n_62712;
+   wire FE_DBTN47_n_63753;
+   wire FE_DBTN46_n_64232;
+   wire FE_DBTN45_n_64238;
+   wire FE_DBTN44_n_64842;
+   wire FE_DBTN43_n_90647;
+   wire FE_DBTN42_n_64880;
+   wire FE_DBTN41_n_70004;
+   wire FE_DBTN40_n_84431;
+   wire FE_DBTN39_n_68259;
+   wire FE_DBTN38_n_72887;
+   wire FE_DBTN37_n_68466;
+   wire FE_DBTN36_n_74984;
+   wire FE_DBTN35_n_69877;
+   wire FE_DBTN34_n_72054;
+   wire FE_DBTN33_n_84501;
+   wire FE_DBTN32_soc_top_u_top_u_core_id_in_ready;
+   wire FE_DBTN31_n_73576;
+   wire FE_DBTN30_n_85206;
+   wire FE_DBTN29_n_85472;
+   wire FE_DBTN28_n_74938;
+   wire FE_DBTN27_n_77115;
+   wire FE_DBTN26_n_77195;
+   wire FE_DBTN25_n_78248;
+   wire FE_DBTN24_n_77220;
+   wire FE_DBTN23_n_78660;
+   wire FE_DBTN22_n_78025;
+   wire FE_DBTN21_n_78083;
+   wire FE_DBTN20_n_79311;
+   wire FE_DBTN19_n_78517;
+   wire FE_DBTN18_n_78720;
+   wire FE_DBTN17_n_78901;
+   wire FE_DBTN16_n_80166;
+   wire FE_DBTN15_n_79746;
+   wire FE_DBTN14_n_80113;
+   wire FE_DBTN13_n_80192;
+   wire FE_DBTN12_n_81281;
+   wire FE_DBTN11_n_81301;
+   wire FE_DBTN10_n_85255;
+   wire FE_DBTN9_n_87477;
+   wire FE_DBTN8_n_84026;
+   wire FE_DBTN7_n_84281;
+   wire FE_DBTN6_n_86735;
+   wire FE_DBTN5_n_88141;
+   wire FE_DBTN4_n_89310;
+   wire FE_DBTN3_n_93122;
+   wire FE_DBTN2_n_93141;
+   wire FE_DBTN1_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sll_527_36_n_535;
+   wire FE_DBTN0_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_621;
+   wire [36:0] soc_top_intr_controller_ip;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio35][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio34][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio33][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio32][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio31][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio30][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio29][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio28][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio27][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio26][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio25][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio24][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio23][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio22][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio21][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio20][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio19][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio18][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio17][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio16][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio15][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio14][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio13][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio12][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio11][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio10][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio9][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio8][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio7][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio6][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio5][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio4][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio3][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio2][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio1][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[threshold0][q] ;
+   wire [6:0] \soc_top_intr_controller_irq_id_o[0] ;
+   wire [31:0] soc_top_u_dccm_rdata1;
+   wire [31:0] soc_top_data_wdata;
+   wire [31:0] soc_top_u_dccm_rdata2;
+   wire [31:0] soc_top_u_dccm_rdata3;
+   wire [31:0] soc_top_u_dccm_rdata4;
+   wire [7:0] soc_top_rx_byte_i;
+   wire [11:0] soc_top_iccm_ctrl_addr_o;
+   wire [31:0] soc_top_iccm_ctrl_data;
+   wire [31:0] soc_top_u_iccm_rdata1;
+   wire [31:0] soc_top_instr_wdata;
+   wire [31:0] soc_top_u_iccm_rdata2;
+   wire [31:0] soc_top_u_iccm_rdata3;
+   wire [31:0] soc_top_u_iccm_rdata4;
+   wire [3:0] \soc_top_xbar_to_timer[a_mask] ;
+   wire [15:0] soc_top_u_spi_host_spi_host_ctrl;
+   wire [31:0] \soc_top_xbar_to_timer[a_data] ;
+   wire [31:0] soc_top_u_spi_host_spi_host_rx;
+   wire [75:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum ;
+   wire [5:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count ;
+   wire [31:0] soc_top_u_top_u_core_instr_rdata_id;
+   wire [31:0] soc_top_u_top_u_core_instr_rdata_alu_id;
+   wire [4:0] soc_top_u_top_u_core_rf_raddr_b;
+   wire [15:0] soc_top_u_top_u_core_instr_rdata_c_id;
+   wire [1:0] soc_top_u_top_u_core_priv_mode_id;
+   wire [2:0] soc_top_u_top_u_core_pc_mux_id;
+   wire [1:0] soc_top_u_top_u_core_exc_pc_mux_id;
+   wire [5:0] soc_top_u_top_u_core_exc_cause;
+   wire [2:0] soc_top_u_top_u_core_debug_cause;
+   wire [31:0] soc_top_u_top_u_core_csr_mtval;
+   wire [2:0] soc_top_u_top_u_core_id_stage_i_imm_b_mux_sel_dec;
+   wire [1:0] soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel;
+   wire [2:0] soc_top_u_top_u_core_id_stage_i_bt_b_mux_sel;
+   wire [5:0] soc_top_u_top_u_core_alu_operator_ex;
+   wire [1:0] soc_top_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec;
+   wire [1:0] soc_top_u_top_u_core_multdiv_operator_ex;
+   wire [1:0] soc_top_u_top_u_core_multdiv_signed_mode_ex;
+   wire [1:0] soc_top_u_top_u_core_csr_op;
+   wire [1:0] soc_top_u_top_u_core_lsu_type;
+   wire [3:0] soc_top_u_top_u_core_fp_alu_operator;
+   wire [2:0] soc_top_u_top_u_core_fp_src_fmt;
+   wire [15:0] soc_top_u_uart_u_uart_core_control;
+   wire [7:0] soc_top_u_uart_u_uart_core_rx;
+   wire [31:0] soc_top_u_uart_u_uart_core_tx_fifo_data;
+   wire [31:0] \soc_top_lsu_to_xbar[a_address] ;
+   wire [31:0] \soc_top_u_top_u_core_fp_operands[0] ;
+   wire [4:0] \soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] ;
+   wire [31:0] soc_top_u_top_u_core_lsu_addr_last;
+   wire [2:0] soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs;
+   wire [56:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP ;
+   wire [2:0] soc_top_u_top_u_core_cs_registers_i_mcountinhibit_q;
+   wire [2:0] soc_top_main_swith_host_lsu_dev_select_t;
+   wire [2:0] soc_top_main_swith_host_lsu_dev_select_outstanding;
+   wire [12:0] \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[1] ;
+   wire [31:0] \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] ;
+   wire [31:0] soc_top_u_top_u_core_cs_registers_i_tmatch_control_rdata;
+   wire [2:0] \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr ;
+   wire [31:0] soc_top_u_top_u_core_pc_if;
+   wire [12:0] \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[0] ;
+   wire [2:0] \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr ;
+   wire [12:0] \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[2] ;
+   wire [12:0] \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[3] ;
+   wire [2:0] \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr ;
+   wire [2:0] \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr ;
+   wire [31:0] \soc_top_u_top_u_core_fp_operands[2] ;
+   wire [31:0] soc_top_u_top_u_core_lsu_wdata;
+   wire [31:0] soc_top_u_top_u_core_alu_operand_b_ex;
+   wire [31:0] \soc_top_xbar_to_lsu[d_data] ;
+   wire [32:0] \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q ;
+   wire [32:0] \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q ;
+   wire [33:0] \soc_top_u_top_u_core_imd_val_q_ex[0] ;
+   wire [31:0] soc_top_u_top_u_core_pc_id;
+   wire [31:0] \soc_top_dccm_adapter_data_mem_rdata[0] ;
+   wire [31:0] soc_top_u_top_u_core_multdiv_operand_b_ex;
+   wire [31:0] soc_top_u_top_u_core_rf_wdata_fwd_wb;
+   wire [32:0] \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] ;
+   wire [32:0] \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] ;
+   wire [32:0] \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] ;
+   wire [4:0] \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] ;
+   wire [32:0] \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] ;
+   wire [31:8] soc_top_u_top_u_core_load_store_unit_i_rdata_q;
+   wire [4:0] \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] ;
+   wire [4:0] \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] ;
+   wire [31:0] \soc_top_timer_to_xbar[d_data] ;
+   wire [31:0] \soc_top_uart_to_xbar[d_data] ;
+   wire [31:0] \soc_top_gpio_to_xbarp[d_data] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] ;
+   wire [2:0] \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr ;
+   wire [31:0] \soc_top_pwm_to_xbar[d_data] ;
+   wire [31:0] \soc_top_plic_resp[d_data] ;
+   wire [31:0] \soc_top_spi_to_xbar[d_data] ;
+   wire [2:0] soc_top_main_swith_host_lsu_err_resp_err_opcode;
+   wire [2:0] \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr ;
+   wire [4:0] soc_top_u_top_u_core_rf_waddr_wb;
+   wire [2:0] \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q ;
+   wire [1:0] soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q;
+   wire [1:0] \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q ;
+   wire [1:0] soc_top_u_top_u_core_load_store_unit_i_data_type_q;
+   wire [2:0] \soc_top_pwm_to_xbar[d_opcode] ;
+   wire [2:0] \soc_top_uart_to_xbar[d_opcode] ;
+   wire [2:0] \soc_top_plic_resp[d_opcode] ;
+   wire [31:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_gen_lzc.sel_nodes ;
+   wire [31:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant ;
+   wire [1:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[0] ;
+   wire [52:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D ;
+   wire [11:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D ;
+   wire [5:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S ;
+   wire [16:0] soc_top_main_swith_host_lsu_num_req_outstanding;
+   wire [31:0] soc_top_GPIO_data_in_d;
+   wire [31:0] soc_top_GPIO_data_in_q;
+   wire [31:0] soc_top_intr_gpio;
+   wire [31:0] soc_top_GPIO_u_reg_data_in_qs;
+   wire [11:0] soc_top_data_addr;
+   wire [3:0] soc_top_data_wmask;
+   wire [11:0] soc_top_instr_addr;
+   wire [3:0] soc_top_instr_wmask;
+   wire [36:0] soc_top_intr_controller_u_gateway_src_q;
+   wire [31:0] soc_top_intr_controller_u_reg_reg_rdata_next;
+   wire [31:0] soc_top_u_spi_host_rdata;
+   wire [31:0] soc_top_u_uart_u_uart_core_rx_val;
+   wire [31:0] soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata;
+   wire [7:0] soc_top_u_iccm_addr3;
+   wire [7:0] soc_top_u_iccm_addr2;
+   wire [7:0] soc_top_u_iccm_addr1;
+   wire [7:0] soc_top_u_iccm_addr4;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[82] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[178] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[132] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[196] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[176] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[240] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[78] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[254] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[48] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[37] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[12] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[140] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[56] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[88] ;
+   wire [31:0] \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] ;
+   wire [2:0] soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_valid_q;
+   wire [31:0] \soc_top_iccm_to_xbar[d_data] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[55] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[63] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[23] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[31] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[56] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[115] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[243] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[15] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[79] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[119] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[247] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[123] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[251] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[121] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[249] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[113] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[241] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[9] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[73] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[133] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[197] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[141] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[205] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[1] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[65] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[58] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[186] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[130] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[194] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[126] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[118] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[246] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[54] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[182] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[2] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[66] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[122] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[250] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[206] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[50] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[114] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[6] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[70] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[138] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[202] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[134] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[198] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[242] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[110] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[238] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[142] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[127] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[255] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[139] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[203] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[131] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[195] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[7] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[71] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[11] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[75] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[135] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[199] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[91] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[219] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[87] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[215] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[63] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[191] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[83] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[211] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[59] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[187] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[55] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[3] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[67] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[104] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[232] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[39] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[47] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[7] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[15] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[45] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[1] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[17] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[50] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[58] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[18] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[26] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[21] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[29] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[9] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[25] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[53] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[61] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[100] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[228] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[48] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[76] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[8] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[72] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[136] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[200] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[128] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[192] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[28] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[156] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[116] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[244] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[204] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[184] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[112] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[4] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[68] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[80] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[208] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[52] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[180] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[92] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[220] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[96] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[224] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[60] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[188] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[13] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[77] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[137] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[201] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[117] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[245] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[129] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[193] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[29] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[157] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[93] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[221] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[53] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[181] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[57] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[185] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[81] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[209] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[69] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[49] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[41] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[169] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[125] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[253] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[14] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[216] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[111] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[239] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[5] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[106] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[234] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[86] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[214] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[90] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[218] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[62] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[190] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[143] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[207] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[183] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[95] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[223] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[51] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[179] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[124] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[252] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[120] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[248] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[0] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[64] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[84] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[212] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[61] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[189] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[85] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[213] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[89] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[217] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[177] ;
+   wire [1:0] soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_rdata_outstanding_q;
+   wire [31:0] \soc_top_iccm_adapter_inst_mem_rdata[0] ;
+   wire [5:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[170] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[22] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[10] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[74] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[150] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[154] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[46] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[26] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[27] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[23] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[159] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[147] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[155] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[47] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[164] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[160] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[32] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[152] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[168] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[16] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[24] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[148] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[144] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[20] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[149] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[153] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[17] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[105] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[13] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[5] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[42] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[146] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[210] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[174] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[151] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[31] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[19] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[175] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[36] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[40] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[21] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[25] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[145] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[2] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[10] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[40] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[32] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[42] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[34] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[233] ;
+   wire [32:0] \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] ;
+   wire [32:0] \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] ;
+   wire [5:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a ;
+   wire [31:0] soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q;
+   wire [15:0] soc_top_u_spi_host_spi_host_divider;
+   wire [7:0] soc_top_u_dccm_addr2;
+   wire [7:0] soc_top_u_dccm_addr4;
+   wire [7:0] soc_top_u_dccm_addr3;
+   wire [7:0] soc_top_u_dccm_addr1;
+   wire [1:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[2] ;
+   wire [31:0] soc_top_u_top_u_core_csr_depc;
+   wire [31:0] soc_top_u_top_u_core_csr_mepc;
+   wire [8:0] soc_top_u_uart_u_uart_core_read_fifo_raddr;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[54] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[22] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[33] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[171] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[43] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[35] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[163] ;
+   wire [3:0] soc_top_u_spi_host_spi_host_ss;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[97] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[225] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[109] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[237] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[98] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[226] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[230] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[102] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[94] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[222] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[34] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[162] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[166] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[38] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[18] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[231] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[103] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[227] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[99] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[167] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[39] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[235] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[107] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[62] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[19] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[27] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[49] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[20] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[51] ;
+   wire [8:0] soc_top_u_uart_u_uart_core_fifo_read_size;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[6] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[4] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[36] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[3] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[57] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[108] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[236] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[172] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[44] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[161] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[33] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[165] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[37] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[173] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[45] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[101] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[229] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[16] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[24] ;
+   wire [31:0] soc_top_u_top_u_core_csr_mtvec;
+   wire [15:0] soc_top_u_spi_host_spi_host_clgen_cnt;
+   wire [12:0] \soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[1] ;
+   wire [1:0] \soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr ;
+   wire [1:0] \soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[59] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[52] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[60] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[30] ;
+   wire [52:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D ;
+   wire [31:0] soc_top_u_top_u_core_alu_adder_result_ex;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[41] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[14] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[38] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[46] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[12] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[28] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[35] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[43] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[44] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[11] ;
+   wire [31:0] gpio_o;
+   wire [4:0] \soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[0] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_write_fifo_buffer[8] ;
+   wire [31:0] \soc_top_xbar_to_timer[a_address] ;
+   wire [31:0] soc_top_GPIO_cio_gpio_en_q;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[30] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_buffer[158] ;
+   wire [2:0] soc_top_u_pwm_pwm_core_ctrl_2;
+   wire [2:0] soc_top_u_pwm_pwm_core_ctrl;
+   wire [1:0] \soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr ;
+   wire [1:0] \soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr ;
+   wire [1:0] \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr ;
+   wire [31:0] soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q;
+   wire [11:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D ;
+   wire [1:0] soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_busy;
+   wire [57:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] ;
+   wire [1:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[1] ;
+   wire [1:0] \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr ;
+   wire [31:0] \soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] ;
+   wire [31:0] \soc_top_GPIO_reg2hw[intr_state][q] ;
+   wire [31:0] \soc_top_GPIO_reg2hw[intr_enable][q] ;
+   wire [31:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs ;
+   wire [57:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] ;
+   wire [12:0] \soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[0] ;
+   wire [1:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q ;
+   wire [1:0] soc_top_u_iccm_bank_sel;
+   wire [2:0] soc_top_u_top_u_core_fp_frm_fpnew;
+   wire [2:0] soc_top_u_top_u_core_fp_frm_csr;
+   wire [57:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP ;
+   wire [31:0] soc_top_GPIO_cio_gpio_q;
+   wire [3:0] \soc_top_GPIO_gen_filter[0].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[1].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[2].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[3].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[4].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[5].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[6].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[7].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[8].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[9].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[10].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[11].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[12].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[13].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[14].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[15].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[16].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[17].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[19].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[20].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[21].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[22].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[23].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[24].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[25].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[26].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[27].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[28].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[29].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[30].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[31].filter_diff_ctr_q ;
+   wire [31:0] soc_top_GPIO_u_reg_ctrl_en_input_filter_qs;
+   wire [31:0] \soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] ;
+   wire [31:0] \soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] ;
+   wire [31:0] \soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] ;
+   wire [31:0] \soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] ;
+   wire [2:0] \soc_top_gpio_to_xbarp[d_opcode] ;
+   wire [4:0] \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] ;
+   wire [36:0] soc_top_intr_controller_u_gateway_ia;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio0][q] ;
+   wire [11:0] \soc_top_timer0_gen_harts[0].u_core_tick_count ;
+   wire [11:0] \soc_top_timer0_reg2hw[cfg0][prescale][q] ;
+   wire [7:0] \soc_top_timer0_reg2hw[cfg0][step][q] ;
+   wire [31:0] \soc_top_timer0_reg2hw[compare_lower0_0][q] ;
+   wire [31:0] \soc_top_timer0_reg2hw[compare_upper0_0][q] ;
+   wire [2:0] \soc_top_timer_to_xbar[d_opcode] ;
+   wire [31:0] \soc_top_timer0_reg2hw[timer_v_lower0][q] ;
+   wire [31:0] \soc_top_timer0_reg2hw[timer_v_upper0][q] ;
+   wire [1:0] soc_top_u_dccm_bank_sel;
+   wire [15:0] soc_top_u_pwm_pwm_core_DC_1;
+   wire [15:0] soc_top_u_pwm_pwm_core_DC_2;
+   wire [15:0] soc_top_u_pwm_pwm_core_counter_p1;
+   wire [15:0] soc_top_u_pwm_pwm_core_counter_p2;
+   wire [15:0] soc_top_u_pwm_pwm_core_divisor_2;
+   wire [15:0] soc_top_u_pwm_pwm_core_divisor;
+   wire [15:0] soc_top_u_pwm_pwm_core_period_2;
+   wire [15:0] soc_top_u_pwm_pwm_core_period_counter1;
+   wire [15:0] soc_top_u_pwm_pwm_core_period_counter2;
+   wire [15:0] soc_top_u_pwm_pwm_core_period;
+   wire [2:0] \soc_top_spi_to_xbar[d_opcode] ;
+   wire [63:0] \soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] ;
+   wire [63:0] \soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] ;
+   wire [1:0] \soc_top_u_top_u_core_cs_registers_i_dcsr_q[prv] ;
+   wire [2:0] \soc_top_u_top_u_core_cs_registers_i_dcsr_q[cause] ;
+   wire [31:0] soc_top_u_top_u_core_cs_registers_i_dscratch0_q;
+   wire [31:0] soc_top_u_top_u_core_cs_registers_i_dscratch1_q;
+   wire [5:0] soc_top_u_top_u_core_cs_registers_i_mcause_q;
+   wire [17:0] soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q;
+   wire [31:0] soc_top_u_top_u_core_cs_registers_i_mscratch_q;
+   wire [31:0] soc_top_u_top_u_core_cs_registers_i_csr_rdata_int;
+   wire [31:0] soc_top_u_top_u_core_cs_registers_i_mtval_q;
+   wire [31:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q ;
+   wire [12:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D ;
+   wire [2:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_RM_dly_S ;
+   wire [33:0] \soc_top_u_top_u_core_imd_val_q_ex[1] ;
+   wire [1:0] soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_branch_discard_q;
+   wire [31:0] \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] ;
+   wire [31:0] \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] ;
+   wire [31:0] soc_top_u_top_u_core_pc_wb;
+   wire [8:0] soc_top_u_uart_u_uart_core_rx_buffer_size;
+   wire [1:0] soc_top_u_uart_u_uart_core_rx_time_state_reg;
+   wire [31:0] soc_top_u_uart_u_uart_core_rx_time_rx_time;
+   wire [31:0] soc_top_u_uart_u_uart_core_rx_time_rx_timeout;
+   wire [31:0] soc_top_u_uart_u_uart_core_rx_timeout;
+   wire [8:0] soc_top_u_uart_u_uart_core_write_fifo_waddr;
+   wire [4:0] \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q ;
+   wire [1:0] \soc_top_u_top_u_core_i_fpnew_top_i_arbiter_gen_arbiter.rr_q ;
+   wire [57:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[2] ;
+   wire UNCONNECTED0;
+   wire UNCONNECTED1;
+   wire UNCONNECTED2;
+   wire UNCONNECTED3;
+   wire UNCONNECTED4;
+   wire UNCONNECTED5;
+   wire UNCONNECTED6;
+   wire UNCONNECTED7;
+   wire UNCONNECTED8;
+   wire UNCONNECTED9;
+   wire UNCONNECTED10;
+   wire UNCONNECTED11;
+   wire UNCONNECTED12;
+   wire UNCONNECTED13;
+   wire UNCONNECTED14;
+   wire UNCONNECTED15;
+   wire UNCONNECTED16;
+   wire UNCONNECTED17;
+   wire UNCONNECTED18;
+   wire UNCONNECTED19;
+   wire UNCONNECTED20;
+   wire UNCONNECTED21;
+   wire UNCONNECTED22;
+   wire UNCONNECTED23;
+   wire UNCONNECTED24;
+   wire UNCONNECTED25;
+   wire UNCONNECTED26;
+   wire UNCONNECTED27;
+   wire UNCONNECTED28;
+   wire UNCONNECTED29;
+   wire UNCONNECTED30;
+   wire UNCONNECTED31;
+   wire UNCONNECTED32;
+   wire UNCONNECTED33;
+   wire UNCONNECTED34;
+   wire UNCONNECTED35;
+   wire UNCONNECTED36;
+   wire UNCONNECTED37;
+   wire UNCONNECTED38;
+   wire UNCONNECTED39;
+   wire UNCONNECTED40;
+   wire UNCONNECTED41;
+   wire UNCONNECTED42;
+   wire UNCONNECTED43;
+   wire UNCONNECTED44;
+   wire UNCONNECTED45;
+   wire UNCONNECTED46;
+   wire UNCONNECTED47;
+   wire UNCONNECTED48;
+   wire UNCONNECTED49;
+   wire UNCONNECTED50;
+   wire UNCONNECTED51;
+   wire UNCONNECTED52;
+   wire UNCONNECTED53;
+   wire UNCONNECTED54;
+   wire UNCONNECTED55;
+   wire UNCONNECTED56;
+   wire UNCONNECTED57;
+   wire UNCONNECTED58;
+   wire UNCONNECTED59;
+   wire UNCONNECTED60;
+   wire UNCONNECTED61;
+   wire UNCONNECTED62;
+   wire UNCONNECTED63;
+   wire UNCONNECTED64;
+   wire UNCONNECTED65;
+   wire UNCONNECTED66;
+   wire UNCONNECTED67;
+   wire UNCONNECTED68;
+   wire UNCONNECTED69;
+   wire UNCONNECTED70;
+   wire UNCONNECTED71;
+   wire UNCONNECTED72;
+   wire UNCONNECTED73;
+   wire UNCONNECTED74;
+   wire UNCONNECTED75;
+   wire UNCONNECTED76;
+   wire UNCONNECTED77;
+   wire UNCONNECTED78;
+   wire UNCONNECTED79;
+   wire UNCONNECTED80;
+   wire UNCONNECTED81;
+   wire UNCONNECTED82;
+   wire UNCONNECTED83;
+   wire UNCONNECTED84;
+   wire UNCONNECTED85;
+   wire UNCONNECTED86;
+   wire UNCONNECTED87;
+   wire UNCONNECTED88;
+   wire UNCONNECTED89;
+   wire UNCONNECTED90;
+   wire UNCONNECTED91;
+   wire UNCONNECTED92;
+   wire UNCONNECTED93;
+   wire UNCONNECTED94;
+   wire UNCONNECTED95;
+   wire UNCONNECTED96;
+   wire UNCONNECTED97;
+   wire UNCONNECTED98;
+   wire UNCONNECTED99;
+   wire UNCONNECTED100;
+   wire UNCONNECTED101;
+   wire UNCONNECTED102;
+   wire UNCONNECTED103;
+   wire UNCONNECTED104;
+   wire UNCONNECTED105;
+   wire UNCONNECTED106;
+   wire UNCONNECTED107;
+   wire UNCONNECTED108;
+   wire UNCONNECTED109;
+   wire UNCONNECTED110;
+   wire UNCONNECTED111;
+   wire UNCONNECTED112;
+   wire UNCONNECTED113;
+   wire UNCONNECTED114;
+   wire UNCONNECTED115;
+   wire UNCONNECTED116;
+   wire UNCONNECTED117;
+   wire UNCONNECTED118;
+   wire UNCONNECTED119;
+   wire UNCONNECTED120;
+   wire UNCONNECTED121;
+   wire UNCONNECTED122;
+   wire UNCONNECTED123;
+   wire UNCONNECTED124;
+   wire UNCONNECTED125;
+   wire UNCONNECTED126;
+   wire UNCONNECTED127;
+   wire UNCONNECTED128;
+   wire UNCONNECTED129;
+   wire UNCONNECTED130;
+   wire UNCONNECTED131;
+   wire UNCONNECTED132;
+   wire UNCONNECTED133;
+   wire UNCONNECTED134;
+   wire UNCONNECTED135;
+   wire UNCONNECTED136;
+   wire UNCONNECTED137;
+   wire UNCONNECTED138;
+   wire UNCONNECTED139;
+   wire UNCONNECTED140;
+   wire UNCONNECTED141;
+   wire UNCONNECTED142;
+   wire UNCONNECTED143;
+   wire UNCONNECTED144;
+   wire UNCONNECTED145;
+   wire UNCONNECTED146;
+   wire UNCONNECTED147;
+   wire UNCONNECTED148;
+   wire UNCONNECTED149;
+   wire UNCONNECTED150;
+   wire UNCONNECTED151;
+   wire UNCONNECTED152;
+   wire UNCONNECTED153;
+   wire UNCONNECTED154;
+   wire UNCONNECTED155;
+   wire UNCONNECTED156;
+   wire UNCONNECTED157;
+   wire UNCONNECTED158;
+   wire UNCONNECTED159;
+   wire UNCONNECTED160;
+   wire UNCONNECTED161;
+   wire UNCONNECTED162;
+   wire UNCONNECTED163;
+   wire UNCONNECTED164;
+   wire UNCONNECTED165;
+   wire UNCONNECTED166;
+   wire UNCONNECTED167;
+   wire UNCONNECTED168;
+   wire UNCONNECTED169;
+   wire UNCONNECTED170;
+   wire UNCONNECTED171;
+   wire UNCONNECTED172;
+   wire UNCONNECTED173;
+   wire UNCONNECTED174;
+   wire UNCONNECTED175;
+   wire UNCONNECTED176;
+   wire UNCONNECTED177;
+   wire UNCONNECTED178;
+   wire UNCONNECTED179;
+   wire UNCONNECTED180;
+   wire UNCONNECTED181;
+   wire UNCONNECTED182;
+   wire UNCONNECTED183;
+   wire UNCONNECTED184;
+   wire UNCONNECTED185;
+   wire UNCONNECTED186;
+   wire UNCONNECTED187;
+   wire UNCONNECTED188;
+   wire UNCONNECTED189;
+   wire UNCONNECTED190;
+   wire UNCONNECTED191;
+   wire UNCONNECTED192;
+   wire UNCONNECTED193;
+   wire UNCONNECTED194;
+   wire UNCONNECTED195;
+   wire UNCONNECTED196;
+   wire UNCONNECTED197;
+   wire UNCONNECTED198;
+   wire UNCONNECTED199;
+   wire UNCONNECTED200;
+   wire UNCONNECTED201;
+   wire UNCONNECTED202;
+   wire UNCONNECTED203;
+   wire UNCONNECTED204;
+   wire UNCONNECTED205;
+   wire UNCONNECTED206;
+   wire UNCONNECTED207;
+   wire UNCONNECTED208;
+   wire UNCONNECTED209;
+   wire UNCONNECTED210;
+   wire UNCONNECTED211;
+   wire UNCONNECTED212;
+   wire UNCONNECTED213;
+   wire UNCONNECTED214;
+   wire UNCONNECTED215;
+   wire UNCONNECTED216;
+   wire UNCONNECTED217;
+   wire UNCONNECTED218;
+   wire UNCONNECTED219;
+   wire UNCONNECTED220;
+   wire UNCONNECTED221;
+   wire UNCONNECTED222;
+   wire UNCONNECTED223;
+   wire UNCONNECTED224;
+   wire UNCONNECTED225;
+   wire UNCONNECTED226;
+   wire UNCONNECTED227;
+   wire UNCONNECTED228;
+   wire UNCONNECTED229;
+   wire UNCONNECTED230;
+   wire UNCONNECTED231;
+   wire UNCONNECTED232;
+   wire UNCONNECTED233;
+   wire UNCONNECTED234;
+   wire UNCONNECTED235;
+   wire UNCONNECTED236;
+   wire UNCONNECTED237;
+   wire UNCONNECTED238;
+   wire UNCONNECTED239;
+   wire UNCONNECTED240;
+   wire UNCONNECTED241;
+   wire UNCONNECTED242;
+   wire UNCONNECTED243;
+   wire UNCONNECTED244;
+   wire UNCONNECTED245;
+   wire UNCONNECTED246;
+   wire UNCONNECTED247;
+   wire UNCONNECTED248;
+   wire UNCONNECTED249;
+   wire UNCONNECTED250;
+   wire UNCONNECTED251;
+   wire UNCONNECTED252;
+   wire UNCONNECTED253;
+   wire UNCONNECTED254;
+   wire UNCONNECTED255;
+   wire UNCONNECTED494;
+   wire UNCONNECTED495;
+   wire UNCONNECTED496;
+   wire UNCONNECTED497;
+   wire UNCONNECTED498;
+   wire UNCONNECTED499;
+   wire UNCONNECTED500;
+   wire UNCONNECTED501;
+   wire UNCONNECTED502;
+   wire UNCONNECTED503;
+   wire UNCONNECTED504;
+   wire UNCONNECTED505;
+   wire UNCONNECTED506;
+   wire UNCONNECTED507;
+   wire UNCONNECTED508;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_7;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_8;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_10;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_13;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_15;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_16;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_18;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_26;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_30;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_36;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_40;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_50;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_63;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_70;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_78;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_80;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_89;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_95;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_96;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_99;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_106;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_123;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_124;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_132;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_141;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_166;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_172;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_186;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_189;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_190;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_191;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_194;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_196;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_197;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_202;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_203;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_210;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_213;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_216;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_221;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_222;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_226;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_227;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_228;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_229;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_230;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_231;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_234;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_238;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_241;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_244;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_245;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_252;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_261;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_263;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_265;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_268;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_275;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_278;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_281;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_283;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_285;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_292;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_293;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_299;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_300;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_304;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_307;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_313;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_320;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_321;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_323;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_326;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_327;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_332;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_334;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_341;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_352;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_355;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_358;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_359;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_364;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_371;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_374;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_380;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_381;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_392;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_397;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_409;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_414;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_418;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_424;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_435;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_497;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_502;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_536;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_556;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_566;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_568;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_569;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_570;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_571;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_601;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_622;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_651;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_654;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_660;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_685;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_686;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_699;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_701;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_702;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_710;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_713;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_718;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_722;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_728;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_731;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_732;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_733;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_745;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_775;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_776;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_778;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_781;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_782;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_786;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_790;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_797;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_802;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_803;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_805;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_807;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_808;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_845;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_852;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_857;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_863;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_866;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_868;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_874;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_884;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_885;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_891;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_893;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_895;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_898;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_903;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_904;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_905;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_906;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_909;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_910;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_912;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_913;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_914;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_916;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_917;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_919;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_920;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_921;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_922;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_923;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_925;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_926;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_927;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_928;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_929;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_930;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_931;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_953;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_970;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_978;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_980;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_986;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_994;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_998;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1002;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1024;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1033;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1045;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1048;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1070;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1090;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1099;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1104;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1120;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1122;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1125;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1128;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1148;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1157;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1158;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1162;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1165;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1166;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1171;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1174;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1176;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1180;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1186;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1188;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1195;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1199;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1201;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1213;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1217;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1219;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1220;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1222;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1235;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1241;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1242;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1248;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1257;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1263;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1275;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1278;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1284;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1294;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1296;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1305;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1310;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1311;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1321;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1324;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1328;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1330;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1373;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1384;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1398;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1401;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1412;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1429;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1431;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1434;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1437;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1446;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1449;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1450;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1476;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1488;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1490;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1495;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1500;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1505;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1507;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1513;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1515;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1523;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1528;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1530;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1533;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1535;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1537;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1539;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1541;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1543;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1545;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1547;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1722;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1726;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1727;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1735;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1736;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1743;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1746;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1749;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1753;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1755;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1758;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1759;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1763;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1766;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1776;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1780;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1782;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1793;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1813;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1816;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1823;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1824;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1825;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1826;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1830;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1831;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1832;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1835;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1836;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1837;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1838;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1840;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1842;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1843;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1844;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1845;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1846;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1847;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1848;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1849;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1850;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1851;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1852;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1868;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1870;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1876;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1877;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1884;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1885;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1887;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1890;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1899;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1901;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1908;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1913;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1914;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1915;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1921;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1923;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1925;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1931;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1934;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1935;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1939;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1940;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1944;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1962;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1963;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1964;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1973;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1983;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1987;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1988;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1991;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1994;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_2043;
+   wire addinc_ADD_UNS_OP_2_n_3;
+   wire addinc_ADD_UNS_OP_2_n_6;
+   wire addinc_ADD_UNS_OP_2_n_25;
+   wire addinc_ADD_UNS_OP_2_n_27;
+   wire addinc_ADD_UNS_OP_2_n_49;
+   wire addinc_ADD_UNS_OP_2_n_54;
+   wire addinc_ADD_UNS_OP_2_n_55;
+   wire addinc_ADD_UNS_OP_2_n_66;
+   wire addinc_ADD_UNS_OP_2_n_109;
+   wire addinc_ADD_UNS_OP_2_n_135;
+   wire addinc_ADD_UNS_OP_2_n_141;
+   wire addinc_ADD_UNS_OP_2_n_143;
+   wire addinc_ADD_UNS_OP_2_n_144;
+   wire addinc_ADD_UNS_OP_2_n_159;
+   wire addinc_ADD_UNS_OP_2_n_166;
+   wire addinc_ADD_UNS_OP_2_n_167;
+   wire addinc_ADD_UNS_OP_2_n_174;
+   wire addinc_ADD_UNS_OP_2_n_182;
+   wire addinc_ADD_UNS_OP_2_n_184;
+   wire addinc_ADD_UNS_OP_2_n_186;
+   wire addinc_ADD_UNS_OP_2_n_200;
+   wire addinc_ADD_UNS_OP_2_n_206;
+   wire addinc_ADD_UNS_OP_2_n_214;
+   wire addinc_ADD_UNS_OP_2_n_215;
+   wire addinc_ADD_UNS_OP_2_n_217;
+   wire addinc_ADD_UNS_OP_2_n_224;
+   wire addinc_ADD_UNS_OP_2_n_229;
+   wire addinc_ADD_UNS_OP_2_n_230;
+   wire addinc_ADD_UNS_OP_2_n_249;
+   wire addinc_ADD_UNS_OP_2_n_252;
+   wire addinc_ADD_UNS_OP_2_n_261;
+   wire addinc_ADD_UNS_OP_2_n_264;
+   wire addinc_ADD_UNS_OP_2_n_268;
+   wire addinc_ADD_UNS_OP_2_n_274;
+   wire addinc_ADD_UNS_OP_2_n_297;
+   wire addinc_ADD_UNS_OP_2_n_302;
+   wire addinc_ADD_UNS_OP_2_n_303;
+   wire addinc_ADD_UNS_OP_2_n_304;
+   wire addinc_ADD_UNS_OP_2_n_311;
+   wire addinc_ADD_UNS_OP_2_n_317;
+   wire addinc_ADD_UNS_OP_2_n_321;
+   wire addinc_ADD_UNS_OP_2_n_326;
+   wire addinc_ADD_UNS_OP_2_n_335;
+   wire addinc_ADD_UNS_OP_2_n_348;
+   wire addinc_ADD_UNS_OP_2_n_350;
+   wire addinc_ADD_UNS_OP_2_n_351;
+   wire addinc_ADD_UNS_OP_2_n_352;
+   wire addinc_ADD_UNS_OP_2_n_356;
+   wire addinc_ADD_UNS_OP_2_n_361;
+   wire addinc_ADD_UNS_OP_2_n_391;
+   wire addinc_ADD_UNS_OP_2_n_396;
+   wire addinc_ADD_UNS_OP_2_n_402;
+   wire addinc_ADD_UNS_OP_2_n_412;
+   wire addinc_ADD_UNS_OP_2_n_416;
+   wire addinc_ADD_UNS_OP_2_n_432;
+   wire addinc_ADD_UNS_OP_2_n_439;
+   wire addinc_ADD_UNS_OP_2_n_441;
+   wire addinc_ADD_UNS_OP_2_n_442;
+   wire addinc_ADD_UNS_OP_2_n_444;
+   wire addinc_ADD_UNS_OP_2_n_469;
+   wire addinc_ADD_UNS_OP_2_n_473;
+   wire addinc_ADD_UNS_OP_2_n_477;
+   wire addinc_ADD_UNS_OP_2_n_489;
+   wire addinc_ADD_UNS_OP_2_n_497;
+   wire addinc_ADD_UNS_OP_2_n_500;
+   wire addinc_ADD_UNS_OP_2_n_501;
+   wire addinc_ADD_UNS_OP_2_n_514;
+   wire addinc_ADD_UNS_OP_2_n_518;
+   wire addinc_ADD_UNS_OP_2_n_531;
+   wire addinc_ADD_UNS_OP_2_n_533;
+   wire addinc_ADD_UNS_OP_2_n_538;
+   wire addinc_ADD_UNS_OP_2_n_541;
+   wire addinc_ADD_UNS_OP_2_n_548;
+   wire addinc_ADD_UNS_OP_2_n_549;
+   wire addinc_ADD_UNS_OP_2_n_552;
+   wire addinc_ADD_UNS_OP_2_n_553;
+   wire addinc_ADD_UNS_OP_2_n_554;
+   wire addinc_ADD_UNS_OP_2_n_555;
+   wire addinc_ADD_UNS_OP_2_n_556;
+   wire addinc_ADD_UNS_OP_2_n_557;
+   wire addinc_ADD_UNS_OP_2_n_558;
+   wire addinc_ADD_UNS_OP_2_n_563;
+   wire addinc_ADD_UNS_OP_2_n_566;
+   wire addinc_ADD_UNS_OP_2_n_567;
+   wire addinc_ADD_UNS_OP_2_n_569;
+   wire addinc_ADD_UNS_OP_2_n_570;
+   wire addinc_ADD_UNS_OP_2_n_571;
+   wire addinc_ADD_UNS_OP_2_n_572;
+   wire addinc_ADD_UNS_OP_2_n_575;
+   wire addinc_ADD_UNS_OP_2_n_578;
+   wire addinc_ADD_UNS_OP_2_n_593;
+   wire addinc_ADD_UNS_OP_2_n_594;
+   wire addinc_ADD_UNS_OP_2_n_605;
+   wire addinc_ADD_UNS_OP_2_n_611;
+   wire addinc_ADD_UNS_OP_2_n_613;
+   wire addinc_ADD_UNS_OP_2_n_621;
+   wire addinc_ADD_UNS_OP_2_n_624;
+   wire addinc_ADD_UNS_OP_2_n_632;
+   wire addinc_ADD_UNS_OP_2_n_633;
+   wire addinc_ADD_UNS_OP_2_n_637;
+   wire addinc_ADD_UNS_OP_2_n_639;
+   wire addinc_ADD_UNS_OP_2_n_651;
+   wire addinc_ADD_UNS_OP_2_n_652;
+   wire addinc_ADD_UNS_OP_2_n_653;
+   wire addinc_ADD_UNS_OP_2_n_654;
+   wire addinc_ADD_UNS_OP_2_n_660;
+   wire addinc_ADD_UNS_OP_2_n_664;
+   wire addinc_ADD_UNS_OP_2_n_666;
+   wire addinc_ADD_UNS_OP_2_n_667;
+   wire addinc_ADD_UNS_OP_2_n_671;
+   wire addinc_ADD_UNS_OP_2_n_678;
+   wire addinc_ADD_UNS_OP_2_n_680;
+   wire addinc_ADD_UNS_OP_2_n_682;
+   wire addinc_ADD_UNS_OP_2_n_683;
+   wire addinc_ADD_UNS_OP_2_n_691;
+   wire addinc_ADD_UNS_OP_2_n_692;
+   wire addinc_ADD_UNS_OP_2_n_697;
+   wire addinc_ADD_UNS_OP_2_n_698;
+   wire addinc_ADD_UNS_OP_2_n_706;
+   wire addinc_ADD_UNS_OP_2_n_732;
+   wire addinc_ADD_UNS_OP_2_n_740;
+   wire addinc_ADD_UNS_OP_2_n_744;
+   wire addinc_ADD_UNS_OP_2_n_746;
+   wire addinc_ADD_UNS_OP_2_n_748;
+   wire addinc_ADD_UNS_OP_2_n_751;
+   wire addinc_ADD_UNS_OP_2_n_752;
+   wire addinc_ADD_UNS_OP_2_n_758;
+   wire addinc_ADD_UNS_OP_2_n_759;
+   wire addinc_ADD_UNS_OP_2_n_761;
+   wire addinc_ADD_UNS_OP_2_n_763;
+   wire addinc_ADD_UNS_OP_2_n_766;
+   wire addinc_ADD_UNS_OP_2_n_771;
+   wire addinc_ADD_UNS_OP_2_n_774;
+   wire addinc_ADD_UNS_OP_2_n_780;
+   wire addinc_ADD_UNS_OP_2_n_781;
+   wire addinc_ADD_UNS_OP_2_n_783;
+   wire addinc_ADD_UNS_OP_2_n_784;
+   wire addinc_ADD_UNS_OP_2_n_788;
+   wire addinc_ADD_UNS_OP_2_n_798;
+   wire addinc_ADD_UNS_OP_2_n_804;
+   wire addinc_ADD_UNS_OP_2_n_808;
+   wire addinc_ADD_UNS_OP_2_n_816;
+   wire addinc_ADD_UNS_OP_2_n_821;
+   wire addinc_ADD_UNS_OP_2_n_826;
+   wire addinc_ADD_UNS_OP_2_n_837;
+   wire addinc_ADD_UNS_OP_2_n_856;
+   wire addinc_ADD_UNS_OP_2_n_871;
+   wire addinc_ADD_UNS_OP_2_n_900;
+   wire addinc_ADD_UNS_OP_2_n_907;
+   wire addinc_ADD_UNS_OP_2_n_908;
+   wire addinc_ADD_UNS_OP_2_n_911;
+   wire addinc_ADD_UNS_OP_2_n_912;
+   wire addinc_ADD_UNS_OP_2_n_913;
+   wire addinc_ADD_UNS_OP_2_n_914;
+   wire addinc_ADD_UNS_OP_2_n_918;
+   wire addinc_ADD_UNS_OP_2_n_920;
+   wire addinc_ADD_UNS_OP_2_n_921;
+   wire addinc_ADD_UNS_OP_2_n_923;
+   wire addinc_ADD_UNS_OP_2_n_925;
+   wire addinc_ADD_UNS_OP_2_n_926;
+   wire addinc_ADD_UNS_OP_2_n_927;
+   wire addinc_ADD_UNS_OP_2_n_928;
+   wire addinc_ADD_UNS_OP_2_n_930;
+   wire addinc_ADD_UNS_OP_2_n_931;
+   wire addinc_ADD_UNS_OP_2_n_932;
+   wire addinc_ADD_UNS_OP_2_n_934;
+   wire addinc_ADD_UNS_OP_2_n_938;
+   wire addinc_ADD_UNS_OP_2_n_939;
+   wire addinc_ADD_UNS_OP_2_n_942;
+   wire addinc_ADD_UNS_OP_2_n_944;
+   wire addinc_ADD_UNS_OP_2_n_948;
+   wire addinc_ADD_UNS_OP_2_n_968;
+   wire addinc_ADD_UNS_OP_2_n_969;
+   wire addinc_ADD_UNS_OP_2_n_970;
+   wire addinc_ADD_UNS_OP_2_n_971;
+   wire addinc_ADD_UNS_OP_2_n_972;
+   wire addinc_ADD_UNS_OP_2_n_973;
+   wire addinc_ADD_UNS_OP_2_n_976;
+   wire addinc_ADD_UNS_OP_2_n_979;
+   wire addinc_ADD_UNS_OP_2_n_988;
+   wire addinc_ADD_UNS_OP_2_n_1009;
+   wire addinc_ADD_UNS_OP_2_n_1011;
+   wire addinc_ADD_UNS_OP_2_n_1014;
+   wire addinc_ADD_UNS_OP_2_n_1015;
+   wire addinc_ADD_UNS_OP_2_n_1016;
+   wire addinc_ADD_UNS_OP_2_n_1017;
+   wire addinc_ADD_UNS_OP_2_n_1023;
+   wire addinc_ADD_UNS_OP_2_n_1024;
+   wire addinc_ADD_UNS_OP_2_n_1026;
+   wire addinc_ADD_UNS_OP_2_n_1027;
+   wire addinc_ADD_UNS_OP_2_n_1034;
+   wire addinc_ADD_UNS_OP_2_n_1036;
+   wire addinc_ADD_UNS_OP_2_n_1038;
+   wire addinc_ADD_UNS_OP_2_n_1041;
+   wire addinc_ADD_UNS_OP_2_n_1042;
+   wire addinc_ADD_UNS_OP_2_n_1044;
+   wire addinc_ADD_UNS_OP_2_n_1049;
+   wire addinc_ADD_UNS_OP_2_n_1070;
+   wire addinc_ADD_UNS_OP_2_n_1071;
+   wire addinc_ADD_UNS_OP_2_n_1089;
+   wire addinc_ADD_UNS_OP_2_n_1090;
+   wire addinc_ADD_UNS_OP_2_n_1092;
+   wire addinc_ADD_UNS_OP_2_n_1093;
+   wire addinc_ADD_UNS_OP_2_n_1095;
+   wire addinc_ADD_UNS_OP_2_n_1096;
+   wire addinc_ADD_UNS_OP_2_n_1097;
+   wire addinc_ADD_UNS_OP_2_n_1098;
+   wire addinc_ADD_UNS_OP_2_n_1099;
+   wire addinc_ADD_UNS_OP_2_n_1100;
+   wire addinc_ADD_UNS_OP_2_n_1102;
+   wire addinc_ADD_UNS_OP_2_n_1107;
+   wire addinc_ADD_UNS_OP_2_n_1108;
+   wire addinc_ADD_UNS_OP_2_n_1128;
+   wire addinc_ADD_UNS_OP_2_n_1129;
+   wire addinc_ADD_UNS_OP_2_n_1130;
+   wire addinc_ADD_UNS_OP_2_n_1131;
+   wire addinc_ADD_UNS_OP_2_n_1132;
+   wire addinc_ADD_UNS_OP_2_n_1133;
+   wire addinc_ADD_UNS_OP_2_n_1135;
+   wire addinc_ADD_UNS_OP_2_n_1136;
+   wire addinc_ADD_UNS_OP_2_n_1137;
+   wire addinc_ADD_UNS_OP_2_n_1142;
+   wire addinc_ADD_UNS_OP_2_n_1147;
+   wire addinc_ADD_UNS_OP_2_n_1150;
+   wire addinc_ADD_UNS_OP_2_n_1151;
+   wire addinc_ADD_UNS_OP_2_n_1157;
+   wire addinc_ADD_UNS_OP_2_n_1160;
+   wire addinc_ADD_UNS_OP_2_n_1161;
+   wire addinc_ADD_UNS_OP_2_n_1164;
+   wire addinc_ADD_UNS_OP_2_n_1167;
+   wire addinc_ADD_UNS_OP_2_n_1168;
+   wire addinc_ADD_UNS_OP_2_n_1169;
+   wire addinc_ADD_UNS_OP_2_n_1170;
+   wire addinc_ADD_UNS_OP_2_n_1173;
+   wire addinc_ADD_UNS_OP_2_n_1182;
+   wire addinc_ADD_UNS_OP_2_n_1184;
+   wire addinc_ADD_UNS_OP_2_n_1187;
+   wire addinc_ADD_UNS_OP_2_n_1189;
+   wire addinc_ADD_UNS_OP_2_n_1196;
+   wire addinc_ADD_UNS_OP_2_n_1198;
+   wire addinc_ADD_UNS_OP_2_n_1200;
+   wire addinc_ADD_UNS_OP_2_n_1221;
+   wire addinc_ADD_UNS_OP_2_n_1222;
+   wire addinc_ADD_UNS_OP_2_n_1232;
+   wire addinc_ADD_UNS_OP_2_n_1233;
+   wire addinc_ADD_UNS_OP_2_n_1236;
+   wire addinc_ADD_UNS_OP_2_n_1241;
+   wire addinc_ADD_UNS_OP_2_n_1242;
+   wire addinc_ADD_UNS_OP_2_n_1244;
+   wire addinc_ADD_UNS_OP_2_n_1263;
+   wire addinc_ADD_UNS_OP_2_n_1269;
+   wire addinc_ADD_UNS_OP_2_n_1274;
+   wire addinc_ADD_UNS_OP_2_n_1276;
+   wire addinc_ADD_UNS_OP_2_n_1279;
+   wire addinc_ADD_UNS_OP_2_n_1280;
+   wire addinc_ADD_UNS_OP_2_n_1281;
+   wire addinc_ADD_UNS_OP_2_n_1282;
+   wire addinc_ADD_UNS_OP_2_n_1283;
+   wire addinc_ADD_UNS_OP_2_n_1284;
+   wire addinc_ADD_UNS_OP_2_n_1285;
+   wire addinc_ADD_UNS_OP_2_n_1287;
+   wire addinc_ADD_UNS_OP_2_n_1311;
+   wire addinc_ADD_UNS_OP_2_n_1312;
+   wire addinc_ADD_UNS_OP_2_n_1314;
+   wire addinc_ADD_UNS_OP_2_n_1315;
+   wire addinc_ADD_UNS_OP_2_n_1316;
+   wire addinc_ADD_UNS_OP_2_n_1317;
+   wire addinc_ADD_UNS_OP_2_n_1320;
+   wire addinc_ADD_UNS_OP_2_n_1321;
+   wire addinc_ADD_UNS_OP_2_n_1331;
+   wire addinc_ADD_UNS_OP_2_n_1335;
+   wire addinc_ADD_UNS_OP_2_n_1351;
+   wire addinc_ADD_UNS_OP_2_n_1365;
+   wire addinc_ADD_UNS_OP_2_n_1367;
+   wire addinc_ADD_UNS_OP_2_n_1368;
+   wire addinc_ADD_UNS_OP_2_n_1370;
+   wire addinc_ADD_UNS_OP_2_n_1371;
+   wire addinc_ADD_UNS_OP_2_n_1372;
+   wire addinc_ADD_UNS_OP_2_n_1379;
+   wire addinc_ADD_UNS_OP_2_n_1386;
+   wire addinc_ADD_UNS_OP_2_n_1387;
+   wire addinc_ADD_UNS_OP_2_n_1388;
+   wire addinc_ADD_UNS_OP_2_n_1396;
+   wire addinc_ADD_UNS_OP_2_n_1397;
+   wire addinc_ADD_UNS_OP_2_n_1398;
+   wire addinc_ADD_UNS_OP_2_n_1399;
+   wire addinc_ADD_UNS_OP_2_n_1404;
+   wire addinc_ADD_UNS_OP_2_n_1405;
+   wire addinc_ADD_UNS_OP_2_n_1425;
+   wire addinc_ADD_UNS_OP_2_n_1426;
+   wire addinc_ADD_UNS_OP_2_n_1428;
+   wire addinc_ADD_UNS_OP_2_n_1429;
+   wire addinc_ADD_UNS_OP_2_n_1433;
+   wire addinc_ADD_UNS_OP_2_n_1436;
+   wire addinc_ADD_UNS_OP_2_n_1437;
+   wire addinc_ADD_UNS_OP_2_n_1439;
+   wire addinc_ADD_UNS_OP_2_n_1447;
+   wire addinc_ADD_UNS_OP_2_n_1448;
+   wire addinc_ADD_UNS_OP_2_n_1449;
+   wire addinc_ADD_UNS_OP_2_n_1452;
+   wire addinc_ADD_UNS_OP_2_n_1454;
+   wire addinc_ADD_UNS_OP_2_n_1463;
+   wire addinc_ADD_UNS_OP_2_n_1490;
+   wire addinc_ADD_UNS_OP_2_n_1518;
+   wire addinc_ADD_UNS_OP_2_n_1535;
+   wire addinc_ADD_UNS_OP_2_n_1536;
+   wire addinc_ADD_UNS_OP_2_n_1539;
+   wire addinc_ADD_UNS_OP_2_n_1540;
+   wire addinc_ADD_UNS_OP_2_n_1545;
+   wire addinc_ADD_UNS_OP_2_n_1566;
+   wire addinc_ADD_UNS_OP_2_n_1573;
+   wire addinc_ADD_UNS_OP_2_n_1577;
+   wire addinc_ADD_UNS_OP_2_n_1578;
+   wire addinc_ADD_UNS_OP_2_n_1584;
+   wire addinc_ADD_UNS_OP_2_n_1589;
+   wire addinc_ADD_UNS_OP_2_n_1593;
+   wire addinc_ADD_UNS_OP_2_n_1595;
+   wire addinc_ADD_UNS_OP_2_n_1608;
+   wire addinc_ADD_UNS_OP_2_n_1610;
+   wire addinc_ADD_UNS_OP_2_n_1613;
+   wire addinc_ADD_UNS_OP_2_n_1615;
+   wire addinc_ADD_UNS_OP_2_n_1620;
+   wire addinc_ADD_UNS_OP_2_n_1625;
+   wire addinc_ADD_UNS_OP_2_n_1629;
+   wire addinc_ADD_UNS_OP_2_n_1630;
+   wire addinc_ADD_UNS_OP_2_n_1631;
+   wire addinc_ADD_UNS_OP_2_n_1656;
+   wire addinc_ADD_UNS_OP_2_n_1658;
+   wire addinc_ADD_UNS_OP_2_n_1862;
+   wire addinc_ADD_UNS_OP_2_n_1872;
+   wire addinc_ADD_UNS_OP_2_n_1879;
+   wire addinc_ADD_UNS_OP_2_n_1880;
+   wire addinc_ADD_UNS_OP_2_n_1881;
+   wire addinc_ADD_UNS_OP_2_n_1883;
+   wire addinc_ADD_UNS_OP_2_n_1885;
+   wire addinc_ADD_UNS_OP_2_n_1895;
+   wire addinc_ADD_UNS_OP_2_n_1896;
+   wire addinc_ADD_UNS_OP_2_n_1897;
+   wire addinc_ADD_UNS_OP_2_n_1899;
+   wire addinc_ADD_UNS_OP_2_n_1900;
+   wire addinc_ADD_UNS_OP_2_n_1901;
+   wire addinc_ADD_UNS_OP_2_n_1904;
+   wire addinc_ADD_UNS_OP_2_n_1905;
+   wire addinc_ADD_UNS_OP_2_n_1910;
+   wire addinc_ADD_UNS_OP_2_n_1911;
+   wire addinc_ADD_UNS_OP_2_n_1915;
+   wire addinc_ADD_UNS_OP_2_n_1918;
+   wire addinc_ADD_UNS_OP_2_n_1920;
+   wire addinc_ADD_UNS_OP_2_n_1924;
+   wire addinc_ADD_UNS_OP_2_n_1928;
+   wire addinc_ADD_UNS_OP_2_n_1931;
+   wire addinc_ADD_UNS_OP_2_n_1932;
+   wire addinc_ADD_UNS_OP_2_n_1933;
+   wire addinc_ADD_UNS_OP_2_n_1935;
+   wire addinc_ADD_UNS_OP_2_n_1938;
+   wire addinc_ADD_UNS_OP_2_n_1944;
+   wire addinc_ADD_UNS_OP_2_n_1945;
+   wire addinc_ADD_UNS_OP_2_n_1947;
+   wire addinc_ADD_UNS_OP_2_n_1948;
+   wire addinc_ADD_UNS_OP_2_n_1950;
+   wire addinc_ADD_UNS_OP_2_n_1951;
+   wire addinc_ADD_UNS_OP_2_n_1957;
+   wire addinc_ADD_UNS_OP_2_n_1958;
+   wire addinc_ADD_UNS_OP_2_n_1962;
+   wire addinc_ADD_UNS_OP_2_n_1963;
+   wire addinc_ADD_UNS_OP_2_n_1970;
+   wire addinc_ADD_UNS_OP_2_n_1973;
+   wire addinc_ADD_UNS_OP_2_n_1974;
+   wire addinc_ADD_UNS_OP_2_n_1975;
+   wire addinc_ADD_UNS_OP_2_n_1977;
+   wire addinc_ADD_UNS_OP_2_n_1978;
+   wire addinc_ADD_UNS_OP_2_n_1979;
+   wire addinc_ADD_UNS_OP_2_n_1980;
+   wire addinc_ADD_UNS_OP_2_n_1982;
+   wire addinc_ADD_UNS_OP_2_n_1984;
+   wire addinc_ADD_UNS_OP_2_n_1985;
+   wire addinc_ADD_UNS_OP_2_n_1986;
+   wire addinc_ADD_UNS_OP_2_n_1988;
+   wire addinc_ADD_UNS_OP_2_n_1989;
+   wire addinc_ADD_UNS_OP_2_n_1990;
+   wire addinc_ADD_UNS_OP_2_n_1991;
+   wire addinc_ADD_UNS_OP_2_n_1996;
+   wire addinc_ADD_UNS_OP_2_n_2001;
+   wire addinc_ADD_UNS_OP_2_n_2008;
+   wire addinc_ADD_UNS_OP_2_n_2010;
+   wire addinc_ADD_UNS_OP_2_n_2011;
+   wire addinc_ADD_UNS_OP_2_n_2017;
+   wire addinc_ADD_UNS_OP_2_n_2025;
+   wire addinc_ADD_UNS_OP_2_n_2041;
+   wire addinc_ADD_UNS_OP_2_n_2050;
+   wire addinc_ADD_UNS_OP_2_n_2054;
+   wire addinc_ADD_UNS_OP_2_n_2061;
+   wire addinc_ADD_UNS_OP_2_n_2067;
+   wire addinc_ADD_UNS_OP_2_n_2069;
+   wire addinc_ADD_UNS_OP_2_n_2073;
+   wire addinc_ADD_UNS_OP_2_n_2098;
+   wire addinc_ADD_UNS_OP_2_n_2102;
+   wire addinc_ADD_UNS_OP_2_n_2122;
+   wire addinc_ADD_UNS_OP_2_n_2129;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_0 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_1 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_2 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_3 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_4 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_5 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_6 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_7 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_8 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_9 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_10 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_11 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_12 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_13 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_14 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_15 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_16 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_17 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_18 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_19 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_20 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_21 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_22 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_23 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_24 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_25 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_26 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_27 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_28 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_29 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_30 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_31 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_32 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_33 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_34 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_35 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_36 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_37 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_38 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_39 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_40 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_41 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_42 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_43 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_44 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_45 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_46 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_47 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_48 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_49 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_50 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_51 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_52 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_53 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_54 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_55 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_56 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_57 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_58 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_59 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_60 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_61 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_62 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_63 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_64 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_65 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_66 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_67 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_68 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_69 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_70 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_71 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_72 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_73 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_74 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_75 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_76 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_77 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_78 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_79 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_80 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_81 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_82 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_83 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_84 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_85 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_86 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_87 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_88 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_89 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_90 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_91 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_92 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_93 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_94 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_95 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_96 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_97 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_98 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_99 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_100 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_101 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_102 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_103 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_104 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_105 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_106 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_107 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_108 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_109 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_110 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_111 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_112 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_113 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_114 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_115 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_116 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_117 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_118 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_119 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_120 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_121 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_122 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_123 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_124 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_125 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_126 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_127 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_128 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_129 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_130 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_131 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_132 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_133 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_134 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_135 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_136 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_137 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_138 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_139 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_140 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_141 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_142 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_143 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_144 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_145 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_146 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_147 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_148 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_149 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_150 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_151 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_152 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_153 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_154 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_155 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_156 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_157 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_158 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_159 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_160 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_161 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_162 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_163 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_164 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_165 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_166 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_167 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_168 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_169 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_170 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_171 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_172 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_173 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_174 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_175 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_176 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_177 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_178 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_179 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_180 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_181 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_182 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_183 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_184 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_185 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_186 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_187 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_188 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_189 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_190 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_191 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_192 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_193 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_194 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_195 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_196 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_197 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_198 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_199 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_200 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_201 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_202 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_203 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_204 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_205 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_206 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_207 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_208 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_209 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_210 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_211 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_212 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_213 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_214 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_215 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_216 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_217 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_218 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_219 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_220 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_221 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_222 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_223 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_224 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_226 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_227 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_228 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_229 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_230 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_231 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_232 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_233 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_234 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_235 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_236 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_237 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_238 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_239 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_240 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_241 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_242 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_243 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_244 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_246 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_247 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_248 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_250 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_251 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_253 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_254 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_256 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_257 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_258 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_259 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_261 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_263 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_265 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_266 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_267 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_269 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_270 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_271 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_272 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_273 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_274 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_277 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_278 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_279 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_281 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_282 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_283 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_286 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_287 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_288 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_289 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_290 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_291 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_294 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_295 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_296 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_301 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_302 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_303 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_304 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_305 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_307 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_314 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_315 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_316 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_319 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_320 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_321 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_325 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_326 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_329 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_330 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_331 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_332 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_334 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_338 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_339 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_340 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_341 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_346 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_347 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_348 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_349 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_354 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_356 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_358 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_359 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_360 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_361 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_363 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_364 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_365 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_366 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_368 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_370 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_371 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_372 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_376 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_377 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_379 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_384 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_387 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_388 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_391 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_395 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_401 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_403 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_404 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_524 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_0 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_1 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_2 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_3 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_4 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_5 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_6 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_7 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_8 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_9 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_10 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_11 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_12 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_13 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_14 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_15 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_16 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_17 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_18 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_19 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_20 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_21 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_22 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_23 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_24 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_25 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_26 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_28 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_29 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_30 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_31 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_32 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_33 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_34 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_35 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_36 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_37 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_38 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_39 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_40 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_41 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_42 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_43 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_44 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_45 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_46 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_47 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_48 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_49 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_50 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_51 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_52 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_53 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_54 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_55 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_56 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_57 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_58 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_59 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_60 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_61 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_62 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_63 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_64 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_65 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_66 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_67 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_68 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_69 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_70 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_71 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_72 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_73 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_74 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_75 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_76 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_77 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_78 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_79 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_80 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_81 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_82 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_83 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_84 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_85 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_86 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_87 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_88 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_89 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_90 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_91 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_92 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_93 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_94 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_95 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_96 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_97 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_98 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_99 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_100 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_101 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_102 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_104 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_105 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_106 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_107 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_108 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_109 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_110 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_111 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_112 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_114 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_115 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_116 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_117 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_118 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_119 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_120 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_121 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_122 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_123 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_124 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_125 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_126 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_127 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_128 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_129 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_130 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_131 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_132 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_133 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_134 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_135 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_136 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_137 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_138 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_139 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_140 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_141 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_142 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_143 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_144 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_145 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_146 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_147 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_148 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_149 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_150 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_151 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_152 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_153 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_154 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_155 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_156 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_157 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_158 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_159 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_160 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_161 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_162 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_163 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_164 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_165 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_166 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_167 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_168 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_169 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_170 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_171 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_172 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_173 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_174 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_175 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_176 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_177 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_178 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_179 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_180 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_181 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_182 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_183 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_184 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_185 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_186 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_187 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_188 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_189 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_190 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_191 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_192 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_193 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_195 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_196 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_197 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_199 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_200 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_201 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_202 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_203 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_204 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_205 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_206 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_207 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_208 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_209 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_210 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_211 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_212 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_213 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_214 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_215 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_217 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_218 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_219 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_220 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_221 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_222 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_223 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_224 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_225 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_226 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_227 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_229 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_230 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_232 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_233 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_234 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_235 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_236 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_237 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_239 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_240 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_241 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_242 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_247 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_248 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_249 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_250 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_251 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_252 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_253 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_254 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_255 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_256 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_257 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_258 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_259 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_260 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_262 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_263 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_264 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_265 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_267 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_268 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_270 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_271 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_272 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_273 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_275 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_277 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_279 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_280 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_281 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_283 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_284 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_285 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_286 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_291 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_292 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_293 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_295 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_301 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_302 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_303 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_305 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_306 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_307 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_309 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_310 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_311 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_315 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_316 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_317 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_318 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_320 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_321 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_322 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_323 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_329 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_333 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_334 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_335 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_336 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_339 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_344 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_345 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_346 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_347 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_348 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_349 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_350 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_351 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_352 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_358 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_359 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_360 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_361 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_362 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_363 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_370 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_371 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_372 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_375 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_376 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_377 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_382 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_385 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_386 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_387 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_388 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_390 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_395 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_396 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_397 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_399 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_400 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_404 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_405 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_407 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_409 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_529 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_530 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_531 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_533 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_535 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_0 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_3 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_8 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_9 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_10 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_11 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_12 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_13 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_14 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_15 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_16 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_17 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_18 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_19 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_20 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_21 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_22 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_23 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_24 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_25 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_26 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_27 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_28 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_29 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_30 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_31 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_32 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_33 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_34 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_36 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_37 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_38 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_40 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_41 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_42 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_43 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_44 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_45 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_46 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_47 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_48 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_49 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_50 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_51 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_52 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_53 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_54 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_55 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_56 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_57 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_58 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_59 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_60 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_61 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_62 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_63 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_64 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_65 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_66 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_68 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_69 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_70 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_71 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_72 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_73 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_74 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_75 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_76 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_77 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_78 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_79 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_80 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_81 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_82 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_83 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_84 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_85 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_87 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_88 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_89 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_90 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_91 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_92 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_93 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_94 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_95 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_96 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_97 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_98 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_99 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_100 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_101 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_102 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_103 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_104 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_105 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_106 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_107 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_108 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_109 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_110 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_111 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_112 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_114 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_115 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_118 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_120 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_121 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_122 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_123 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_124 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_125 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_126 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_127 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_128 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_129 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_130 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_131 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_132 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_133 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_134 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_135 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_136 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_137 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_138 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_139 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_140 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_141 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_142 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_143 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_144 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_145 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_146 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_147 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_148 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_149 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_150 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_151 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_152 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_153 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_154 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_155 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_156 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_157 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_158 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_159 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_160 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_161 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_162 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_163 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_164 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_165 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_166 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_167 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_168 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_169 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_170 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_171 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_172 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_173 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_174 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_175 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_176 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_177 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_178 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_180 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_181 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_182 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_183 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_184 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_185 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_186 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_187 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_188 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_189 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_190 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_191 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_192 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_193 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_194 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_195 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_196 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_197 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_199 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_200 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_202 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_203 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_204 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_205 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_207 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_208 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_209 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_210 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_211 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_212 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_213 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_214 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_216 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_217 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_218 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_219 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_220 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_222 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_223 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_224 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_225 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_227 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_229 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_231 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_232 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_233 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_235 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_236 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_237 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_239 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_241 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_242 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_243 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_244 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_245 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_246 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_247 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_248 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_249 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_250 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_251 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_252 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_253 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_254 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_256 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_258 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_259 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_260 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_261 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_262 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_263 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_264 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_265 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_266 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_267 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_268 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_269 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_270 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_273 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_274 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_277 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_278 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_280 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_281 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_283 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_285 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_286 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_288 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_290 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_292 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_293 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_294 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_296 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_297 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_298 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_303 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_304 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_305 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_307 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_313 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_314 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_315 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_316 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_318 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_319 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_320 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_321 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_322 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_324 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_325 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_329 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_330 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_331 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_332 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_333 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_335 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_336 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_337 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_343 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_344 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_345 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_346 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_348 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_350 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_352 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_360 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_362 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_363 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_371 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_374 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_376 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_378 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_387 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_388 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_395 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_401 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_405 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_410 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_413 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_414 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_417 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_419 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_420 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_422 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_423 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_424 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_427 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_428 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_430 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_433 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_552 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_556 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_557 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_558 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_562 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_565 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_566 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_131 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_258 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_260 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_261 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_262 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_265 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_269 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_270 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_271 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_275 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_277 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_278 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_376 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_413 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_448 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_452 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_453 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_456 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_457 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_458 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_463 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_471 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_483 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_487 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_491 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_532 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_538 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_572 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_632 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_633 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_634 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_635 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_637 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_638 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_639 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_640 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_641 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_646 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_648 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_649 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_654 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_655 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_656 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_658 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_660 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_678 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_685 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_706 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_723 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_726 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_728 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_729 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_734 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_736 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_737 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_739 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_742 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_745 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_747 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_748 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_749 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_751 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_753 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_755 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_757 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_759 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_760 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_761 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_764 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_768 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_769 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_780 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_782 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_801 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_808 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_819 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_821 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_822 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_823 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_824 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_829 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_830 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_832 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_833 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_834 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_835 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_838 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_841 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_842 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_844 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_846 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_850 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_851 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_852 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_853 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_856 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_860 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_863 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_868 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_869 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_871 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_872 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_880 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_882 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_883 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_887 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_889 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_890 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_891 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_896 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_898 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_899 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_904 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_905 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_907 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_909 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_910 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_913 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_914 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_916 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_917 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_918 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_919 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_921 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_922 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_923 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_924 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_925 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_926 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_928 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_929 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_931 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_932 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_933 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_934 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_935 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_936 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_938 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_946 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_947 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_948 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_950 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_955 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_956 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_957 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_959 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_962 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_963 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_965 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_967 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_968 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_973 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_974 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_975 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_976 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_979 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_980 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_982 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_984 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_986 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_987 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_988 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_989 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1004 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1013 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1014 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1016 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1017 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1020 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1022 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1026 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1027 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1029 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1030 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1033 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1035 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1036 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1037 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1041 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1043 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1044 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1045 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1048 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1052 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1057 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1060 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1061 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1065 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1066 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1067 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1068 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1069 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1070 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1071 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1074 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1076 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1078 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1079 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1080 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1082 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1083 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1084 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1087 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1089 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1092 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1093 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1095 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1096 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1099 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1102 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1103 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1104 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1105 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1106 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1107 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1108 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1109 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1110 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1111 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1116 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1117 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1119 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1120 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1122 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1123 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1124 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1128 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1132 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1133 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1135 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1136 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1138 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1141 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1142 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1143 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1144 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1146 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1148 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1149 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1151 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1152 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1153 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1154 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1155 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1156 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1157 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1158 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1159 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1160 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1161 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1162 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1165 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1170 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1171 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1172 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1175 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1176 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1177 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1178 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1180 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1181 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1183 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1184 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1185 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1186 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1189 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1191 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1192 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1196 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1197 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1198 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1200 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1206 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1207 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1212 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1213 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1237 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1257 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1258 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1262 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1283 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1285 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1315 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1316 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1318 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1319 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1320 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1321 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1322 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1323 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1324 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1328 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1329 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1334 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1339 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1341 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1348 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1353 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1354 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1359 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1361 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1404 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1406 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1408 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1411 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1412 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1413 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1414 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1415 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1416 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1417 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1419 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1420 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1421 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1423 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1424 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1431 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1432 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1440 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1446 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1451 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1453 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1460 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1462 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1472 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1475 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1477 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1480 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1484 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1487 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1489 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1491 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1492 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1493 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1496 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1497 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1499 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1500 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1503 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1504 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1509 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1510 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1513 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1518 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1519 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1525 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1527 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1532 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1533 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1539 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1541 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1542 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1544 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1550 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1552 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1553 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1556 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1560 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1565 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1566 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1568 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1569 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1573 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1590 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1596 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1606 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1609 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1610 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1611 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1614 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1616 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1618 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1619 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1621 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1622 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1623 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1624 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1625 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1629 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1630 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1634 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1635 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1642 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1643 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1646 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1648 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1651 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1654 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1655 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1656 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1659 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1660 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1662 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1665 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1666 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1667 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1668 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1670 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1673 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1678 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1679 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1680 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1682 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1683 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1693 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1695 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1699 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1700 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1701 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1703 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1704 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1705 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1706 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1707 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1713 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1714 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1716 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1717 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1727 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1728 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1729 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1730 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1731 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1736 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1738 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1742 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1743 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1746 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1747 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1748 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1752 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1753 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1754 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1756 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1759 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1760 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1762 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1765 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1766 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1771 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1775 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1777 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1778 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1781 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1782 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1784 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1787 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1795 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1796 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1797 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1798 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1800 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1801 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1803 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1805 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1807 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1808 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1810 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1811 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1814 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1815 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1817 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1818 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1819 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1823 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1824 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1826 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1827 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1828 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1829 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1830 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1831 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1835 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1838 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1840 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1842 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1845 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1846 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1847 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1849 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1850 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1852 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1853 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1856 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1857 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1859 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1861 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1862 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1863 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1864 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1865 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1867 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1868 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1869 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1872 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1875 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1876 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1877 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1879 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1880 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1887 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1889 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1890 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1891 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1899 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1902 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1907 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1908 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1909 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1910 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1911 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1915 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1916 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1917 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1918 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1919 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1920 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1923 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1924 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1925 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1928 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1929 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1930 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1932 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1933 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1939 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1945 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1947 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1949 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1950 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1951 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1954 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1955 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1956 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1957 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1958 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1959 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1961 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1966 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1968 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1970 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1973 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1974 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1976 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1977 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1978 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1979 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1980 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1981 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1982 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1985 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1986 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1987 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1989 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1990 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1991 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1992 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1993 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1994 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1995 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1996 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2000 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2001 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2002 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2003 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2005 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2006 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2012 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2013 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2014 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2017 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2019 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2020 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2022 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2025 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2027 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2032 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2035 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2037 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2038 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2043 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2052 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2056 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2057 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2061 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2062 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2063 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2065 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2066 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2067 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2068 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2069 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2070 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2073 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2074 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2077 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2078 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2079 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2083 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2084 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2085 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2086 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2088 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2089 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2094 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2095 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2100 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2102 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2103 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2107 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2110 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2111 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2112 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2120 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2126 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2132 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2134 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2135 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2136 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2137 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2138 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2139 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2141 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2144 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2146 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2147 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2148 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2149 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2150 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2152 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2159 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2160 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2161 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2163 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2164 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2165 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2167 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2168 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2169 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2170 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2171 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2172 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2173 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2174 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2175 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2178 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2181 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2182 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2185 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2186 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2187 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2188 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2199 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2200 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2203 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2204 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2205 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2206 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2207 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2208 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2209 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2210 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2212 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2213 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2214 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2215 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2216 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2217 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2218 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2219 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2220 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2221 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2222 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2223 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2224 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2225 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2226 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2227 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2228 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2229 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2232 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2233 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2234 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2235 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2236 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2238 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2247 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2248 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2256 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2258 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2262 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2263 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2264 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2265 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2266 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2267 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2268 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2269 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2270 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2271 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2272 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2273 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2274 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2275 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2276 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2277 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2279 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2280 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2281 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2282 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2283 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2284 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2287 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2288 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2290 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2291 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2292 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2293 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2294 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2295 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2296 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2297 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2298 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2299 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2302 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2304 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2306 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2307 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2309 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2315 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2316 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2318 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2320 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2326 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2327 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2329 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2330 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2334 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2338 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2340 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2341 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2346 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2347 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2349 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2352 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2359 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2360 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2367 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2368 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2373 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2374 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2375 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2376 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2377 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2378 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2381 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2383 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2384 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2386 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2387 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2388 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2389 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2390 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2391 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2392 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2393 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2394 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2395 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2398 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2399 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2401 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2404 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2406 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2415 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2416 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2417 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2418 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2425 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2426 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2428 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2429 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2430 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2431 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2432 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2433 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2440 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2450 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2451 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2456 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2457 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2458 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2459 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2460 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2461 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2462 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2463 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2464 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2465 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2470 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2471 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2472 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2473 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2474 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2475 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2478 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2479 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2483 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2484 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2485 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2486 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2487 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2488 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2491 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2492 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2494 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2496 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2498 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2500 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2508 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2509 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2510 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2511 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2512 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2513 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2519 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2520 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2521 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2522 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2524 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2525 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2526 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2527 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2529 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2531 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2532 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2534 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2537 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2539 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2544 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2548 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2553 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2561 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2562 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2572 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2575 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2576 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2577 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2578 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2579 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2580 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2581 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2582 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2584 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2588 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2590 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2591 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2592 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2593 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2594 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2595 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2598 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2599 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2601 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2604 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2605 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2606 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2617 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2618 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2619 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2620 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2621 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2622 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2627 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2628 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2644 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2647 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2658 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2659 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2662 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2663 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2665 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2666 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2667 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2669 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2678 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2696 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2700 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2703 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2704 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2705 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2706 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2710 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2722 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2737 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2738 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2752 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2753 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2769 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2773 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2778 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2779 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2783 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2784 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2791 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2802 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2812 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2813 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2816 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2819 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2821 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2828 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2849 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2862 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2870 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2879 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2885 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2886 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2897 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2898 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2902 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2903 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2904 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2920 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2927 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2932 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2939 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2940 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2955 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2956 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2958 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2959 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2994 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2997 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3042 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3043 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3047 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3051 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3062 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3076 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3077 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3078 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3080 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3086 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3088 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3090 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3093 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3097 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3098 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3108 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3126 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3127 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3129 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3136 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3137 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3138 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3139 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3141 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3143 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3163 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3164 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3165 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3175 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3177 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3178 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3318 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3320 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3323 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3329 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3330 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3331 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3347 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3349 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3358 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3362 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3363 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3367 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3368 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3390 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3400 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3405 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3413 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3416 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3420 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3422 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3423 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3428 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3429 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3431 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3433 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3434 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3435 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3436 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3437 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3438 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3439 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3440 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3442 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3444 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3457 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3465 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3469 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3471 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3472 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3475 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3479 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3480 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3482 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3483 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3485 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3486 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3489 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3490 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3494 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3496 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3501 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3504 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3506 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3507 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3510 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3511 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3513 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3514 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3515 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3520 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3522 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3523 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3524 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3526 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3528 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3529 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3531 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3532 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3534 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3535 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3536 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3537 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3538 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3539 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3540 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3546 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3547 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3549 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3550 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3552 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3553 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3557 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3558 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3560 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3561 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3562 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3563 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3565 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3566 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3568 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3569 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3570 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3571 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3574 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3575 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3576 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3577 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3580 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3581 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3582 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3584 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3585 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3588 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3589 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3590 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3591 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3592 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3594 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3596 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3598 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3600 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3601 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3602 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3603 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3604 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3605 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3607 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3608 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3609 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3614 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3615 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3617 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3619 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3620 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3621 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3622 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3624 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3646 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3647 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3648 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3649 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3650 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3651 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3652 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3653 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3658 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3672 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3692 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3719 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3731 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3752 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3757 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3762 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3786 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3788 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3790 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3791 ;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_0;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_1;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_2;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_3;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_4;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_5;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_6;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_7;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_8;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_9;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_10;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_11;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_12;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_13;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_14;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_15;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_16;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_17;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_19;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_20;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_21;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_22;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_23;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_24;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_25;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_27;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_29;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_31;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_33;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_35;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_37;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_39;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_41;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_43;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_45;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_0 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_7 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_19 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_23 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_28 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_32 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_34 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_36 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_38 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_39 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_40 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_45 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_52 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_53 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_64 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_68 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_70 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_71 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_75 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_78 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_88 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_92 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_109 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_114 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_127 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_128 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_132 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_227 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_241 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_246 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_250 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_251 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_255 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_285 ;
+   wire inc_ADD_UNS_OP10_n_0;
+   wire inc_ADD_UNS_OP10_n_1;
+   wire inc_ADD_UNS_OP10_n_2;
+   wire inc_ADD_UNS_OP10_n_3;
+   wire inc_ADD_UNS_OP10_n_4;
+   wire inc_ADD_UNS_OP10_n_5;
+   wire inc_ADD_UNS_OP10_n_6;
+   wire inc_ADD_UNS_OP10_n_7;
+   wire inc_ADD_UNS_OP10_n_9;
+   wire inc_ADD_UNS_OP10_n_10;
+   wire inc_ADD_UNS_OP10_n_12;
+   wire inc_ADD_UNS_OP10_n_13;
+   wire inc_ADD_UNS_OP10_n_14;
+   wire inc_ADD_UNS_OP10_n_16;
+   wire inc_ADD_UNS_OP10_n_20;
+   wire inc_ADD_UNS_OP10_n_21;
+   wire inc_ADD_UNS_OP10_n_22;
+   wire inc_ADD_UNS_OP10_n_23;
+   wire inc_ADD_UNS_OP10_n_25;
+   wire inc_ADD_UNS_OP10_n_28;
+   wire inc_ADD_UNS_OP10_n_30;
+   wire inc_ADD_UNS_OP10_n_33;
+   wire inc_ADD_UNS_OP10_n_34;
+   wire inc_ADD_UNS_OP10_n_35;
+   wire inc_ADD_UNS_OP10_n_36;
+   wire inc_ADD_UNS_OP10_n_37;
+   wire inc_ADD_UNS_OP10_n_38;
+   wire inc_ADD_UNS_OP10_n_40;
+   wire inc_ADD_UNS_OP10_n_43;
+   wire inc_ADD_UNS_OP10_n_46;
+   wire inc_ADD_UNS_OP10_n_49;
+   wire inc_ADD_UNS_OP10_n_50;
+   wire inc_ADD_UNS_OP10_n_52;
+   wire inc_ADD_UNS_OP10_n_55;
+   wire inc_ADD_UNS_OP10_n_57;
+   wire inc_ADD_UNS_OP10_n_59;
+   wire inc_ADD_UNS_OP10_n_61;
+   wire inc_ADD_UNS_OP10_n_63;
+   wire inc_ADD_UNS_OP10_n_67;
+   wire inc_ADD_UNS_OP10_n_69;
+   wire inc_ADD_UNS_OP10_n_70;
+   wire inc_ADD_UNS_OP10_n_71;
+   wire inc_ADD_UNS_OP10_n_72;
+   wire inc_ADD_UNS_OP10_n_73;
+   wire inc_ADD_UNS_OP10_n_75;
+   wire inc_ADD_UNS_OP10_n_76;
+   wire inc_ADD_UNS_OP10_n_79;
+   wire inc_ADD_UNS_OP10_n_80;
+   wire inc_ADD_UNS_OP10_n_81;
+   wire inc_ADD_UNS_OP10_n_83;
+   wire inc_ADD_UNS_OP10_n_85;
+   wire inc_ADD_UNS_OP10_n_87;
+   wire inc_ADD_UNS_OP10_n_89;
+   wire inc_ADD_UNS_OP10_n_91;
+   wire inc_ADD_UNS_OP10_n_93;
+   wire inc_ADD_UNS_OP10_n_95;
+   wire inc_ADD_UNS_OP10_n_97;
+   wire inc_ADD_UNS_OP10_n_99;
+   wire inc_ADD_UNS_OP10_n_101;
+   wire inc_ADD_UNS_OP10_n_103;
+   wire inc_ADD_UNS_OP10_n_105;
+   wire inc_ADD_UNS_OP10_n_107;
+   wire inc_ADD_UNS_OP10_n_110;
+   wire inc_ADD_UNS_OP10_n_111;
+   wire inc_ADD_UNS_OP10_n_114;
+   wire inc_ADD_UNS_OP10_n_115;
+   wire inc_ADD_UNS_OP10_n_119;
+   wire inc_ADD_UNS_OP10_n_122;
+   wire inc_ADD_UNS_OP10_n_124;
+   wire inc_ADD_UNS_OP10_n_128;
+   wire inc_ADD_UNS_OP10_n_131;
+   wire inc_ADD_UNS_OP10_n_133;
+   wire inc_ADD_UNS_OP_n_0;
+   wire inc_ADD_UNS_OP_n_1;
+   wire inc_ADD_UNS_OP_n_2;
+   wire inc_ADD_UNS_OP_n_3;
+   wire inc_ADD_UNS_OP_n_4;
+   wire inc_ADD_UNS_OP_n_5;
+   wire inc_ADD_UNS_OP_n_6;
+   wire inc_ADD_UNS_OP_n_7;
+   wire inc_ADD_UNS_OP_n_9;
+   wire inc_ADD_UNS_OP_n_10;
+   wire inc_ADD_UNS_OP_n_12;
+   wire inc_ADD_UNS_OP_n_13;
+   wire inc_ADD_UNS_OP_n_14;
+   wire inc_ADD_UNS_OP_n_16;
+   wire inc_ADD_UNS_OP_n_20;
+   wire inc_ADD_UNS_OP_n_21;
+   wire inc_ADD_UNS_OP_n_22;
+   wire inc_ADD_UNS_OP_n_23;
+   wire inc_ADD_UNS_OP_n_25;
+   wire inc_ADD_UNS_OP_n_28;
+   wire inc_ADD_UNS_OP_n_30;
+   wire inc_ADD_UNS_OP_n_33;
+   wire inc_ADD_UNS_OP_n_34;
+   wire inc_ADD_UNS_OP_n_35;
+   wire inc_ADD_UNS_OP_n_36;
+   wire inc_ADD_UNS_OP_n_37;
+   wire inc_ADD_UNS_OP_n_38;
+   wire inc_ADD_UNS_OP_n_40;
+   wire inc_ADD_UNS_OP_n_43;
+   wire inc_ADD_UNS_OP_n_46;
+   wire inc_ADD_UNS_OP_n_49;
+   wire inc_ADD_UNS_OP_n_50;
+   wire inc_ADD_UNS_OP_n_52;
+   wire inc_ADD_UNS_OP_n_55;
+   wire inc_ADD_UNS_OP_n_57;
+   wire inc_ADD_UNS_OP_n_59;
+   wire inc_ADD_UNS_OP_n_61;
+   wire inc_ADD_UNS_OP_n_63;
+   wire inc_ADD_UNS_OP_n_67;
+   wire inc_ADD_UNS_OP_n_69;
+   wire inc_ADD_UNS_OP_n_70;
+   wire inc_ADD_UNS_OP_n_71;
+   wire inc_ADD_UNS_OP_n_72;
+   wire inc_ADD_UNS_OP_n_73;
+   wire inc_ADD_UNS_OP_n_75;
+   wire inc_ADD_UNS_OP_n_76;
+   wire inc_ADD_UNS_OP_n_79;
+   wire inc_ADD_UNS_OP_n_80;
+   wire inc_ADD_UNS_OP_n_81;
+   wire inc_ADD_UNS_OP_n_83;
+   wire inc_ADD_UNS_OP_n_85;
+   wire inc_ADD_UNS_OP_n_87;
+   wire inc_ADD_UNS_OP_n_89;
+   wire inc_ADD_UNS_OP_n_91;
+   wire inc_ADD_UNS_OP_n_93;
+   wire inc_ADD_UNS_OP_n_95;
+   wire inc_ADD_UNS_OP_n_97;
+   wire inc_ADD_UNS_OP_n_99;
+   wire inc_ADD_UNS_OP_n_101;
+   wire inc_ADD_UNS_OP_n_103;
+   wire inc_ADD_UNS_OP_n_105;
+   wire inc_ADD_UNS_OP_n_107;
+   wire inc_ADD_UNS_OP_n_110;
+   wire inc_ADD_UNS_OP_n_111;
+   wire inc_ADD_UNS_OP_n_114;
+   wire inc_ADD_UNS_OP_n_115;
+   wire inc_ADD_UNS_OP_n_119;
+   wire inc_ADD_UNS_OP_n_122;
+   wire inc_ADD_UNS_OP_n_124;
+   wire inc_ADD_UNS_OP_n_128;
+   wire inc_ADD_UNS_OP_n_131;
+   wire inc_ADD_UNS_OP_n_133;
+   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_0;
+   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_2;
+   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_3;
+   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_4;
+   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_6;
+   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_8;
+   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_10;
+   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_11;
+   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_12;
+   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_13;
+   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_15;
+   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_16;
+   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_18;
+   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_20;
+   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_23;
+   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_27;
+   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_30;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_1 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_20 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_55 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_61 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_66 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_69 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_82 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_85 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_86 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_93 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_99 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_107 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_108 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_109 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_113 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_116 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_118 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_119 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_130 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_131 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_134 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_138 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_143 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_144 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_147 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_151 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_152 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_159 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_160 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_167 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_169 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_170 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_309 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_310 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_7 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_23 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_40 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_42 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_48 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_49 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_50 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_55 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_56 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_63 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_65 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_68 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_74 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_75 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_76 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_80 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_84 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_87 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_92 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_93 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_95 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_96 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_101 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_110 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_111 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_112 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_113 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_114 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_116 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_119 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_120 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_123 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_125 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_127 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_132 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_133 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_135 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_138 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_139 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_140 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_141 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_142 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_144 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_146 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_150 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_151 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_152 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_154 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_155 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_156 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_157 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_163 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_165 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_166 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_168 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_171 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_172 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_176 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_179 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_186 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_187 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_194 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_196 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_197 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_201 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_202 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_214 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_276 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_279 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_292 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_299 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_300 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_306 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_334 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_347 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_348 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_349 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_351 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_355 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_356 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_368 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_371 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_372 ;
+   wire n_0;
+   wire n_1;
+   wire n_6;
+   wire n_10;
+   wire n_16;
+   wire n_18;
+   wire n_19;
+   wire n_20;
+   wire n_21;
+   wire n_22;
+   wire n_23;
+   wire n_24;
+   wire n_27;
+   wire n_28;
+   wire n_29;
+   wire n_30;
+   wire n_31;
+   wire n_32;
+   wire n_34;
+   wire n_35;
+   wire n_36;
+   wire n_37;
+   wire n_38;
+   wire n_40;
+   wire n_46;
+   wire n_55;
+   wire n_56;
+   wire n_57;
+   wire n_60;
+   wire n_66;
+   wire n_75;
+   wire n_76;
+   wire n_79;
+   wire n_86;
+   wire n_87;
+   wire n_90;
+   wire n_97;
+   wire n_103;
+   wire n_106;
+   wire n_107;
+   wire n_108;
+   wire n_109;
+   wire n_110;
+   wire n_111;
+   wire n_112;
+   wire n_114;
+   wire n_120;
+   wire n_121;
+   wire n_123;
+   wire n_126;
+   wire n_128;
+   wire n_129;
+   wire n_131;
+   wire n_132;
+   wire n_133;
+   wire n_134;
+   wire n_135;
+   wire n_136;
+   wire n_141;
+   wire n_142;
+   wire n_143;
+   wire n_144;
+   wire n_145;
+   wire n_147;
+   wire n_149;
+   wire n_151;
+   wire n_152;
+   wire n_153;
+   wire n_155;
+   wire n_157;
+   wire n_161;
+   wire n_166;
+   wire n_167;
+   wire n_168;
+   wire n_169;
+   wire n_170;
+   wire n_171;
+   wire n_172;
+   wire n_176;
+   wire n_177;
+   wire n_178;
+   wire n_179;
+   wire n_180;
+   wire n_181;
+   wire n_182;
+   wire n_183;
+   wire n_184;
+   wire n_185;
+   wire n_186;
+   wire n_187;
+   wire n_188;
+   wire n_191;
+   wire n_192;
+   wire n_193;
+   wire n_194;
+   wire n_196;
+   wire n_197;
+   wire n_198;
+   wire n_202;
+   wire n_206;
+   wire n_207;
+   wire n_208;
+   wire n_209;
+   wire n_210;
+   wire n_211;
+   wire n_212;
+   wire n_217;
+   wire n_218;
+   wire n_220;
+   wire n_227;
+   wire n_228;
+   wire n_233;
+   wire n_236;
+   wire n_247;
+   wire n_253;
+   wire n_261;
+   wire n_263;
+   wire n_268;
+   wire n_281;
+   wire n_282;
+   wire n_283;
+   wire n_288;
+   wire n_289;
+   wire n_296;
+   wire n_299;
+   wire n_300;
+   wire n_301;
+   wire n_304;
+   wire n_306;
+   wire n_308;
+   wire n_310;
+   wire n_311;
+   wire n_323;
+   wire n_324;
+   wire n_332;
+   wire n_337;
+   wire n_341;
+   wire n_359;
+   wire n_365;
+   wire n_366;
+   wire n_368;
+   wire n_372;
+   wire n_373;
+   wire n_374;
+   wire n_377;
+   wire n_439;
+   wire n_454;
+   wire n_491;
+   wire n_502;
+   wire n_503;
+   wire n_504;
+   wire n_505;
+   wire n_506;
+   wire n_508;
+   wire n_509;
+   wire n_510;
+   wire n_511;
+   wire n_512;
+   wire n_513;
+   wire n_514;
+   wire n_516;
+   wire n_517;
+   wire n_518;
+   wire n_521;
+   wire n_522;
+   wire n_523;
+   wire n_525;
+   wire n_526;
+   wire n_528;
+   wire n_534;
+   wire n_540;
+   wire n_542;
+   wire n_549;
+   wire n_551;
+   wire n_555;
+   wire n_557;
+   wire n_559;
+   wire n_571;
+   wire n_572;
+   wire n_576;
+   wire n_581;
+   wire n_586;
+   wire n_589;
+   wire n_591;
+   wire n_592;
+   wire n_593;
+   wire n_594;
+   wire n_595;
+   wire n_597;
+   wire n_598;
+   wire n_599;
+   wire n_600;
+   wire n_601;
+   wire n_602;
+   wire n_605;
+   wire n_613;
+   wire n_614;
+   wire n_623;
+   wire n_626;
+   wire n_634;
+   wire n_640;
+   wire n_648;
+   wire n_649;
+   wire n_652;
+   wire n_658;
+   wire n_667;
+   wire n_668;
+   wire n_669;
+   wire n_675;
+   wire n_676;
+   wire n_677;
+   wire n_684;
+   wire n_685;
+   wire n_686;
+   wire n_687;
+   wire n_688;
+   wire n_689;
+   wire n_690;
+   wire n_702;
+   wire n_705;
+   wire n_710;
+   wire n_711;
+   wire n_715;
+   wire n_716;
+   wire n_717;
+   wire n_718;
+   wire n_719;
+   wire n_720;
+   wire n_721;
+   wire n_722;
+   wire n_723;
+   wire n_724;
+   wire n_725;
+   wire n_726;
+   wire n_727;
+   wire n_728;
+   wire n_729;
+   wire n_730;
+   wire n_731;
+   wire n_732;
+   wire n_733;
+   wire n_734;
+   wire n_735;
+   wire n_736;
+   wire n_737;
+   wire n_738;
+   wire n_739;
+   wire n_740;
+   wire n_741;
+   wire n_742;
+   wire n_743;
+   wire n_744;
+   wire n_749;
+   wire n_752;
+   wire n_753;
+   wire n_754;
+   wire n_755;
+   wire n_756;
+   wire n_761;
+   wire n_762;
+   wire n_763;
+   wire n_764;
+   wire n_765;
+   wire n_766;
+   wire n_767;
+   wire n_768;
+   wire n_769;
+   wire n_770;
+   wire n_771;
+   wire n_772;
+   wire n_773;
+   wire n_774;
+   wire n_775;
+   wire n_776;
+   wire n_777;
+   wire n_778;
+   wire n_779;
+   wire n_780;
+   wire n_795;
+   wire n_798;
+   wire n_801;
+   wire n_802;
+   wire n_811;
+   wire n_815;
+   wire n_816;
+   wire n_817;
+   wire n_818;
+   wire n_819;
+   wire n_822;
+   wire n_823;
+   wire n_826;
+   wire n_831;
+   wire n_832;
+   wire n_839;
+   wire n_840;
+   wire n_841;
+   wire n_842;
+   wire n_845;
+   wire n_846;
+   wire n_849;
+   wire n_850;
+   wire n_851;
+   wire n_858;
+   wire n_859;
+   wire n_860;
+   wire n_869;
+   wire n_875;
+   wire n_888;
+   wire n_903;
+   wire n_913;
+   wire n_914;
+   wire n_917;
+   wire n_941;
+   wire n_963;
+   wire n_994;
+   wire n_995;
+   wire n_1002;
+   wire n_1012;
+   wire n_1027;
+   wire n_1030;
+   wire n_1084;
+   wire n_1089;
+   wire n_1092;
+   wire n_1093;
+   wire n_1094;
+   wire n_1095;
+   wire n_1097;
+   wire n_1098;
+   wire n_1100;
+   wire n_1101;
+   wire n_1103;
+   wire n_1104;
+   wire n_1106;
+   wire n_1107;
+   wire n_1112;
+   wire n_1114;
+   wire n_1115;
+   wire n_1117;
+   wire n_1120;
+   wire n_1126;
+   wire n_1128;
+   wire n_1130;
+   wire n_1132;
+   wire n_1134;
+   wire n_1135;
+   wire n_1136;
+   wire n_1137;
+   wire n_1138;
+   wire n_1139;
+   wire n_1140;
+   wire n_1141;
+   wire n_1142;
+   wire n_1143;
+   wire n_1144;
+   wire n_1145;
+   wire n_1147;
+   wire n_1148;
+   wire n_1149;
+   wire n_1150;
+   wire n_1152;
+   wire n_1153;
+   wire n_1154;
+   wire n_1156;
+   wire n_1158;
+   wire n_1159;
+   wire n_1160;
+   wire n_1161;
+   wire n_1162;
+   wire n_1163;
+   wire n_1166;
+   wire n_1168;
+   wire n_1169;
+   wire n_1170;
+   wire n_1171;
+   wire n_1172;
+   wire n_1174;
+   wire n_1175;
+   wire n_1177;
+   wire n_1179;
+   wire n_1181;
+   wire n_1183;
+   wire n_1185;
+   wire n_1186;
+   wire n_1187;
+   wire n_1189;
+   wire n_1190;
+   wire n_1191;
+   wire n_1192;
+   wire n_1193;
+   wire n_1194;
+   wire n_1195;
+   wire n_1196;
+   wire n_1197;
+   wire n_1198;
+   wire n_1200;
+   wire n_1201;
+   wire n_1202;
+   wire n_1203;
+   wire n_1206;
+   wire n_1207;
+   wire n_1208;
+   wire n_1209;
+   wire n_1210;
+   wire n_1211;
+   wire n_1212;
+   wire n_1214;
+   wire n_1215;
+   wire n_1216;
+   wire n_1217;
+   wire n_1218;
+   wire n_1219;
+   wire n_1221;
+   wire n_1222;
+   wire n_1223;
+   wire n_1224;
+   wire n_1225;
+   wire n_1226;
+   wire n_1229;
+   wire n_1231;
+   wire n_1232;
+   wire n_1233;
+   wire n_1234;
+   wire n_1235;
+   wire n_1236;
+   wire n_1237;
+   wire n_1238;
+   wire n_1239;
+   wire n_1240;
+   wire n_1241;
+   wire n_1242;
+   wire n_1243;
+   wire n_1244;
+   wire n_1245;
+   wire n_1246;
+   wire n_1247;
+   wire n_1248;
+   wire n_1249;
+   wire n_1250;
+   wire n_1251;
+   wire n_1252;
+   wire n_1253;
+   wire n_1254;
+   wire n_1255;
+   wire n_1256;
+   wire n_1257;
+   wire n_1258;
+   wire n_1259;
+   wire n_1260;
+   wire n_1261;
+   wire n_1262;
+   wire n_1263;
+   wire n_1264;
+   wire n_1265;
+   wire n_1266;
+   wire n_1267;
+   wire n_1268;
+   wire n_1269;
+   wire n_1270;
+   wire n_1271;
+   wire n_1272;
+   wire n_1273;
+   wire n_1274;
+   wire n_1275;
+   wire n_1276;
+   wire n_1277;
+   wire n_1278;
+   wire n_1279;
+   wire n_1280;
+   wire n_1281;
+   wire n_1282;
+   wire n_1283;
+   wire n_1284;
+   wire n_1285;
+   wire n_1286;
+   wire n_1287;
+   wire n_1288;
+   wire n_1289;
+   wire n_1290;
+   wire n_1291;
+   wire n_1292;
+   wire n_1294;
+   wire n_1295;
+   wire n_1297;
+   wire n_1298;
+   wire n_1299;
+   wire n_1300;
+   wire n_1302;
+   wire n_1303;
+   wire n_1304;
+   wire n_1305;
+   wire n_1306;
+   wire n_1307;
+   wire n_1308;
+   wire n_1309;
+   wire n_1311;
+   wire n_1312;
+   wire n_1313;
+   wire n_1314;
+   wire n_1315;
+   wire n_1316;
+   wire n_1317;
+   wire n_1318;
+   wire n_1319;
+   wire n_1321;
+   wire n_1322;
+   wire n_1323;
+   wire n_1324;
+   wire n_1325;
+   wire n_1326;
+   wire n_1327;
+   wire n_1328;
+   wire n_1329;
+   wire n_1330;
+   wire n_1331;
+   wire n_1332;
+   wire n_1333;
+   wire n_1334;
+   wire n_1335;
+   wire n_1336;
+   wire n_1337;
+   wire n_1338;
+   wire n_1339;
+   wire n_1340;
+   wire n_1341;
+   wire n_1342;
+   wire n_1345;
+   wire n_1346;
+   wire n_1348;
+   wire n_1349;
+   wire n_1350;
+   wire n_1351;
+   wire n_1352;
+   wire n_1353;
+   wire n_1354;
+   wire n_1355;
+   wire n_1356;
+   wire n_1357;
+   wire n_1358;
+   wire n_1359;
+   wire n_1360;
+   wire n_1361;
+   wire n_1362;
+   wire n_1363;
+   wire n_1364;
+   wire n_1365;
+   wire n_1366;
+   wire n_1367;
+   wire n_1368;
+   wire n_1370;
+   wire n_1371;
+   wire n_1372;
+   wire n_1373;
+   wire n_1374;
+   wire n_1375;
+   wire n_1376;
+   wire n_1377;
+   wire n_1378;
+   wire n_1379;
+   wire n_1380;
+   wire n_1381;
+   wire n_1382;
+   wire n_1383;
+   wire n_1384;
+   wire n_1385;
+   wire n_1386;
+   wire n_1387;
+   wire n_1388;
+   wire n_1389;
+   wire n_1393;
+   wire n_1394;
+   wire n_1395;
+   wire n_1396;
+   wire n_1397;
+   wire n_1398;
+   wire n_1399;
+   wire n_1400;
+   wire n_1401;
+   wire n_1402;
+   wire n_1403;
+   wire n_1404;
+   wire n_1405;
+   wire n_1406;
+   wire n_1411;
+   wire n_1413;
+   wire n_1414;
+   wire n_1416;
+   wire n_1418;
+   wire n_1420;
+   wire n_1421;
+   wire n_1424;
+   wire n_1425;
+   wire n_1426;
+   wire n_1427;
+   wire n_1429;
+   wire n_1431;
+   wire n_1432;
+   wire n_1434;
+   wire n_1435;
+   wire n_1437;
+   wire n_1439;
+   wire n_1441;
+   wire n_1443;
+   wire n_1444;
+   wire n_1445;
+   wire n_1446;
+   wire n_1447;
+   wire n_1449;
+   wire n_1450;
+   wire n_1451;
+   wire n_1452;
+   wire n_1453;
+   wire n_1454;
+   wire n_1455;
+   wire n_1456;
+   wire n_1457;
+   wire n_1458;
+   wire n_1459;
+   wire n_1461;
+   wire n_1462;
+   wire n_1463;
+   wire n_1464;
+   wire n_1466;
+   wire n_1468;
+   wire n_1469;
+   wire n_1470;
+   wire n_1471;
+   wire n_1473;
+   wire n_1475;
+   wire n_1476;
+   wire n_1477;
+   wire n_1478;
+   wire n_1479;
+   wire n_1481;
+   wire n_1483;
+   wire n_1484;
+   wire n_1485;
+   wire n_1486;
+   wire n_1487;
+   wire n_1488;
+   wire n_1490;
+   wire n_1491;
+   wire n_1493;
+   wire n_1494;
+   wire n_1495;
+   wire n_1496;
+   wire n_1497;
+   wire n_1498;
+   wire n_1499;
+   wire n_1500;
+   wire n_1501;
+   wire n_1502;
+   wire n_1503;
+   wire n_1504;
+   wire n_1505;
+   wire n_1506;
+   wire n_1507;
+   wire n_1508;
+   wire n_1509;
+   wire n_1510;
+   wire n_1511;
+   wire n_1512;
+   wire n_1513;
+   wire n_1514;
+   wire n_1515;
+   wire n_1516;
+   wire n_1517;
+   wire n_1519;
+   wire n_1520;
+   wire n_1521;
+   wire n_1522;
+   wire n_1523;
+   wire n_1524;
+   wire n_1525;
+   wire n_1526;
+   wire n_1527;
+   wire n_1528;
+   wire n_1529;
+   wire n_1530;
+   wire n_1532;
+   wire n_1533;
+   wire n_1534;
+   wire n_1535;
+   wire n_1536;
+   wire n_1539;
+   wire n_1540;
+   wire n_1541;
+   wire n_1542;
+   wire n_1543;
+   wire n_1544;
+   wire n_1545;
+   wire n_1546;
+   wire n_1547;
+   wire n_1548;
+   wire n_1549;
+   wire n_1550;
+   wire n_1551;
+   wire n_1553;
+   wire n_1554;
+   wire n_1555;
+   wire n_1556;
+   wire n_1557;
+   wire n_1558;
+   wire n_1559;
+   wire n_1560;
+   wire n_1561;
+   wire n_1562;
+   wire n_1563;
+   wire n_1564;
+   wire n_1565;
+   wire n_1566;
+   wire n_1570;
+   wire n_1571;
+   wire n_1572;
+   wire n_1573;
+   wire n_1574;
+   wire n_1575;
+   wire n_1576;
+   wire n_1577;
+   wire n_1578;
+   wire n_1579;
+   wire n_1580;
+   wire n_1581;
+   wire n_1582;
+   wire n_1583;
+   wire n_1584;
+   wire n_1586;
+   wire n_1587;
+   wire n_1588;
+   wire n_1589;
+   wire n_1590;
+   wire n_1591;
+   wire n_1592;
+   wire n_1593;
+   wire n_1594;
+   wire n_1595;
+   wire n_1596;
+   wire n_1597;
+   wire n_1599;
+   wire n_1600;
+   wire n_1601;
+   wire n_1602;
+   wire n_1603;
+   wire n_1604;
+   wire n_1605;
+   wire n_1606;
+   wire n_1607;
+   wire n_1608;
+   wire n_1609;
+   wire n_1610;
+   wire n_1611;
+   wire n_1612;
+   wire n_1613;
+   wire n_1614;
+   wire n_1616;
+   wire n_1617;
+   wire n_1618;
+   wire n_1619;
+   wire n_1620;
+   wire n_1621;
+   wire n_1622;
+   wire n_1623;
+   wire n_1624;
+   wire n_1625;
+   wire n_1627;
+   wire n_1628;
+   wire n_1629;
+   wire n_1630;
+   wire n_1631;
+   wire n_1632;
+   wire n_1633;
+   wire n_1634;
+   wire n_1635;
+   wire n_1636;
+   wire n_1637;
+   wire n_1638;
+   wire n_1639;
+   wire n_1640;
+   wire n_1641;
+   wire n_1642;
+   wire n_1643;
+   wire n_1646;
+   wire n_1647;
+   wire n_1648;
+   wire n_1650;
+   wire n_1651;
+   wire n_1652;
+   wire n_1653;
+   wire n_1654;
+   wire n_1655;
+   wire n_1656;
+   wire n_1657;
+   wire n_1658;
+   wire n_1659;
+   wire n_1660;
+   wire n_1661;
+   wire n_1662;
+   wire n_1664;
+   wire n_1665;
+   wire n_1667;
+   wire n_1668;
+   wire n_1669;
+   wire n_1670;
+   wire n_1671;
+   wire n_1673;
+   wire n_1674;
+   wire n_1675;
+   wire n_1678;
+   wire n_1679;
+   wire n_1680;
+   wire n_1681;
+   wire n_1682;
+   wire n_1685;
+   wire n_1686;
+   wire n_1687;
+   wire n_1689;
+   wire n_1690;
+   wire n_1696;
+   wire n_1697;
+   wire n_1698;
+   wire n_1699;
+   wire n_1700;
+   wire n_1701;
+   wire n_1702;
+   wire n_1703;
+   wire n_1704;
+   wire n_1705;
+   wire n_1706;
+   wire n_1707;
+   wire n_1708;
+   wire n_1709;
+   wire n_1710;
+   wire n_1711;
+   wire n_1712;
+   wire n_1713;
+   wire n_1714;
+   wire n_1715;
+   wire n_1716;
+   wire n_1717;
+   wire n_1718;
+   wire n_1719;
+   wire n_1720;
+   wire n_1721;
+   wire n_1722;
+   wire n_1723;
+   wire n_1724;
+   wire n_1725;
+   wire n_1726;
+   wire n_1727;
+   wire n_1728;
+   wire n_1729;
+   wire n_1730;
+   wire n_1731;
+   wire n_1732;
+   wire n_1733;
+   wire n_1734;
+   wire n_1735;
+   wire n_1736;
+   wire n_1737;
+   wire n_1738;
+   wire n_1739;
+   wire n_1741;
+   wire n_1742;
+   wire n_1743;
+   wire n_1744;
+   wire n_1745;
+   wire n_1746;
+   wire n_1749;
+   wire n_1750;
+   wire n_1751;
+   wire n_1752;
+   wire n_1753;
+   wire n_1754;
+   wire n_1755;
+   wire n_1756;
+   wire n_1757;
+   wire n_1758;
+   wire n_1759;
+   wire n_1760;
+   wire n_1761;
+   wire n_1762;
+   wire n_1763;
+   wire n_1764;
+   wire n_1765;
+   wire n_1766;
+   wire n_1767;
+   wire n_1768;
+   wire n_1769;
+   wire n_1770;
+   wire n_1771;
+   wire n_1772;
+   wire n_1773;
+   wire n_1774;
+   wire n_1775;
+   wire n_1776;
+   wire n_1777;
+   wire n_1778;
+   wire n_1779;
+   wire n_1780;
+   wire n_1781;
+   wire n_1782;
+   wire n_1783;
+   wire n_1784;
+   wire n_1786;
+   wire n_1787;
+   wire n_1789;
+   wire n_1790;
+   wire n_1791;
+   wire n_1792;
+   wire n_1793;
+   wire n_1794;
+   wire n_1795;
+   wire n_1796;
+   wire n_1797;
+   wire n_1798;
+   wire n_1799;
+   wire n_1800;
+   wire n_1801;
+   wire n_1802;
+   wire n_1803;
+   wire n_1805;
+   wire n_1808;
+   wire n_1809;
+   wire n_1810;
+   wire n_1811;
+   wire n_1812;
+   wire n_1813;
+   wire n_1814;
+   wire n_1815;
+   wire n_1817;
+   wire n_1818;
+   wire n_1820;
+   wire n_1823;
+   wire n_1824;
+   wire n_1827;
+   wire n_1828;
+   wire n_1829;
+   wire n_1830;
+   wire n_1831;
+   wire n_1832;
+   wire n_1833;
+   wire n_1834;
+   wire n_1835;
+   wire n_1836;
+   wire n_1837;
+   wire n_1838;
+   wire n_1839;
+   wire n_1842;
+   wire n_1843;
+   wire n_1844;
+   wire n_1845;
+   wire n_1846;
+   wire n_1847;
+   wire n_1848;
+   wire n_1849;
+   wire n_1850;
+   wire n_1852;
+   wire n_1853;
+   wire n_1854;
+   wire n_1855;
+   wire n_1856;
+   wire n_1857;
+   wire n_1858;
+   wire n_1859;
+   wire n_1860;
+   wire n_1861;
+   wire n_1862;
+   wire n_1863;
+   wire n_1864;
+   wire n_1866;
+   wire n_1867;
+   wire n_1868;
+   wire n_1869;
+   wire n_1871;
+   wire n_1872;
+   wire n_1873;
+   wire n_1876;
+   wire n_1877;
+   wire n_1878;
+   wire n_1879;
+   wire n_1880;
+   wire n_1882;
+   wire n_1883;
+   wire n_1884;
+   wire n_1886;
+   wire n_1887;
+   wire n_1888;
+   wire n_1889;
+   wire n_1890;
+   wire n_1891;
+   wire n_1892;
+   wire n_1893;
+   wire n_1895;
+   wire n_1896;
+   wire n_1897;
+   wire n_1898;
+   wire n_1899;
+   wire n_1900;
+   wire n_1901;
+   wire n_1902;
+   wire n_1903;
+   wire n_1904;
+   wire n_1905;
+   wire n_1906;
+   wire n_1907;
+   wire n_1908;
+   wire n_1909;
+   wire n_1910;
+   wire n_1911;
+   wire n_1912;
+   wire n_1913;
+   wire n_1914;
+   wire n_1915;
+   wire n_1916;
+   wire n_1917;
+   wire n_1918;
+   wire n_1919;
+   wire n_1920;
+   wire n_1921;
+   wire n_1922;
+   wire n_1923;
+   wire n_1924;
+   wire n_1925;
+   wire n_1926;
+   wire n_1927;
+   wire n_1929;
+   wire n_1930;
+   wire n_1933;
+   wire n_1934;
+   wire n_1935;
+   wire n_1936;
+   wire n_1937;
+   wire n_1938;
+   wire n_1939;
+   wire n_1940;
+   wire n_1941;
+   wire n_1942;
+   wire n_1943;
+   wire n_1944;
+   wire n_1945;
+   wire n_1946;
+   wire n_1947;
+   wire n_1948;
+   wire n_1949;
+   wire n_1950;
+   wire n_1951;
+   wire n_1952;
+   wire n_1953;
+   wire n_1954;
+   wire n_1956;
+   wire n_1957;
+   wire n_1959;
+   wire n_1960;
+   wire n_1961;
+   wire n_1962;
+   wire n_1966;
+   wire n_1967;
+   wire n_1968;
+   wire n_1969;
+   wire n_1970;
+   wire n_1971;
+   wire n_1972;
+   wire n_1973;
+   wire n_1974;
+   wire n_1975;
+   wire n_1977;
+   wire n_1978;
+   wire n_1979;
+   wire n_1980;
+   wire n_1981;
+   wire n_1982;
+   wire n_1983;
+   wire n_1984;
+   wire n_1985;
+   wire n_1986;
+   wire n_1987;
+   wire n_1988;
+   wire n_1990;
+   wire n_1991;
+   wire n_1992;
+   wire n_1993;
+   wire n_1994;
+   wire n_1995;
+   wire n_1996;
+   wire n_1997;
+   wire n_1998;
+   wire n_1999;
+   wire n_2000;
+   wire n_2001;
+   wire n_2002;
+   wire n_2003;
+   wire n_2004;
+   wire n_2005;
+   wire n_2006;
+   wire n_2007;
+   wire n_2008;
+   wire n_2009;
+   wire n_2010;
+   wire n_2012;
+   wire n_2013;
+   wire n_2014;
+   wire n_2015;
+   wire n_2016;
+   wire n_2017;
+   wire n_2018;
+   wire n_2019;
+   wire n_2020;
+   wire n_2021;
+   wire n_2022;
+   wire n_2024;
+   wire n_2025;
+   wire n_2026;
+   wire n_2027;
+   wire n_2028;
+   wire n_2029;
+   wire n_2030;
+   wire n_2031;
+   wire n_2032;
+   wire n_2033;
+   wire n_2034;
+   wire n_2035;
+   wire n_2036;
+   wire n_2037;
+   wire n_2038;
+   wire n_2039;
+   wire n_2040;
+   wire n_2041;
+   wire n_2042;
+   wire n_2043;
+   wire n_2044;
+   wire n_2045;
+   wire n_2046;
+   wire n_2047;
+   wire n_2048;
+   wire n_2049;
+   wire n_2050;
+   wire n_2051;
+   wire n_2052;
+   wire n_2053;
+   wire n_2055;
+   wire n_2056;
+   wire n_2057;
+   wire n_2058;
+   wire n_2059;
+   wire n_2060;
+   wire n_2061;
+   wire n_2062;
+   wire n_2063;
+   wire n_2064;
+   wire n_2065;
+   wire n_2066;
+   wire n_2067;
+   wire n_2068;
+   wire n_2069;
+   wire n_2070;
+   wire n_2071;
+   wire n_2072;
+   wire n_2073;
+   wire n_2074;
+   wire n_2076;
+   wire n_2077;
+   wire n_2078;
+   wire n_2079;
+   wire n_2080;
+   wire n_2081;
+   wire n_2082;
+   wire n_2083;
+   wire n_2084;
+   wire n_2085;
+   wire n_2086;
+   wire n_2087;
+   wire n_2088;
+   wire n_2089;
+   wire n_2090;
+   wire n_2091;
+   wire n_2092;
+   wire n_2093;
+   wire n_2094;
+   wire n_2095;
+   wire n_2096;
+   wire n_2097;
+   wire n_2098;
+   wire n_2099;
+   wire n_2100;
+   wire n_2101;
+   wire n_2102;
+   wire n_2103;
+   wire n_2104;
+   wire n_2105;
+   wire n_2106;
+   wire n_2107;
+   wire n_2108;
+   wire n_2109;
+   wire n_2110;
+   wire n_2111;
+   wire n_2112;
+   wire n_2113;
+   wire n_2114;
+   wire n_2115;
+   wire n_2116;
+   wire n_2117;
+   wire n_2118;
+   wire n_2119;
+   wire n_2120;
+   wire n_2121;
+   wire n_2122;
+   wire n_2123;
+   wire n_2124;
+   wire n_2125;
+   wire n_2126;
+   wire n_2127;
+   wire n_2128;
+   wire n_2129;
+   wire n_2130;
+   wire n_2131;
+   wire n_2132;
+   wire n_2135;
+   wire n_2136;
+   wire n_2137;
+   wire n_2138;
+   wire n_2139;
+   wire n_2140;
+   wire n_2141;
+   wire n_2142;
+   wire n_2143;
+   wire n_2144;
+   wire n_2145;
+   wire n_2146;
+   wire n_2149;
+   wire n_2150;
+   wire n_2151;
+   wire n_2152;
+   wire n_2153;
+   wire n_2156;
+   wire n_2157;
+   wire n_2158;
+   wire n_2159;
+   wire n_2160;
+   wire n_2161;
+   wire n_2162;
+   wire n_2163;
+   wire n_2164;
+   wire n_2165;
+   wire n_2166;
+   wire n_2167;
+   wire n_2168;
+   wire n_2169;
+   wire n_2171;
+   wire n_2172;
+   wire n_2173;
+   wire n_2174;
+   wire n_2175;
+   wire n_2176;
+   wire n_2177;
+   wire n_2178;
+   wire n_2179;
+   wire n_2180;
+   wire n_2181;
+   wire n_2182;
+   wire n_2183;
+   wire n_2184;
+   wire n_2185;
+   wire n_2186;
+   wire n_2187;
+   wire n_2188;
+   wire n_2189;
+   wire n_2190;
+   wire n_2191;
+   wire n_2192;
+   wire n_2193;
+   wire n_2194;
+   wire n_2195;
+   wire n_2196;
+   wire n_2197;
+   wire n_2198;
+   wire n_2199;
+   wire n_2200;
+   wire n_2201;
+   wire n_2202;
+   wire n_2203;
+   wire n_2205;
+   wire n_2207;
+   wire n_2208;
+   wire n_2209;
+   wire n_2210;
+   wire n_2211;
+   wire n_2212;
+   wire n_2213;
+   wire n_2214;
+   wire n_2215;
+   wire n_2216;
+   wire n_2217;
+   wire n_2218;
+   wire n_2219;
+   wire n_2220;
+   wire n_2221;
+   wire n_2222;
+   wire n_2223;
+   wire n_2224;
+   wire n_2225;
+   wire n_2226;
+   wire n_2227;
+   wire n_2228;
+   wire n_2229;
+   wire n_2230;
+   wire n_2231;
+   wire n_2232;
+   wire n_2234;
+   wire n_2235;
+   wire n_2236;
+   wire n_2237;
+   wire n_2238;
+   wire n_2239;
+   wire n_2240;
+   wire n_2241;
+   wire n_2242;
+   wire n_2243;
+   wire n_2245;
+   wire n_2246;
+   wire n_2247;
+   wire n_2248;
+   wire n_2249;
+   wire n_2250;
+   wire n_2251;
+   wire n_2252;
+   wire n_2253;
+   wire n_2254;
+   wire n_2255;
+   wire n_2256;
+   wire n_2258;
+   wire n_2259;
+   wire n_2260;
+   wire n_2262;
+   wire n_2263;
+   wire n_2264;
+   wire n_2265;
+   wire n_2266;
+   wire n_2267;
+   wire n_2268;
+   wire n_2269;
+   wire n_2270;
+   wire n_2271;
+   wire n_2272;
+   wire n_2273;
+   wire n_2276;
+   wire n_2277;
+   wire n_2278;
+   wire n_2279;
+   wire n_2280;
+   wire n_2281;
+   wire n_2282;
+   wire n_2283;
+   wire n_2284;
+   wire n_2285;
+   wire n_2286;
+   wire n_2287;
+   wire n_2288;
+   wire n_2289;
+   wire n_2290;
+   wire n_2291;
+   wire n_2292;
+   wire n_2293;
+   wire n_2294;
+   wire n_2295;
+   wire n_2296;
+   wire n_2297;
+   wire n_2298;
+   wire n_2299;
+   wire n_2300;
+   wire n_2301;
+   wire n_2302;
+   wire n_2303;
+   wire n_2304;
+   wire n_2305;
+   wire n_2306;
+   wire n_2307;
+   wire n_2308;
+   wire n_2309;
+   wire n_2310;
+   wire n_2311;
+   wire n_2312;
+   wire n_2313;
+   wire n_2314;
+   wire n_2315;
+   wire n_2316;
+   wire n_2317;
+   wire n_2318;
+   wire n_2319;
+   wire n_2320;
+   wire n_2321;
+   wire n_2322;
+   wire n_2323;
+   wire n_2324;
+   wire n_2325;
+   wire n_2326;
+   wire n_2327;
+   wire n_2328;
+   wire n_2329;
+   wire n_2330;
+   wire n_2331;
+   wire n_2332;
+   wire n_2333;
+   wire n_2334;
+   wire n_2336;
+   wire n_2337;
+   wire n_2338;
+   wire n_2339;
+   wire n_2340;
+   wire n_2341;
+   wire n_2342;
+   wire n_2343;
+   wire n_2346;
+   wire n_2348;
+   wire n_2349;
+   wire n_2350;
+   wire n_2351;
+   wire n_2352;
+   wire n_2353;
+   wire n_2354;
+   wire n_2355;
+   wire n_2356;
+   wire n_2357;
+   wire n_2358;
+   wire n_2360;
+   wire n_2361;
+   wire n_2362;
+   wire n_2365;
+   wire n_2366;
+   wire n_2367;
+   wire n_2368;
+   wire n_2372;
+   wire n_2373;
+   wire n_2374;
+   wire n_2376;
+   wire n_2377;
+   wire n_2378;
+   wire n_2379;
+   wire n_2380;
+   wire n_2381;
+   wire n_2382;
+   wire n_2383;
+   wire n_2384;
+   wire n_2385;
+   wire n_2386;
+   wire n_2388;
+   wire n_2389;
+   wire n_2390;
+   wire n_2391;
+   wire n_2392;
+   wire n_2393;
+   wire n_2394;
+   wire n_2395;
+   wire n_2396;
+   wire n_2397;
+   wire n_2398;
+   wire n_2399;
+   wire n_2400;
+   wire n_2401;
+   wire n_2402;
+   wire n_2404;
+   wire n_2405;
+   wire n_2406;
+   wire n_2407;
+   wire n_2408;
+   wire n_2409;
+   wire n_2410;
+   wire n_2411;
+   wire n_2412;
+   wire n_2413;
+   wire n_2414;
+   wire n_2415;
+   wire n_2416;
+   wire n_2417;
+   wire n_2418;
+   wire n_2419;
+   wire n_2420;
+   wire n_2421;
+   wire n_2422;
+   wire n_2423;
+   wire n_2425;
+   wire n_2426;
+   wire n_2427;
+   wire n_2429;
+   wire n_2430;
+   wire n_2431;
+   wire n_2432;
+   wire n_2433;
+   wire n_2434;
+   wire n_2435;
+   wire n_2436;
+   wire n_2437;
+   wire n_2438;
+   wire n_2439;
+   wire n_2440;
+   wire n_2441;
+   wire n_2442;
+   wire n_2443;
+   wire n_2444;
+   wire n_2445;
+   wire n_2446;
+   wire n_2447;
+   wire n_2448;
+   wire n_2449;
+   wire n_2450;
+   wire n_2451;
+   wire n_2452;
+   wire n_2453;
+   wire n_2454;
+   wire n_2455;
+   wire n_2456;
+   wire n_2457;
+   wire n_2458;
+   wire n_2459;
+   wire n_2460;
+   wire n_2461;
+   wire n_2462;
+   wire n_2463;
+   wire n_2464;
+   wire n_2465;
+   wire n_2466;
+   wire n_2467;
+   wire n_2468;
+   wire n_2471;
+   wire n_2472;
+   wire n_2473;
+   wire n_2475;
+   wire n_2476;
+   wire n_2477;
+   wire n_2478;
+   wire n_2479;
+   wire n_2480;
+   wire n_2481;
+   wire n_2482;
+   wire n_2483;
+   wire n_2484;
+   wire n_2485;
+   wire n_2486;
+   wire n_2487;
+   wire n_2488;
+   wire n_2489;
+   wire n_2490;
+   wire n_2491;
+   wire n_2492;
+   wire n_2493;
+   wire n_2494;
+   wire n_2495;
+   wire n_2496;
+   wire n_2497;
+   wire n_2498;
+   wire n_2499;
+   wire n_2500;
+   wire n_2501;
+   wire n_2502;
+   wire n_2503;
+   wire n_2504;
+   wire n_2505;
+   wire n_2506;
+   wire n_2507;
+   wire n_2508;
+   wire n_2509;
+   wire n_2510;
+   wire n_2512;
+   wire n_2514;
+   wire n_2515;
+   wire n_2516;
+   wire n_2517;
+   wire n_2518;
+   wire n_2519;
+   wire n_2520;
+   wire n_2521;
+   wire n_2522;
+   wire n_2523;
+   wire n_2524;
+   wire n_2526;
+   wire n_2528;
+   wire n_2529;
+   wire n_2531;
+   wire n_2532;
+   wire n_2533;
+   wire n_2534;
+   wire n_2535;
+   wire n_2536;
+   wire n_2537;
+   wire n_2538;
+   wire n_2540;
+   wire n_2541;
+   wire n_2542;
+   wire n_2543;
+   wire n_2544;
+   wire n_2545;
+   wire n_2546;
+   wire n_2547;
+   wire n_2548;
+   wire n_2549;
+   wire n_2551;
+   wire n_2552;
+   wire n_2553;
+   wire n_2554;
+   wire n_2555;
+   wire n_2556;
+   wire n_2559;
+   wire n_2561;
+   wire n_2572;
+   wire n_2573;
+   wire n_2576;
+   wire n_2577;
+   wire n_2578;
+   wire n_2579;
+   wire n_2585;
+   wire n_2587;
+   wire n_2589;
+   wire n_2590;
+   wire n_2591;
+   wire n_2592;
+   wire n_2593;
+   wire n_2594;
+   wire n_2596;
+   wire n_2597;
+   wire n_2598;
+   wire n_2599;
+   wire n_2601;
+   wire n_2602;
+   wire n_2603;
+   wire n_2604;
+   wire n_2605;
+   wire n_2606;
+   wire n_2607;
+   wire n_2608;
+   wire n_2609;
+   wire n_2610;
+   wire n_2611;
+   wire n_2612;
+   wire n_2613;
+   wire n_2614;
+   wire n_2615;
+   wire n_2616;
+   wire n_2617;
+   wire n_2618;
+   wire n_2619;
+   wire n_2620;
+   wire n_2621;
+   wire n_2622;
+   wire n_2623;
+   wire n_2624;
+   wire n_2625;
+   wire n_2626;
+   wire n_2627;
+   wire n_2628;
+   wire n_2629;
+   wire n_2630;
+   wire n_2631;
+   wire n_2632;
+   wire n_2633;
+   wire n_2634;
+   wire n_2635;
+   wire n_2637;
+   wire n_2638;
+   wire n_2639;
+   wire n_2640;
+   wire n_2641;
+   wire n_2642;
+   wire n_2643;
+   wire n_2644;
+   wire n_2646;
+   wire n_2647;
+   wire n_2649;
+   wire n_2650;
+   wire n_2652;
+   wire n_2653;
+   wire n_2654;
+   wire n_2656;
+   wire n_2657;
+   wire n_2659;
+   wire n_2660;
+   wire n_2662;
+   wire n_2663;
+   wire n_2664;
+   wire n_2666;
+   wire n_2668;
+   wire n_2670;
+   wire n_2672;
+   wire n_2674;
+   wire n_2675;
+   wire n_2677;
+   wire n_2679;
+   wire n_2681;
+   wire n_2683;
+   wire n_2684;
+   wire n_2685;
+   wire n_2686;
+   wire n_2687;
+   wire n_2688;
+   wire n_2689;
+   wire n_2690;
+   wire n_2691;
+   wire n_2692;
+   wire n_2693;
+   wire n_2694;
+   wire n_2695;
+   wire n_2696;
+   wire n_2697;
+   wire n_2698;
+   wire n_2699;
+   wire n_2700;
+   wire n_2701;
+   wire n_2702;
+   wire n_2703;
+   wire n_2704;
+   wire n_2705;
+   wire n_2708;
+   wire n_2709;
+   wire n_2710;
+   wire n_2711;
+   wire n_2712;
+   wire n_2713;
+   wire n_2714;
+   wire n_2715;
+   wire n_2716;
+   wire n_2717;
+   wire n_2718;
+   wire n_2719;
+   wire n_2720;
+   wire n_2721;
+   wire n_2722;
+   wire n_2723;
+   wire n_2724;
+   wire n_2725;
+   wire n_2726;
+   wire n_2727;
+   wire n_2728;
+   wire n_2729;
+   wire n_2730;
+   wire n_2731;
+   wire n_2732;
+   wire n_2733;
+   wire n_2734;
+   wire n_2735;
+   wire n_2736;
+   wire n_2737;
+   wire n_2738;
+   wire n_2739;
+   wire n_2740;
+   wire n_2741;
+   wire n_2742;
+   wire n_2743;
+   wire n_2744;
+   wire n_2745;
+   wire n_2747;
+   wire n_2748;
+   wire n_2749;
+   wire n_2750;
+   wire n_2751;
+   wire n_2752;
+   wire n_2753;
+   wire n_2754;
+   wire n_2755;
+   wire n_2756;
+   wire n_2757;
+   wire n_2759;
+   wire n_2760;
+   wire n_2762;
+   wire n_2763;
+   wire n_2764;
+   wire n_2765;
+   wire n_2766;
+   wire n_2767;
+   wire n_2768;
+   wire n_2769;
+   wire n_2770;
+   wire n_2771;
+   wire n_2772;
+   wire n_2773;
+   wire n_2774;
+   wire n_2775;
+   wire n_2776;
+   wire n_2777;
+   wire n_2778;
+   wire n_2779;
+   wire n_2780;
+   wire n_2781;
+   wire n_2782;
+   wire n_2783;
+   wire n_2784;
+   wire n_2786;
+   wire n_2787;
+   wire n_2788;
+   wire n_2789;
+   wire n_2790;
+   wire n_2791;
+   wire n_2792;
+   wire n_2794;
+   wire n_2795;
+   wire n_2797;
+   wire n_2798;
+   wire n_2799;
+   wire n_2800;
+   wire n_2801;
+   wire n_2802;
+   wire n_2803;
+   wire n_2804;
+   wire n_2805;
+   wire n_2806;
+   wire n_2807;
+   wire n_2808;
+   wire n_2809;
+   wire n_2810;
+   wire n_2811;
+   wire n_2812;
+   wire n_2813;
+   wire n_2814;
+   wire n_2815;
+   wire n_2816;
+   wire n_2817;
+   wire n_2818;
+   wire n_2819;
+   wire n_2820;
+   wire n_2821;
+   wire n_2822;
+   wire n_2823;
+   wire n_2824;
+   wire n_2825;
+   wire n_2826;
+   wire n_2827;
+   wire n_2828;
+   wire n_2829;
+   wire n_2830;
+   wire n_2831;
+   wire n_2832;
+   wire n_2833;
+   wire n_2834;
+   wire n_2835;
+   wire n_2836;
+   wire n_2837;
+   wire n_2838;
+   wire n_2839;
+   wire n_2840;
+   wire n_2841;
+   wire n_2842;
+   wire n_2843;
+   wire n_2844;
+   wire n_2845;
+   wire n_2846;
+   wire n_2847;
+   wire n_2848;
+   wire n_2849;
+   wire n_2850;
+   wire n_2851;
+   wire n_2852;
+   wire n_2853;
+   wire n_2854;
+   wire n_2855;
+   wire n_2856;
+   wire n_2857;
+   wire n_2858;
+   wire n_2859;
+   wire n_2860;
+   wire n_2861;
+   wire n_2862;
+   wire n_2863;
+   wire n_2864;
+   wire n_2865;
+   wire n_2866;
+   wire n_2867;
+   wire n_2868;
+   wire n_2869;
+   wire n_2870;
+   wire n_2871;
+   wire n_2872;
+   wire n_2873;
+   wire n_2874;
+   wire n_2875;
+   wire n_2876;
+   wire n_2877;
+   wire n_2878;
+   wire n_2879;
+   wire n_2880;
+   wire n_2881;
+   wire n_2882;
+   wire n_2883;
+   wire n_2884;
+   wire n_2885;
+   wire n_2886;
+   wire n_2887;
+   wire n_2888;
+   wire n_2889;
+   wire n_2890;
+   wire n_2891;
+   wire n_2892;
+   wire n_2893;
+   wire n_2894;
+   wire n_2895;
+   wire n_2896;
+   wire n_2897;
+   wire n_2898;
+   wire n_2899;
+   wire n_2900;
+   wire n_2901;
+   wire n_2902;
+   wire n_2903;
+   wire n_2904;
+   wire n_2953;
+   wire n_2954;
+   wire n_2955;
+   wire n_2956;
+   wire n_2957;
+   wire n_2958;
+   wire n_2959;
+   wire n_2960;
+   wire n_2961;
+   wire n_2962;
+   wire n_2963;
+   wire n_2964;
+   wire n_2965;
+   wire n_2966;
+   wire n_2967;
+   wire n_2968;
+   wire n_2969;
+   wire n_2970;
+   wire n_2971;
+   wire n_2972;
+   wire n_2973;
+   wire n_2974;
+   wire n_2975;
+   wire n_2976;
+   wire n_2977;
+   wire n_2978;
+   wire n_2979;
+   wire n_2980;
+   wire n_2981;
+   wire n_2982;
+   wire n_2983;
+   wire n_2984;
+   wire n_2985;
+   wire n_2986;
+   wire n_2987;
+   wire n_2988;
+   wire n_2989;
+   wire n_2990;
+   wire n_2991;
+   wire n_2992;
+   wire n_2993;
+   wire n_2994;
+   wire n_2995;
+   wire n_2996;
+   wire n_2997;
+   wire n_2998;
+   wire n_2999;
+   wire n_3000;
+   wire n_3001;
+   wire n_3002;
+   wire n_3003;
+   wire n_3004;
+   wire n_3005;
+   wire n_3006;
+   wire n_3007;
+   wire n_3008;
+   wire n_3009;
+   wire n_3010;
+   wire n_3011;
+   wire n_3012;
+   wire n_3013;
+   wire n_3014;
+   wire n_3015;
+   wire n_3016;
+   wire n_3017;
+   wire n_3018;
+   wire n_3019;
+   wire n_3020;
+   wire n_3022;
+   wire n_3024;
+   wire n_3025;
+   wire n_3026;
+   wire n_3027;
+   wire n_3028;
+   wire n_3029;
+   wire n_3030;
+   wire n_3031;
+   wire n_3032;
+   wire n_3034;
+   wire n_3035;
+   wire n_3036;
+   wire n_3037;
+   wire n_3038;
+   wire n_3039;
+   wire n_3040;
+   wire n_3041;
+   wire n_3042;
+   wire n_3044;
+   wire n_3045;
+   wire n_3046;
+   wire n_3047;
+   wire n_3048;
+   wire n_3049;
+   wire n_3050;
+   wire n_3051;
+   wire n_3052;
+   wire n_3053;
+   wire n_3054;
+   wire n_3055;
+   wire n_3056;
+   wire n_3057;
+   wire n_3058;
+   wire n_3060;
+   wire n_3061;
+   wire n_3062;
+   wire n_3063;
+   wire n_3064;
+   wire n_3065;
+   wire n_3066;
+   wire n_3067;
+   wire n_3068;
+   wire n_3069;
+   wire n_3070;
+   wire n_3071;
+   wire n_3072;
+   wire n_3073;
+   wire n_3074;
+   wire n_3075;
+   wire n_3076;
+   wire n_3077;
+   wire n_3078;
+   wire n_3079;
+   wire n_3080;
+   wire n_3081;
+   wire n_3082;
+   wire n_3083;
+   wire n_3084;
+   wire n_3086;
+   wire n_3087;
+   wire n_3088;
+   wire n_3089;
+   wire n_3090;
+   wire n_3091;
+   wire n_3092;
+   wire n_3093;
+   wire n_3094;
+   wire n_3095;
+   wire n_3096;
+   wire n_3097;
+   wire n_3098;
+   wire n_3099;
+   wire n_3100;
+   wire n_3101;
+   wire n_3102;
+   wire n_3103;
+   wire n_3104;
+   wire n_3105;
+   wire n_3106;
+   wire n_3107;
+   wire n_3108;
+   wire n_3109;
+   wire n_3110;
+   wire n_3112;
+   wire n_3113;
+   wire n_3114;
+   wire n_3115;
+   wire n_3116;
+   wire n_3117;
+   wire n_3118;
+   wire n_3119;
+   wire n_3120;
+   wire n_3121;
+   wire n_3122;
+   wire n_3123;
+   wire n_3124;
+   wire n_3125;
+   wire n_3126;
+   wire n_3127;
+   wire n_3128;
+   wire n_3129;
+   wire n_3130;
+   wire n_3131;
+   wire n_3132;
+   wire n_3133;
+   wire n_3135;
+   wire n_3136;
+   wire n_3137;
+   wire n_3138;
+   wire n_3139;
+   wire n_3140;
+   wire n_3141;
+   wire n_3142;
+   wire n_3143;
+   wire n_3144;
+   wire n_3145;
+   wire n_3146;
+   wire n_3147;
+   wire n_3148;
+   wire n_3149;
+   wire n_3150;
+   wire n_3151;
+   wire n_3152;
+   wire n_3153;
+   wire n_3154;
+   wire n_3155;
+   wire n_3156;
+   wire n_3157;
+   wire n_3159;
+   wire n_3160;
+   wire n_3161;
+   wire n_3162;
+   wire n_3163;
+   wire n_3164;
+   wire n_3165;
+   wire n_3166;
+   wire n_3167;
+   wire n_3168;
+   wire n_3169;
+   wire n_3170;
+   wire n_3171;
+   wire n_3172;
+   wire n_3173;
+   wire n_3174;
+   wire n_3175;
+   wire n_3176;
+   wire n_3177;
+   wire n_3178;
+   wire n_3179;
+   wire n_3180;
+   wire n_3181;
+   wire n_3182;
+   wire n_3183;
+   wire n_3184;
+   wire n_3185;
+   wire n_3186;
+   wire n_3187;
+   wire n_3188;
+   wire n_3189;
+   wire n_3190;
+   wire n_3191;
+   wire n_3192;
+   wire n_3193;
+   wire n_3194;
+   wire n_3195;
+   wire n_3196;
+   wire n_3197;
+   wire n_3198;
+   wire n_3199;
+   wire n_3200;
+   wire n_3201;
+   wire n_3202;
+   wire n_3203;
+   wire n_3204;
+   wire n_3205;
+   wire n_3206;
+   wire n_3207;
+   wire n_3208;
+   wire n_3209;
+   wire n_3210;
+   wire n_3211;
+   wire n_3212;
+   wire n_3213;
+   wire n_3214;
+   wire n_3215;
+   wire n_3216;
+   wire n_3217;
+   wire n_3219;
+   wire n_3220;
+   wire n_3221;
+   wire n_3222;
+   wire n_3223;
+   wire n_3224;
+   wire n_3225;
+   wire n_3226;
+   wire n_3227;
+   wire n_3228;
+   wire n_3229;
+   wire n_3230;
+   wire n_3231;
+   wire n_3232;
+   wire n_3234;
+   wire n_3235;
+   wire n_3236;
+   wire n_3237;
+   wire n_3238;
+   wire n_3239;
+   wire n_3240;
+   wire n_3241;
+   wire n_3242;
+   wire n_3243;
+   wire n_3244;
+   wire n_3245;
+   wire n_3246;
+   wire n_3247;
+   wire n_3248;
+   wire n_3249;
+   wire n_3250;
+   wire n_3251;
+   wire n_3252;
+   wire n_3253;
+   wire n_3254;
+   wire n_3255;
+   wire n_3256;
+   wire n_3257;
+   wire n_3258;
+   wire n_3259;
+   wire n_3260;
+   wire n_3261;
+   wire n_3262;
+   wire n_3263;
+   wire n_3264;
+   wire n_3265;
+   wire n_3266;
+   wire n_3267;
+   wire n_3268;
+   wire n_3269;
+   wire n_3270;
+   wire n_3271;
+   wire n_3272;
+   wire n_3273;
+   wire n_3274;
+   wire n_3275;
+   wire n_3276;
+   wire n_3277;
+   wire n_3278;
+   wire n_3279;
+   wire n_3280;
+   wire n_3281;
+   wire n_3282;
+   wire n_3283;
+   wire n_3284;
+   wire n_3285;
+   wire n_3286;
+   wire n_3287;
+   wire n_3288;
+   wire n_3289;
+   wire n_3290;
+   wire n_3291;
+   wire n_3292;
+   wire n_3293;
+   wire n_3294;
+   wire n_3295;
+   wire n_3296;
+   wire n_3297;
+   wire n_3298;
+   wire n_3299;
+   wire n_3300;
+   wire n_3301;
+   wire n_3302;
+   wire n_3303;
+   wire n_3304;
+   wire n_3305;
+   wire n_3306;
+   wire n_3307;
+   wire n_3308;
+   wire n_3309;
+   wire n_3310;
+   wire n_3311;
+   wire n_3312;
+   wire n_3313;
+   wire n_3314;
+   wire n_3315;
+   wire n_3316;
+   wire n_3317;
+   wire n_3318;
+   wire n_3319;
+   wire n_3320;
+   wire n_3321;
+   wire n_3322;
+   wire n_3323;
+   wire n_3324;
+   wire n_3325;
+   wire n_3326;
+   wire n_3327;
+   wire n_3328;
+   wire n_3331;
+   wire n_3332;
+   wire n_3341;
+   wire n_3342;
+   wire n_3344;
+   wire n_3345;
+   wire n_3346;
+   wire n_3348;
+   wire n_3349;
+   wire n_3350;
+   wire n_3351;
+   wire n_3352;
+   wire n_3353;
+   wire n_3354;
+   wire n_3355;
+   wire n_3356;
+   wire n_3357;
+   wire n_3358;
+   wire n_3359;
+   wire n_3360;
+   wire n_3361;
+   wire n_3362;
+   wire n_3363;
+   wire n_3364;
+   wire n_3365;
+   wire n_3366;
+   wire n_3367;
+   wire n_3368;
+   wire n_3369;
+   wire n_3370;
+   wire n_3371;
+   wire n_3372;
+   wire n_3373;
+   wire n_3374;
+   wire n_3375;
+   wire n_3376;
+   wire n_3377;
+   wire n_3378;
+   wire n_3379;
+   wire n_3380;
+   wire n_3381;
+   wire n_3382;
+   wire n_3383;
+   wire n_3384;
+   wire n_3385;
+   wire n_3386;
+   wire n_3387;
+   wire n_3388;
+   wire n_3389;
+   wire n_3390;
+   wire n_3392;
+   wire n_3393;
+   wire n_3394;
+   wire n_3395;
+   wire n_3396;
+   wire n_3397;
+   wire n_3398;
+   wire n_3399;
+   wire n_3400;
+   wire n_3401;
+   wire n_3402;
+   wire n_3403;
+   wire n_3405;
+   wire n_3406;
+   wire n_3407;
+   wire n_3408;
+   wire n_3409;
+   wire n_3410;
+   wire n_3411;
+   wire n_3412;
+   wire n_3413;
+   wire n_3414;
+   wire n_3415;
+   wire n_3416;
+   wire n_3417;
+   wire n_3418;
+   wire n_3420;
+   wire n_3421;
+   wire n_3422;
+   wire n_3423;
+   wire n_3424;
+   wire n_3425;
+   wire n_3426;
+   wire n_3427;
+   wire n_3428;
+   wire n_3429;
+   wire n_3430;
+   wire n_3431;
+   wire n_3432;
+   wire n_3433;
+   wire n_3434;
+   wire n_3435;
+   wire n_3436;
+   wire n_3437;
+   wire n_3438;
+   wire n_3439;
+   wire n_3440;
+   wire n_3441;
+   wire n_3442;
+   wire n_3443;
+   wire n_3444;
+   wire n_3445;
+   wire n_3446;
+   wire n_3447;
+   wire n_3448;
+   wire n_3449;
+   wire n_3450;
+   wire n_3451;
+   wire n_3452;
+   wire n_3453;
+   wire n_3454;
+   wire n_3455;
+   wire n_3456;
+   wire n_3457;
+   wire n_3458;
+   wire n_3459;
+   wire n_3460;
+   wire n_3461;
+   wire n_3462;
+   wire n_3463;
+   wire n_3464;
+   wire n_3465;
+   wire n_3466;
+   wire n_3467;
+   wire n_3468;
+   wire n_3469;
+   wire n_3470;
+   wire n_3471;
+   wire n_3472;
+   wire n_3473;
+   wire n_3474;
+   wire n_3475;
+   wire n_3476;
+   wire n_3477;
+   wire n_3478;
+   wire n_3479;
+   wire n_3480;
+   wire n_3481;
+   wire n_3482;
+   wire n_3483;
+   wire n_3484;
+   wire n_3485;
+   wire n_3486;
+   wire n_3487;
+   wire n_3488;
+   wire n_3489;
+   wire n_3490;
+   wire n_3491;
+   wire n_3492;
+   wire n_3493;
+   wire n_3494;
+   wire n_3495;
+   wire n_3496;
+   wire n_3497;
+   wire n_3498;
+   wire n_3499;
+   wire n_3500;
+   wire n_3501;
+   wire n_3502;
+   wire n_3503;
+   wire n_3504;
+   wire n_3505;
+   wire n_3506;
+   wire n_3507;
+   wire n_3508;
+   wire n_3509;
+   wire n_3510;
+   wire n_3511;
+   wire n_3512;
+   wire n_3513;
+   wire n_3514;
+   wire n_3515;
+   wire n_3516;
+   wire n_3517;
+   wire n_3518;
+   wire n_3519;
+   wire n_3520;
+   wire n_3521;
+   wire n_3522;
+   wire n_3523;
+   wire n_3524;
+   wire n_3525;
+   wire n_3526;
+   wire n_3527;
+   wire n_3528;
+   wire n_3529;
+   wire n_3530;
+   wire n_3531;
+   wire n_3532;
+   wire n_3533;
+   wire n_3535;
+   wire n_3537;
+   wire n_3538;
+   wire n_3539;
+   wire n_3540;
+   wire n_3541;
+   wire n_3542;
+   wire n_3543;
+   wire n_3544;
+   wire n_3545;
+   wire n_3546;
+   wire n_3547;
+   wire n_3548;
+   wire n_3550;
+   wire n_3552;
+   wire n_3553;
+   wire n_3554;
+   wire n_3556;
+   wire n_3557;
+   wire n_3558;
+   wire n_3559;
+   wire n_3560;
+   wire n_3561;
+   wire n_3562;
+   wire n_3563;
+   wire n_3564;
+   wire n_3565;
+   wire n_3566;
+   wire n_3567;
+   wire n_3568;
+   wire n_3569;
+   wire n_3571;
+   wire n_3572;
+   wire n_3573;
+   wire n_3574;
+   wire n_3575;
+   wire n_3576;
+   wire n_3577;
+   wire n_3578;
+   wire n_3579;
+   wire n_3580;
+   wire n_3581;
+   wire n_3582;
+   wire n_3583;
+   wire n_3584;
+   wire n_3585;
+   wire n_3586;
+   wire n_3587;
+   wire n_3588;
+   wire n_3589;
+   wire n_3590;
+   wire n_3591;
+   wire n_3592;
+   wire n_3593;
+   wire n_3594;
+   wire n_3596;
+   wire n_3598;
+   wire n_3599;
+   wire n_3600;
+   wire n_3601;
+   wire n_3602;
+   wire n_3603;
+   wire n_3604;
+   wire n_3605;
+   wire n_3606;
+   wire n_3607;
+   wire n_3608;
+   wire n_3609;
+   wire n_3610;
+   wire n_3611;
+   wire n_3612;
+   wire n_3613;
+   wire n_3614;
+   wire n_3615;
+   wire n_3616;
+   wire n_3617;
+   wire n_3618;
+   wire n_3619;
+   wire n_3620;
+   wire n_3621;
+   wire n_3622;
+   wire n_3623;
+   wire n_3624;
+   wire n_3625;
+   wire n_3626;
+   wire n_3627;
+   wire n_3628;
+   wire n_3629;
+   wire n_3630;
+   wire n_3631;
+   wire n_3632;
+   wire n_3633;
+   wire n_3634;
+   wire n_3635;
+   wire n_3636;
+   wire n_3637;
+   wire n_3638;
+   wire n_3639;
+   wire n_3640;
+   wire n_3641;
+   wire n_3642;
+   wire n_3643;
+   wire n_3644;
+   wire n_3645;
+   wire n_3646;
+   wire n_3647;
+   wire n_3648;
+   wire n_3649;
+   wire n_3650;
+   wire n_3651;
+   wire n_3652;
+   wire n_3653;
+   wire n_3654;
+   wire n_3655;
+   wire n_3656;
+   wire n_3657;
+   wire n_3658;
+   wire n_3659;
+   wire n_3660;
+   wire n_3661;
+   wire n_3662;
+   wire n_3663;
+   wire n_3664;
+   wire n_3665;
+   wire n_3666;
+   wire n_3667;
+   wire n_3668;
+   wire n_3669;
+   wire n_3670;
+   wire n_3671;
+   wire n_3672;
+   wire n_3673;
+   wire n_3674;
+   wire n_3675;
+   wire n_3676;
+   wire n_3677;
+   wire n_3678;
+   wire n_3679;
+   wire n_3680;
+   wire n_3681;
+   wire n_3682;
+   wire n_3683;
+   wire n_3684;
+   wire n_3685;
+   wire n_3686;
+   wire n_3687;
+   wire n_3688;
+   wire n_3689;
+   wire n_3690;
+   wire n_3691;
+   wire n_3692;
+   wire n_3693;
+   wire n_3694;
+   wire n_3695;
+   wire n_3696;
+   wire n_3697;
+   wire n_3698;
+   wire n_3699;
+   wire n_3700;
+   wire n_3701;
+   wire n_3702;
+   wire n_3703;
+   wire n_3704;
+   wire n_3705;
+   wire n_3706;
+   wire n_3707;
+   wire n_3708;
+   wire n_3709;
+   wire n_3710;
+   wire n_3711;
+   wire n_3712;
+   wire n_3713;
+   wire n_3714;
+   wire n_3716;
+   wire n_3717;
+   wire n_3718;
+   wire n_3719;
+   wire n_3720;
+   wire n_3721;
+   wire n_3722;
+   wire n_3723;
+   wire n_3724;
+   wire n_3725;
+   wire n_3726;
+   wire n_3727;
+   wire n_3728;
+   wire n_3729;
+   wire n_3730;
+   wire n_3731;
+   wire n_3732;
+   wire n_3733;
+   wire n_3734;
+   wire n_3735;
+   wire n_3736;
+   wire n_3737;
+   wire n_3738;
+   wire n_3739;
+   wire n_3740;
+   wire n_3741;
+   wire n_3742;
+   wire n_3743;
+   wire n_3744;
+   wire n_3745;
+   wire n_3746;
+   wire n_3747;
+   wire n_3748;
+   wire n_3749;
+   wire n_3750;
+   wire n_3751;
+   wire n_3752;
+   wire n_3753;
+   wire n_3754;
+   wire n_3755;
+   wire n_3756;
+   wire n_3757;
+   wire n_3758;
+   wire n_3759;
+   wire n_3760;
+   wire n_3761;
+   wire n_3762;
+   wire n_3763;
+   wire n_3764;
+   wire n_3765;
+   wire n_3766;
+   wire n_3767;
+   wire n_3768;
+   wire n_3769;
+   wire n_3770;
+   wire n_3771;
+   wire n_3772;
+   wire n_3773;
+   wire n_3774;
+   wire n_3775;
+   wire n_3776;
+   wire n_3777;
+   wire n_3778;
+   wire n_3779;
+   wire n_3780;
+   wire n_3781;
+   wire n_3782;
+   wire n_3783;
+   wire n_3784;
+   wire n_3785;
+   wire n_3786;
+   wire n_3787;
+   wire n_3788;
+   wire n_3789;
+   wire n_3790;
+   wire n_3791;
+   wire n_3792;
+   wire n_3793;
+   wire n_3794;
+   wire n_3795;
+   wire n_3796;
+   wire n_3797;
+   wire n_3798;
+   wire n_3799;
+   wire n_3800;
+   wire n_3801;
+   wire n_3802;
+   wire n_3803;
+   wire n_3804;
+   wire n_3805;
+   wire n_3806;
+   wire n_3807;
+   wire n_3808;
+   wire n_3809;
+   wire n_3810;
+   wire n_3811;
+   wire n_3812;
+   wire n_3813;
+   wire n_3814;
+   wire n_3815;
+   wire n_3816;
+   wire n_3817;
+   wire n_3818;
+   wire n_3819;
+   wire n_3820;
+   wire n_3821;
+   wire n_3822;
+   wire n_3823;
+   wire n_3824;
+   wire n_3825;
+   wire n_3826;
+   wire n_3827;
+   wire n_3828;
+   wire n_3829;
+   wire n_3830;
+   wire n_3831;
+   wire n_3832;
+   wire n_3833;
+   wire n_3834;
+   wire n_3835;
+   wire n_3836;
+   wire n_3837;
+   wire n_3838;
+   wire n_3839;
+   wire n_3841;
+   wire n_3842;
+   wire n_3843;
+   wire n_3844;
+   wire n_3845;
+   wire n_3846;
+   wire n_3847;
+   wire n_3848;
+   wire n_3849;
+   wire n_3850;
+   wire n_3851;
+   wire n_3852;
+   wire n_3854;
+   wire n_3855;
+   wire n_3857;
+   wire n_3858;
+   wire n_3859;
+   wire n_3860;
+   wire n_3861;
+   wire n_3862;
+   wire n_3863;
+   wire n_3864;
+   wire n_3865;
+   wire n_3866;
+   wire n_3867;
+   wire n_3868;
+   wire n_3869;
+   wire n_3870;
+   wire n_3871;
+   wire n_3872;
+   wire n_3873;
+   wire n_3874;
+   wire n_3875;
+   wire n_3876;
+   wire n_3877;
+   wire n_3878;
+   wire n_3879;
+   wire n_3880;
+   wire n_3881;
+   wire n_3882;
+   wire n_3883;
+   wire n_3884;
+   wire n_3885;
+   wire n_3886;
+   wire n_3887;
+   wire n_3888;
+   wire n_3889;
+   wire n_3890;
+   wire n_3891;
+   wire n_3892;
+   wire n_3893;
+   wire n_3894;
+   wire n_3895;
+   wire n_3896;
+   wire n_3897;
+   wire n_3898;
+   wire n_3899;
+   wire n_3900;
+   wire n_3901;
+   wire n_3902;
+   wire n_3903;
+   wire n_3904;
+   wire n_3905;
+   wire n_3906;
+   wire n_3907;
+   wire n_3908;
+   wire n_3909;
+   wire n_3910;
+   wire n_3911;
+   wire n_3912;
+   wire n_3913;
+   wire n_3914;
+   wire n_3915;
+   wire n_3916;
+   wire n_3917;
+   wire n_3918;
+   wire n_3919;
+   wire n_3920;
+   wire n_3921;
+   wire n_3922;
+   wire n_3923;
+   wire n_3924;
+   wire n_3925;
+   wire n_3926;
+   wire n_3927;
+   wire n_3928;
+   wire n_3929;
+   wire n_3930;
+   wire n_3931;
+   wire n_3932;
+   wire n_3933;
+   wire n_3934;
+   wire n_3935;
+   wire n_3936;
+   wire n_3937;
+   wire n_3938;
+   wire n_3939;
+   wire n_3940;
+   wire n_3941;
+   wire n_3942;
+   wire n_3943;
+   wire n_3944;
+   wire n_3945;
+   wire n_3946;
+   wire n_3947;
+   wire n_3948;
+   wire n_3949;
+   wire n_3950;
+   wire n_3951;
+   wire n_3952;
+   wire n_3953;
+   wire n_3954;
+   wire n_3956;
+   wire n_3957;
+   wire n_3958;
+   wire n_3959;
+   wire n_3960;
+   wire n_3961;
+   wire n_3962;
+   wire n_3963;
+   wire n_3964;
+   wire n_3965;
+   wire n_3966;
+   wire n_3967;
+   wire n_3968;
+   wire n_3972;
+   wire n_3973;
+   wire n_3974;
+   wire n_3975;
+   wire n_3976;
+   wire n_3977;
+   wire n_3978;
+   wire n_3979;
+   wire n_3981;
+   wire n_3982;
+   wire n_3983;
+   wire n_3984;
+   wire n_3985;
+   wire n_3987;
+   wire n_3988;
+   wire n_3989;
+   wire n_3991;
+   wire n_3992;
+   wire n_3993;
+   wire n_3995;
+   wire n_3996;
+   wire n_3997;
+   wire n_3998;
+   wire n_3999;
+   wire n_4000;
+   wire n_4001;
+   wire n_4002;
+   wire n_4003;
+   wire n_4004;
+   wire n_4005;
+   wire n_4006;
+   wire n_4007;
+   wire n_4008;
+   wire n_4009;
+   wire n_4010;
+   wire n_4011;
+   wire n_4012;
+   wire n_4013;
+   wire n_4014;
+   wire n_4015;
+   wire n_4016;
+   wire n_4017;
+   wire n_4018;
+   wire n_4019;
+   wire n_4020;
+   wire n_4021;
+   wire n_4022;
+   wire n_4023;
+   wire n_4024;
+   wire n_4025;
+   wire n_4026;
+   wire n_4027;
+   wire n_4028;
+   wire n_4029;
+   wire n_4030;
+   wire n_4031;
+   wire n_4032;
+   wire n_4033;
+   wire n_4034;
+   wire n_4035;
+   wire n_4036;
+   wire n_4037;
+   wire n_4038;
+   wire n_4039;
+   wire n_4040;
+   wire n_4041;
+   wire n_4042;
+   wire n_4043;
+   wire n_4044;
+   wire n_4045;
+   wire n_4046;
+   wire n_4047;
+   wire n_4048;
+   wire n_4049;
+   wire n_4050;
+   wire n_4051;
+   wire n_4052;
+   wire n_4053;
+   wire n_4054;
+   wire n_4055;
+   wire n_4056;
+   wire n_4057;
+   wire n_4058;
+   wire n_4059;
+   wire n_4060;
+   wire n_4061;
+   wire n_4062;
+   wire n_4063;
+   wire n_4064;
+   wire n_4065;
+   wire n_4066;
+   wire n_4067;
+   wire n_4068;
+   wire n_4069;
+   wire n_4070;
+   wire n_4071;
+   wire n_4072;
+   wire n_4073;
+   wire n_4074;
+   wire n_4075;
+   wire n_4076;
+   wire n_4077;
+   wire n_4078;
+   wire n_4079;
+   wire n_4080;
+   wire n_4083;
+   wire n_4084;
+   wire n_4085;
+   wire n_4086;
+   wire n_4087;
+   wire n_4089;
+   wire n_4091;
+   wire n_4092;
+   wire n_4094;
+   wire n_4096;
+   wire n_4097;
+   wire n_4098;
+   wire n_4099;
+   wire n_4101;
+   wire n_4102;
+   wire n_4103;
+   wire n_4104;
+   wire n_4105;
+   wire n_4106;
+   wire n_4107;
+   wire n_4109;
+   wire n_4110;
+   wire n_4111;
+   wire n_4112;
+   wire n_4113;
+   wire n_4114;
+   wire n_4115;
+   wire n_4116;
+   wire n_4117;
+   wire n_4118;
+   wire n_4119;
+   wire n_4120;
+   wire n_4121;
+   wire n_4122;
+   wire n_4123;
+   wire n_4124;
+   wire n_4125;
+   wire n_4126;
+   wire n_4127;
+   wire n_4128;
+   wire n_4129;
+   wire n_4130;
+   wire n_4131;
+   wire n_4132;
+   wire n_4133;
+   wire n_4134;
+   wire n_4135;
+   wire n_4136;
+   wire n_4137;
+   wire n_4138;
+   wire n_4139;
+   wire n_4140;
+   wire n_4141;
+   wire n_4142;
+   wire n_4143;
+   wire n_4144;
+   wire n_4145;
+   wire n_4146;
+   wire n_4147;
+   wire n_4148;
+   wire n_4149;
+   wire n_4150;
+   wire n_4151;
+   wire n_4152;
+   wire n_4153;
+   wire n_4154;
+   wire n_4155;
+   wire n_4156;
+   wire n_4157;
+   wire n_4158;
+   wire n_4159;
+   wire n_4160;
+   wire n_4161;
+   wire n_4162;
+   wire n_4163;
+   wire n_4164;
+   wire n_4165;
+   wire n_4166;
+   wire n_4167;
+   wire n_4168;
+   wire n_4169;
+   wire n_4170;
+   wire n_4171;
+   wire n_4172;
+   wire n_4173;
+   wire n_4174;
+   wire n_4175;
+   wire n_4176;
+   wire n_4177;
+   wire n_4178;
+   wire n_4179;
+   wire n_4180;
+   wire n_4181;
+   wire n_4182;
+   wire n_4183;
+   wire n_4184;
+   wire n_4185;
+   wire n_4186;
+   wire n_4187;
+   wire n_4188;
+   wire n_4189;
+   wire n_4190;
+   wire n_4191;
+   wire n_4192;
+   wire n_4193;
+   wire n_4194;
+   wire n_4195;
+   wire n_4196;
+   wire n_4197;
+   wire n_4198;
+   wire n_4199;
+   wire n_4200;
+   wire n_4201;
+   wire n_4202;
+   wire n_4203;
+   wire n_4204;
+   wire n_4205;
+   wire n_4206;
+   wire n_4207;
+   wire n_4208;
+   wire n_4209;
+   wire n_4210;
+   wire n_4211;
+   wire n_4212;
+   wire n_4213;
+   wire n_4214;
+   wire n_4215;
+   wire n_4216;
+   wire n_4217;
+   wire n_4218;
+   wire n_4219;
+   wire n_4220;
+   wire n_4221;
+   wire n_4222;
+   wire n_4223;
+   wire n_4224;
+   wire n_4225;
+   wire n_4226;
+   wire n_4227;
+   wire n_4228;
+   wire n_4229;
+   wire n_4230;
+   wire n_4231;
+   wire n_4232;
+   wire n_4233;
+   wire n_4234;
+   wire n_4235;
+   wire n_4236;
+   wire n_4237;
+   wire n_4238;
+   wire n_4239;
+   wire n_4240;
+   wire n_4241;
+   wire n_4242;
+   wire n_4243;
+   wire n_4244;
+   wire n_4245;
+   wire n_4246;
+   wire n_4247;
+   wire n_4248;
+   wire n_4249;
+   wire n_4250;
+   wire n_4251;
+   wire n_4252;
+   wire n_4253;
+   wire n_4254;
+   wire n_4255;
+   wire n_4256;
+   wire n_4257;
+   wire n_4258;
+   wire n_4259;
+   wire n_4260;
+   wire n_4261;
+   wire n_4262;
+   wire n_4263;
+   wire n_4264;
+   wire n_4265;
+   wire n_4266;
+   wire n_4267;
+   wire n_4268;
+   wire n_4269;
+   wire n_4270;
+   wire n_4271;
+   wire n_4272;
+   wire n_4273;
+   wire n_4274;
+   wire n_4275;
+   wire n_4276;
+   wire n_4277;
+   wire n_4278;
+   wire n_4279;
+   wire n_4280;
+   wire n_4281;
+   wire n_4282;
+   wire n_4283;
+   wire n_4284;
+   wire n_4285;
+   wire n_4286;
+   wire n_4287;
+   wire n_4288;
+   wire n_4289;
+   wire n_4290;
+   wire n_4291;
+   wire n_4292;
+   wire n_4293;
+   wire n_4294;
+   wire n_4295;
+   wire n_4296;
+   wire n_4297;
+   wire n_4298;
+   wire n_4299;
+   wire n_4300;
+   wire n_4301;
+   wire n_4302;
+   wire n_4303;
+   wire n_4304;
+   wire n_4305;
+   wire n_4306;
+   wire n_4307;
+   wire n_4308;
+   wire n_4309;
+   wire n_4310;
+   wire n_4311;
+   wire n_4312;
+   wire n_4313;
+   wire n_4314;
+   wire n_4315;
+   wire n_4316;
+   wire n_4317;
+   wire n_4318;
+   wire n_4319;
+   wire n_4320;
+   wire n_4321;
+   wire n_4322;
+   wire n_4323;
+   wire n_4324;
+   wire n_4325;
+   wire n_4326;
+   wire n_4327;
+   wire n_4328;
+   wire n_4329;
+   wire n_4330;
+   wire n_4331;
+   wire n_4332;
+   wire n_4333;
+   wire n_4334;
+   wire n_4335;
+   wire n_4336;
+   wire n_4337;
+   wire n_4338;
+   wire n_4339;
+   wire n_4340;
+   wire n_4341;
+   wire n_4342;
+   wire n_4343;
+   wire n_4344;
+   wire n_4345;
+   wire n_4346;
+   wire n_4347;
+   wire n_4348;
+   wire n_4349;
+   wire n_4350;
+   wire n_4351;
+   wire n_4352;
+   wire n_4353;
+   wire n_4354;
+   wire n_4355;
+   wire n_4356;
+   wire n_4357;
+   wire n_4358;
+   wire n_4359;
+   wire n_4360;
+   wire n_4362;
+   wire n_4363;
+   wire n_4364;
+   wire n_4365;
+   wire n_4366;
+   wire n_4367;
+   wire n_4368;
+   wire n_4369;
+   wire n_4370;
+   wire n_4371;
+   wire n_4372;
+   wire n_4373;
+   wire n_4374;
+   wire n_4375;
+   wire n_4376;
+   wire n_4377;
+   wire n_4378;
+   wire n_4379;
+   wire n_4380;
+   wire n_4381;
+   wire n_4382;
+   wire n_4383;
+   wire n_4384;
+   wire n_4385;
+   wire n_4386;
+   wire n_4387;
+   wire n_4388;
+   wire n_4389;
+   wire n_4390;
+   wire n_4391;
+   wire n_4392;
+   wire n_4393;
+   wire n_4394;
+   wire n_4395;
+   wire n_4396;
+   wire n_4397;
+   wire n_4398;
+   wire n_4399;
+   wire n_4400;
+   wire n_4401;
+   wire n_4402;
+   wire n_4403;
+   wire n_4404;
+   wire n_4405;
+   wire n_4406;
+   wire n_4407;
+   wire n_4408;
+   wire n_4409;
+   wire n_4410;
+   wire n_4411;
+   wire n_4412;
+   wire n_4413;
+   wire n_4414;
+   wire n_4415;
+   wire n_4416;
+   wire n_4417;
+   wire n_4418;
+   wire n_4419;
+   wire n_4420;
+   wire n_4421;
+   wire n_4422;
+   wire n_4423;
+   wire n_4424;
+   wire n_4426;
+   wire n_4427;
+   wire n_4429;
+   wire n_4430;
+   wire n_4431;
+   wire n_4432;
+   wire n_4433;
+   wire n_4434;
+   wire n_4435;
+   wire n_4436;
+   wire n_4437;
+   wire n_4438;
+   wire n_4439;
+   wire n_4440;
+   wire n_4441;
+   wire n_4442;
+   wire n_4443;
+   wire n_4444;
+   wire n_4445;
+   wire n_4446;
+   wire n_4447;
+   wire n_4448;
+   wire n_4449;
+   wire n_4450;
+   wire n_4451;
+   wire n_4452;
+   wire n_4453;
+   wire n_4454;
+   wire n_4455;
+   wire n_4456;
+   wire n_4457;
+   wire n_4458;
+   wire n_4459;
+   wire n_4460;
+   wire n_4461;
+   wire n_4462;
+   wire n_4463;
+   wire n_4464;
+   wire n_4465;
+   wire n_4466;
+   wire n_4467;
+   wire n_4468;
+   wire n_4469;
+   wire n_4470;
+   wire n_4471;
+   wire n_4472;
+   wire n_4473;
+   wire n_4474;
+   wire n_4475;
+   wire n_4476;
+   wire n_4477;
+   wire n_4478;
+   wire n_4479;
+   wire n_4480;
+   wire n_4481;
+   wire n_4482;
+   wire n_4483;
+   wire n_4484;
+   wire n_4485;
+   wire n_4486;
+   wire n_4487;
+   wire n_4488;
+   wire n_4489;
+   wire n_4490;
+   wire n_4491;
+   wire n_4492;
+   wire n_4493;
+   wire n_4494;
+   wire n_4495;
+   wire n_4496;
+   wire n_4497;
+   wire n_4498;
+   wire n_4499;
+   wire n_4500;
+   wire n_4501;
+   wire n_4502;
+   wire n_4503;
+   wire n_4504;
+   wire n_4505;
+   wire n_4506;
+   wire n_4507;
+   wire n_4508;
+   wire n_4509;
+   wire n_4510;
+   wire n_4544;
+   wire n_4546;
+   wire n_4548;
+   wire n_4549;
+   wire n_4550;
+   wire n_4551;
+   wire n_4552;
+   wire n_4553;
+   wire n_4554;
+   wire n_4555;
+   wire n_4556;
+   wire n_4557;
+   wire n_4558;
+   wire n_4559;
+   wire n_4560;
+   wire n_4561;
+   wire n_4562;
+   wire n_4563;
+   wire n_4564;
+   wire n_4565;
+   wire n_4566;
+   wire n_4567;
+   wire n_4568;
+   wire n_4569;
+   wire n_4570;
+   wire n_4571;
+   wire n_4572;
+   wire n_4573;
+   wire n_4574;
+   wire n_4575;
+   wire n_4576;
+   wire n_4577;
+   wire n_4578;
+   wire n_4579;
+   wire n_4580;
+   wire n_4581;
+   wire n_4582;
+   wire n_4583;
+   wire n_4584;
+   wire n_4585;
+   wire n_4587;
+   wire n_4588;
+   wire n_4589;
+   wire n_4591;
+   wire n_4592;
+   wire n_4595;
+   wire n_4596;
+   wire n_4597;
+   wire n_4598;
+   wire n_4599;
+   wire n_4600;
+   wire n_4601;
+   wire n_4602;
+   wire n_4603;
+   wire n_4604;
+   wire n_4605;
+   wire n_4606;
+   wire n_4607;
+   wire n_4608;
+   wire n_4609;
+   wire n_4610;
+   wire n_4611;
+   wire n_4612;
+   wire n_4613;
+   wire n_4614;
+   wire n_4615;
+   wire n_4616;
+   wire n_4617;
+   wire n_4618;
+   wire n_4619;
+   wire n_4620;
+   wire n_4621;
+   wire n_4622;
+   wire n_4623;
+   wire n_4624;
+   wire n_4625;
+   wire n_4626;
+   wire n_4627;
+   wire n_4628;
+   wire n_4629;
+   wire n_4630;
+   wire n_4631;
+   wire n_4632;
+   wire n_4633;
+   wire n_4634;
+   wire n_4635;
+   wire n_4636;
+   wire n_4637;
+   wire n_4638;
+   wire n_4639;
+   wire n_4640;
+   wire n_4641;
+   wire n_4642;
+   wire n_4643;
+   wire n_4644;
+   wire n_4645;
+   wire n_4646;
+   wire n_4647;
+   wire n_4648;
+   wire n_4650;
+   wire n_4651;
+   wire n_4652;
+   wire n_4653;
+   wire n_4654;
+   wire n_4655;
+   wire n_4656;
+   wire n_4657;
+   wire n_4658;
+   wire n_4659;
+   wire n_4660;
+   wire n_4661;
+   wire n_4662;
+   wire n_4663;
+   wire n_4664;
+   wire n_4665;
+   wire n_4666;
+   wire n_4667;
+   wire n_4668;
+   wire n_4669;
+   wire n_4670;
+   wire n_4671;
+   wire n_4672;
+   wire n_4673;
+   wire n_4674;
+   wire n_4675;
+   wire n_4676;
+   wire n_4677;
+   wire n_4678;
+   wire n_4679;
+   wire n_4680;
+   wire n_4681;
+   wire n_4682;
+   wire n_4683;
+   wire n_4684;
+   wire n_4685;
+   wire n_4686;
+   wire n_4687;
+   wire n_4688;
+   wire n_4689;
+   wire n_4690;
+   wire n_4691;
+   wire n_4692;
+   wire n_4693;
+   wire n_4694;
+   wire n_4695;
+   wire n_4696;
+   wire n_4697;
+   wire n_4698;
+   wire n_4699;
+   wire n_4702;
+   wire n_4705;
+   wire n_4707;
+   wire n_4712;
+   wire n_4714;
+   wire n_4717;
+   wire n_4722;
+   wire n_4724;
+   wire n_4726;
+   wire n_4727;
+   wire n_4730;
+   wire n_4733;
+   wire n_4734;
+   wire n_4735;
+   wire n_4737;
+   wire n_4738;
+   wire n_4739;
+   wire n_4740;
+   wire n_4741;
+   wire n_4742;
+   wire n_4743;
+   wire n_4744;
+   wire n_4745;
+   wire n_4746;
+   wire n_4747;
+   wire n_4748;
+   wire n_4749;
+   wire n_4750;
+   wire n_4751;
+   wire n_4752;
+   wire n_4753;
+   wire n_4754;
+   wire n_4755;
+   wire n_4756;
+   wire n_4757;
+   wire n_4758;
+   wire n_4759;
+   wire n_4760;
+   wire n_4761;
+   wire n_4762;
+   wire n_4763;
+   wire n_4764;
+   wire n_4765;
+   wire n_4766;
+   wire n_4767;
+   wire n_4768;
+   wire n_4769;
+   wire n_4770;
+   wire n_4771;
+   wire n_4772;
+   wire n_4773;
+   wire n_4774;
+   wire n_4775;
+   wire n_4776;
+   wire n_4777;
+   wire n_4778;
+   wire n_4780;
+   wire n_4781;
+   wire n_4782;
+   wire n_4783;
+   wire n_4784;
+   wire n_4785;
+   wire n_4786;
+   wire n_4787;
+   wire n_4788;
+   wire n_4789;
+   wire n_4790;
+   wire n_4791;
+   wire n_4792;
+   wire n_4793;
+   wire n_4794;
+   wire n_4795;
+   wire n_4796;
+   wire n_4797;
+   wire n_4798;
+   wire n_4799;
+   wire n_4800;
+   wire n_4801;
+   wire n_4802;
+   wire n_4803;
+   wire n_4804;
+   wire n_4805;
+   wire n_4806;
+   wire n_4807;
+   wire n_4808;
+   wire n_4809;
+   wire n_4810;
+   wire n_4811;
+   wire n_4812;
+   wire n_4813;
+   wire n_4814;
+   wire n_4815;
+   wire n_4816;
+   wire n_4817;
+   wire n_4818;
+   wire n_4819;
+   wire n_4820;
+   wire n_4821;
+   wire n_4822;
+   wire n_4823;
+   wire n_4824;
+   wire n_4825;
+   wire n_4826;
+   wire n_4827;
+   wire n_4828;
+   wire n_4829;
+   wire n_4830;
+   wire n_4831;
+   wire n_4832;
+   wire n_4833;
+   wire n_4834;
+   wire n_4835;
+   wire n_4836;
+   wire n_4837;
+   wire n_4838;
+   wire n_4839;
+   wire n_4840;
+   wire n_4841;
+   wire n_4842;
+   wire n_4843;
+   wire n_4844;
+   wire n_4845;
+   wire n_4846;
+   wire n_4847;
+   wire n_4848;
+   wire n_4849;
+   wire n_4850;
+   wire n_4851;
+   wire n_4852;
+   wire n_4853;
+   wire n_4854;
+   wire n_4855;
+   wire n_4856;
+   wire n_4857;
+   wire n_4858;
+   wire n_4859;
+   wire n_4860;
+   wire n_4861;
+   wire n_4862;
+   wire n_4863;
+   wire n_4864;
+   wire n_4865;
+   wire n_4866;
+   wire n_4867;
+   wire n_4868;
+   wire n_4869;
+   wire n_4870;
+   wire n_4871;
+   wire n_4872;
+   wire n_4873;
+   wire n_4874;
+   wire n_4875;
+   wire n_4876;
+   wire n_4877;
+   wire n_4878;
+   wire n_4879;
+   wire n_4880;
+   wire n_4881;
+   wire n_4882;
+   wire n_4883;
+   wire n_4884;
+   wire n_4885;
+   wire n_4886;
+   wire n_4887;
+   wire n_4888;
+   wire n_4889;
+   wire n_4890;
+   wire n_4891;
+   wire n_4892;
+   wire n_4893;
+   wire n_4894;
+   wire n_4895;
+   wire n_4896;
+   wire n_4897;
+   wire n_4898;
+   wire n_4899;
+   wire n_4900;
+   wire n_4901;
+   wire n_4902;
+   wire n_4903;
+   wire n_4904;
+   wire n_4905;
+   wire n_4906;
+   wire n_4907;
+   wire n_4908;
+   wire n_4909;
+   wire n_4910;
+   wire n_4911;
+   wire n_4912;
+   wire n_4913;
+   wire n_4914;
+   wire n_4915;
+   wire n_4916;
+   wire n_4917;
+   wire n_4918;
+   wire n_4919;
+   wire n_4920;
+   wire n_4921;
+   wire n_4922;
+   wire n_4923;
+   wire n_4924;
+   wire n_4925;
+   wire n_4926;
+   wire n_4927;
+   wire n_4928;
+   wire n_4929;
+   wire n_4930;
+   wire n_4931;
+   wire n_4932;
+   wire n_4933;
+   wire n_4934;
+   wire n_4935;
+   wire n_4936;
+   wire n_4937;
+   wire n_4938;
+   wire n_4939;
+   wire n_4940;
+   wire n_4941;
+   wire n_4942;
+   wire n_4943;
+   wire n_4944;
+   wire n_4945;
+   wire n_4946;
+   wire n_4947;
+   wire n_4948;
+   wire n_4949;
+   wire n_4950;
+   wire n_4951;
+   wire n_4952;
+   wire n_4953;
+   wire n_4954;
+   wire n_4955;
+   wire n_4956;
+   wire n_4957;
+   wire n_4958;
+   wire n_4959;
+   wire n_4960;
+   wire n_4961;
+   wire n_4962;
+   wire n_4963;
+   wire n_4964;
+   wire n_4965;
+   wire n_4966;
+   wire n_4967;
+   wire n_4968;
+   wire n_4969;
+   wire n_4970;
+   wire n_4971;
+   wire n_4972;
+   wire n_4973;
+   wire n_4974;
+   wire n_4975;
+   wire n_4976;
+   wire n_4977;
+   wire n_4978;
+   wire n_4979;
+   wire n_4980;
+   wire n_4981;
+   wire n_4982;
+   wire n_4983;
+   wire n_4984;
+   wire n_4985;
+   wire n_4986;
+   wire n_4987;
+   wire n_4988;
+   wire n_4989;
+   wire n_4990;
+   wire n_4991;
+   wire n_4992;
+   wire n_4993;
+   wire n_4994;
+   wire n_4995;
+   wire n_4996;
+   wire n_4997;
+   wire n_4998;
+   wire n_4999;
+   wire n_5000;
+   wire n_5001;
+   wire n_5002;
+   wire n_5003;
+   wire n_5004;
+   wire n_5005;
+   wire n_5006;
+   wire n_5007;
+   wire n_5008;
+   wire n_5009;
+   wire n_5010;
+   wire n_5011;
+   wire n_5012;
+   wire n_5013;
+   wire n_5014;
+   wire n_5015;
+   wire n_5016;
+   wire n_5017;
+   wire n_5018;
+   wire n_5019;
+   wire n_5020;
+   wire n_5021;
+   wire n_5022;
+   wire n_5023;
+   wire n_5024;
+   wire n_5025;
+   wire n_5026;
+   wire n_5027;
+   wire n_5028;
+   wire n_5029;
+   wire n_5030;
+   wire n_5031;
+   wire n_5032;
+   wire n_5033;
+   wire n_5034;
+   wire n_5035;
+   wire n_5036;
+   wire n_5037;
+   wire n_5038;
+   wire n_5039;
+   wire n_5040;
+   wire n_5041;
+   wire n_5042;
+   wire n_5043;
+   wire n_5044;
+   wire n_5045;
+   wire n_5046;
+   wire n_5047;
+   wire n_5048;
+   wire n_5049;
+   wire n_5050;
+   wire n_5051;
+   wire n_5052;
+   wire n_5053;
+   wire n_5054;
+   wire n_5055;
+   wire n_5056;
+   wire n_5057;
+   wire n_5058;
+   wire n_5059;
+   wire n_5060;
+   wire n_5061;
+   wire n_5062;
+   wire n_5063;
+   wire n_5064;
+   wire n_5065;
+   wire n_5066;
+   wire n_5067;
+   wire n_5068;
+   wire n_5069;
+   wire n_5070;
+   wire n_5071;
+   wire n_5072;
+   wire n_5073;
+   wire n_5074;
+   wire n_5075;
+   wire n_5076;
+   wire n_5077;
+   wire n_5078;
+   wire n_5079;
+   wire n_5080;
+   wire n_5081;
+   wire n_5082;
+   wire n_5083;
+   wire n_5084;
+   wire n_5085;
+   wire n_5086;
+   wire n_5087;
+   wire n_5088;
+   wire n_5089;
+   wire n_5090;
+   wire n_5091;
+   wire n_5092;
+   wire n_5093;
+   wire n_5094;
+   wire n_5095;
+   wire n_5096;
+   wire n_5097;
+   wire n_5098;
+   wire n_5099;
+   wire n_5100;
+   wire n_5101;
+   wire n_5102;
+   wire n_5103;
+   wire n_5104;
+   wire n_5105;
+   wire n_5106;
+   wire n_5107;
+   wire n_5108;
+   wire n_5109;
+   wire n_5110;
+   wire n_5111;
+   wire n_5112;
+   wire n_5113;
+   wire n_5114;
+   wire n_5115;
+   wire n_5116;
+   wire n_5117;
+   wire n_5118;
+   wire n_5119;
+   wire n_5120;
+   wire n_5121;
+   wire n_5122;
+   wire n_5123;
+   wire n_5124;
+   wire n_5125;
+   wire n_5126;
+   wire n_5127;
+   wire n_5128;
+   wire n_5129;
+   wire n_5130;
+   wire n_5131;
+   wire n_5132;
+   wire n_5133;
+   wire n_5134;
+   wire n_5135;
+   wire n_5136;
+   wire n_5137;
+   wire n_5138;
+   wire n_5139;
+   wire n_5140;
+   wire n_5141;
+   wire n_5142;
+   wire n_5143;
+   wire n_5144;
+   wire n_5145;
+   wire n_5146;
+   wire n_5147;
+   wire n_5148;
+   wire n_5149;
+   wire n_5150;
+   wire n_5151;
+   wire n_5152;
+   wire n_5153;
+   wire n_5154;
+   wire n_5155;
+   wire n_5156;
+   wire n_5157;
+   wire n_5158;
+   wire n_5159;
+   wire n_5160;
+   wire n_5161;
+   wire n_5162;
+   wire n_5163;
+   wire n_5164;
+   wire n_5165;
+   wire n_5166;
+   wire n_5167;
+   wire n_5168;
+   wire n_5169;
+   wire n_5170;
+   wire n_5171;
+   wire n_5172;
+   wire n_5173;
+   wire n_5174;
+   wire n_5175;
+   wire n_5176;
+   wire n_5177;
+   wire n_5178;
+   wire n_5179;
+   wire n_5180;
+   wire n_5181;
+   wire n_5182;
+   wire n_5183;
+   wire n_5184;
+   wire n_5185;
+   wire n_5186;
+   wire n_5187;
+   wire n_5188;
+   wire n_5189;
+   wire n_5190;
+   wire n_5191;
+   wire n_5192;
+   wire n_5193;
+   wire n_5194;
+   wire n_5195;
+   wire n_5196;
+   wire n_5197;
+   wire n_5198;
+   wire n_5199;
+   wire n_5200;
+   wire n_5201;
+   wire n_5202;
+   wire n_5203;
+   wire n_5204;
+   wire n_5205;
+   wire n_5206;
+   wire n_5207;
+   wire n_5208;
+   wire n_5209;
+   wire n_5210;
+   wire n_5211;
+   wire n_5212;
+   wire n_5213;
+   wire n_5214;
+   wire n_5215;
+   wire n_5216;
+   wire n_5217;
+   wire n_5218;
+   wire n_5219;
+   wire n_5220;
+   wire n_5221;
+   wire n_5222;
+   wire n_5223;
+   wire n_5224;
+   wire n_5225;
+   wire n_5226;
+   wire n_5227;
+   wire n_5228;
+   wire n_5229;
+   wire n_5230;
+   wire n_5231;
+   wire n_5232;
+   wire n_5233;
+   wire n_5234;
+   wire n_5235;
+   wire n_5236;
+   wire n_5237;
+   wire n_5238;
+   wire n_5239;
+   wire n_5240;
+   wire n_5241;
+   wire n_5242;
+   wire n_5243;
+   wire n_5244;
+   wire n_5245;
+   wire n_5246;
+   wire n_5247;
+   wire n_5248;
+   wire n_5249;
+   wire n_5250;
+   wire n_5251;
+   wire n_5252;
+   wire n_5253;
+   wire n_5254;
+   wire n_5255;
+   wire n_5256;
+   wire n_5257;
+   wire n_5258;
+   wire n_5259;
+   wire n_5260;
+   wire n_5261;
+   wire n_5262;
+   wire n_5263;
+   wire n_5264;
+   wire n_5265;
+   wire n_5266;
+   wire n_5267;
+   wire n_5268;
+   wire n_5269;
+   wire n_5270;
+   wire n_5271;
+   wire n_5272;
+   wire n_5273;
+   wire n_5274;
+   wire n_5275;
+   wire n_5276;
+   wire n_5277;
+   wire n_5278;
+   wire n_5279;
+   wire n_5280;
+   wire n_5281;
+   wire n_5282;
+   wire n_5283;
+   wire n_5284;
+   wire n_5285;
+   wire n_5286;
+   wire n_5287;
+   wire n_5288;
+   wire n_5289;
+   wire n_5290;
+   wire n_5291;
+   wire n_5292;
+   wire n_5293;
+   wire n_5294;
+   wire n_5295;
+   wire n_5296;
+   wire n_5297;
+   wire n_5298;
+   wire n_5299;
+   wire n_5300;
+   wire n_5301;
+   wire n_5302;
+   wire n_5303;
+   wire n_5304;
+   wire n_5305;
+   wire n_5306;
+   wire n_5307;
+   wire n_5308;
+   wire n_5309;
+   wire n_5310;
+   wire n_5311;
+   wire n_5312;
+   wire n_5313;
+   wire n_5314;
+   wire n_5315;
+   wire n_5316;
+   wire n_5317;
+   wire n_5318;
+   wire n_5319;
+   wire n_5320;
+   wire n_5321;
+   wire n_5322;
+   wire n_5323;
+   wire n_5324;
+   wire n_5325;
+   wire n_5326;
+   wire n_5327;
+   wire n_5328;
+   wire n_5329;
+   wire n_5330;
+   wire n_5331;
+   wire n_5332;
+   wire n_5333;
+   wire n_5334;
+   wire n_5335;
+   wire n_5336;
+   wire n_5337;
+   wire n_5338;
+   wire n_5339;
+   wire n_5340;
+   wire n_5341;
+   wire n_5342;
+   wire n_5343;
+   wire n_5344;
+   wire n_5345;
+   wire n_5346;
+   wire n_5347;
+   wire n_5348;
+   wire n_5349;
+   wire n_5350;
+   wire n_5351;
+   wire n_5352;
+   wire n_5353;
+   wire n_5354;
+   wire n_5355;
+   wire n_5356;
+   wire n_5357;
+   wire n_5358;
+   wire n_5359;
+   wire n_5360;
+   wire n_5361;
+   wire n_5362;
+   wire n_5363;
+   wire n_5364;
+   wire n_5365;
+   wire n_5366;
+   wire n_5367;
+   wire n_5368;
+   wire n_5369;
+   wire n_5370;
+   wire n_5371;
+   wire n_5372;
+   wire n_5373;
+   wire n_5374;
+   wire n_5375;
+   wire n_5376;
+   wire n_5377;
+   wire n_5378;
+   wire n_5379;
+   wire n_5380;
+   wire n_5381;
+   wire n_5382;
+   wire n_5383;
+   wire n_5384;
+   wire n_5385;
+   wire n_5386;
+   wire n_5387;
+   wire n_5388;
+   wire n_5389;
+   wire n_5390;
+   wire n_5391;
+   wire n_5392;
+   wire n_5393;
+   wire n_5394;
+   wire n_5395;
+   wire n_5396;
+   wire n_5397;
+   wire n_5398;
+   wire n_5399;
+   wire n_5400;
+   wire n_5401;
+   wire n_5402;
+   wire n_5403;
+   wire n_5404;
+   wire n_5405;
+   wire n_5406;
+   wire n_5407;
+   wire n_5408;
+   wire n_5409;
+   wire n_5410;
+   wire n_5411;
+   wire n_5412;
+   wire n_5413;
+   wire n_5414;
+   wire n_5415;
+   wire n_5416;
+   wire n_5417;
+   wire n_5418;
+   wire n_5419;
+   wire n_5420;
+   wire n_5421;
+   wire n_5422;
+   wire n_5423;
+   wire n_5424;
+   wire n_5425;
+   wire n_5426;
+   wire n_5427;
+   wire n_5428;
+   wire n_5429;
+   wire n_5430;
+   wire n_5431;
+   wire n_5432;
+   wire n_5433;
+   wire n_5434;
+   wire n_5435;
+   wire n_5436;
+   wire n_5437;
+   wire n_5438;
+   wire n_5439;
+   wire n_5440;
+   wire n_5441;
+   wire n_5442;
+   wire n_5443;
+   wire n_5444;
+   wire n_5445;
+   wire n_5446;
+   wire n_5447;
+   wire n_5448;
+   wire n_5449;
+   wire n_5450;
+   wire n_5451;
+   wire n_5452;
+   wire n_5453;
+   wire n_5454;
+   wire n_5455;
+   wire n_5456;
+   wire n_5457;
+   wire n_5458;
+   wire n_5459;
+   wire n_5460;
+   wire n_5461;
+   wire n_5462;
+   wire n_5463;
+   wire n_5464;
+   wire n_5465;
+   wire n_5466;
+   wire n_5467;
+   wire n_5468;
+   wire n_5469;
+   wire n_5470;
+   wire n_5471;
+   wire n_5472;
+   wire n_5473;
+   wire n_5474;
+   wire n_5475;
+   wire n_5476;
+   wire n_5477;
+   wire n_5478;
+   wire n_5479;
+   wire n_5480;
+   wire n_5481;
+   wire n_5482;
+   wire n_5483;
+   wire n_5484;
+   wire n_5485;
+   wire n_5486;
+   wire n_5487;
+   wire n_5488;
+   wire n_5489;
+   wire n_5490;
+   wire n_5491;
+   wire n_5492;
+   wire n_5493;
+   wire n_5494;
+   wire n_5495;
+   wire n_5496;
+   wire n_5497;
+   wire n_5498;
+   wire n_5499;
+   wire n_5500;
+   wire n_5501;
+   wire n_5502;
+   wire n_5503;
+   wire n_5504;
+   wire n_5505;
+   wire n_5506;
+   wire n_5507;
+   wire n_5508;
+   wire n_5509;
+   wire n_5510;
+   wire n_5511;
+   wire n_5512;
+   wire n_5513;
+   wire n_5514;
+   wire n_5515;
+   wire n_5516;
+   wire n_5517;
+   wire n_5518;
+   wire n_5519;
+   wire n_5520;
+   wire n_5521;
+   wire n_5522;
+   wire n_5523;
+   wire n_5524;
+   wire n_5525;
+   wire n_5526;
+   wire n_5527;
+   wire n_5528;
+   wire n_5529;
+   wire n_5530;
+   wire n_5531;
+   wire n_5532;
+   wire n_5533;
+   wire n_5534;
+   wire n_5535;
+   wire n_5536;
+   wire n_5537;
+   wire n_5538;
+   wire n_5539;
+   wire n_5540;
+   wire n_5541;
+   wire n_5542;
+   wire n_5543;
+   wire n_5544;
+   wire n_5545;
+   wire n_5546;
+   wire n_5547;
+   wire n_5548;
+   wire n_5549;
+   wire n_5550;
+   wire n_5551;
+   wire n_5552;
+   wire n_5553;
+   wire n_5554;
+   wire n_5555;
+   wire n_5556;
+   wire n_5557;
+   wire n_5558;
+   wire n_5559;
+   wire n_5560;
+   wire n_5561;
+   wire n_5562;
+   wire n_5563;
+   wire n_5564;
+   wire n_5565;
+   wire n_5566;
+   wire n_5567;
+   wire n_5568;
+   wire n_5569;
+   wire n_5570;
+   wire n_5571;
+   wire n_5572;
+   wire n_5573;
+   wire n_5574;
+   wire n_5575;
+   wire n_5576;
+   wire n_5577;
+   wire n_5578;
+   wire n_5579;
+   wire n_5580;
+   wire n_5581;
+   wire n_5582;
+   wire n_5583;
+   wire n_5584;
+   wire n_5585;
+   wire n_5586;
+   wire n_5587;
+   wire n_5588;
+   wire n_5589;
+   wire n_5590;
+   wire n_5591;
+   wire n_5592;
+   wire n_5593;
+   wire n_5594;
+   wire n_5595;
+   wire n_5596;
+   wire n_5597;
+   wire n_5598;
+   wire n_5599;
+   wire n_5600;
+   wire n_5601;
+   wire n_5602;
+   wire n_5603;
+   wire n_5604;
+   wire n_5605;
+   wire n_5606;
+   wire n_5607;
+   wire n_5608;
+   wire n_5609;
+   wire n_5610;
+   wire n_5611;
+   wire n_5612;
+   wire n_5613;
+   wire n_5614;
+   wire n_5615;
+   wire n_5616;
+   wire n_5617;
+   wire n_5618;
+   wire n_5619;
+   wire n_5620;
+   wire n_5621;
+   wire n_5622;
+   wire n_5623;
+   wire n_5624;
+   wire n_5625;
+   wire n_5626;
+   wire n_5627;
+   wire n_5628;
+   wire n_5629;
+   wire n_5630;
+   wire n_5631;
+   wire n_5632;
+   wire n_5633;
+   wire n_5634;
+   wire n_5635;
+   wire n_5636;
+   wire n_5637;
+   wire n_5638;
+   wire n_5639;
+   wire n_5640;
+   wire n_5641;
+   wire n_5642;
+   wire n_5643;
+   wire n_5644;
+   wire n_5645;
+   wire n_5646;
+   wire n_5647;
+   wire n_5648;
+   wire n_5649;
+   wire n_5650;
+   wire n_5651;
+   wire n_5652;
+   wire n_5653;
+   wire n_5654;
+   wire n_5655;
+   wire n_5656;
+   wire n_5657;
+   wire n_5658;
+   wire n_5659;
+   wire n_5660;
+   wire n_5661;
+   wire n_5662;
+   wire n_5663;
+   wire n_5664;
+   wire n_5665;
+   wire n_5666;
+   wire n_5667;
+   wire n_5668;
+   wire n_5669;
+   wire n_5670;
+   wire n_5671;
+   wire n_5672;
+   wire n_5673;
+   wire n_5674;
+   wire n_5675;
+   wire n_5676;
+   wire n_5677;
+   wire n_5678;
+   wire n_5679;
+   wire n_5680;
+   wire n_5681;
+   wire n_5682;
+   wire n_5683;
+   wire n_5684;
+   wire n_5685;
+   wire n_5686;
+   wire n_5687;
+   wire n_5688;
+   wire n_5689;
+   wire n_5690;
+   wire n_5691;
+   wire n_5692;
+   wire n_5693;
+   wire n_5694;
+   wire n_5695;
+   wire n_5696;
+   wire n_5697;
+   wire n_5698;
+   wire n_5699;
+   wire n_5700;
+   wire n_5701;
+   wire n_5702;
+   wire n_5703;
+   wire n_5704;
+   wire n_5705;
+   wire n_5706;
+   wire n_5707;
+   wire n_5708;
+   wire n_5709;
+   wire n_5710;
+   wire n_5711;
+   wire n_5712;
+   wire n_5713;
+   wire n_5714;
+   wire n_5715;
+   wire n_5716;
+   wire n_5717;
+   wire n_5718;
+   wire n_5719;
+   wire n_5720;
+   wire n_5721;
+   wire n_5722;
+   wire n_5723;
+   wire n_5724;
+   wire n_5725;
+   wire n_5726;
+   wire n_5727;
+   wire n_5728;
+   wire n_5729;
+   wire n_5730;
+   wire n_5731;
+   wire n_5732;
+   wire n_5733;
+   wire n_5734;
+   wire n_5735;
+   wire n_5736;
+   wire n_5737;
+   wire n_5738;
+   wire n_5739;
+   wire n_5740;
+   wire n_5741;
+   wire n_5742;
+   wire n_5743;
+   wire n_5744;
+   wire n_5745;
+   wire n_5746;
+   wire n_5747;
+   wire n_5748;
+   wire n_5749;
+   wire n_5750;
+   wire n_5751;
+   wire n_5752;
+   wire n_5753;
+   wire n_5754;
+   wire n_5755;
+   wire n_5756;
+   wire n_5757;
+   wire n_5758;
+   wire n_5759;
+   wire n_5760;
+   wire n_5761;
+   wire n_5762;
+   wire n_5763;
+   wire n_5764;
+   wire n_5765;
+   wire n_5766;
+   wire n_5767;
+   wire n_5768;
+   wire n_5769;
+   wire n_5770;
+   wire n_5771;
+   wire n_5772;
+   wire n_5773;
+   wire n_5774;
+   wire n_5775;
+   wire n_5776;
+   wire n_5777;
+   wire n_5778;
+   wire n_5779;
+   wire n_5780;
+   wire n_5781;
+   wire n_5782;
+   wire n_5783;
+   wire n_5784;
+   wire n_5785;
+   wire n_5786;
+   wire n_5787;
+   wire n_5788;
+   wire n_5789;
+   wire n_5790;
+   wire n_5791;
+   wire n_5792;
+   wire n_5793;
+   wire n_5794;
+   wire n_5795;
+   wire n_5796;
+   wire n_5797;
+   wire n_5798;
+   wire n_5799;
+   wire n_5800;
+   wire n_5801;
+   wire n_5802;
+   wire n_5803;
+   wire n_5804;
+   wire n_5805;
+   wire n_5806;
+   wire n_5807;
+   wire n_5808;
+   wire n_5809;
+   wire n_5810;
+   wire n_5811;
+   wire n_5812;
+   wire n_5813;
+   wire n_5814;
+   wire n_5815;
+   wire n_5816;
+   wire n_5817;
+   wire n_5818;
+   wire n_5819;
+   wire n_5820;
+   wire n_5821;
+   wire n_5822;
+   wire n_5823;
+   wire n_5824;
+   wire n_5825;
+   wire n_5826;
+   wire n_5827;
+   wire n_5828;
+   wire n_5829;
+   wire n_5830;
+   wire n_5831;
+   wire n_5832;
+   wire n_5833;
+   wire n_5834;
+   wire n_5835;
+   wire n_5836;
+   wire n_5837;
+   wire n_5838;
+   wire n_5839;
+   wire n_5840;
+   wire n_5841;
+   wire n_5842;
+   wire n_5843;
+   wire n_5844;
+   wire n_5845;
+   wire n_5846;
+   wire n_5847;
+   wire n_5848;
+   wire n_5849;
+   wire n_5850;
+   wire n_5851;
+   wire n_5852;
+   wire n_5853;
+   wire n_5854;
+   wire n_5855;
+   wire n_5856;
+   wire n_5857;
+   wire n_5858;
+   wire n_5859;
+   wire n_5860;
+   wire n_5861;
+   wire n_5862;
+   wire n_5863;
+   wire n_5864;
+   wire n_5865;
+   wire n_5866;
+   wire n_5867;
+   wire n_5868;
+   wire n_5869;
+   wire n_5870;
+   wire n_5871;
+   wire n_5872;
+   wire n_5873;
+   wire n_5874;
+   wire n_5875;
+   wire n_5876;
+   wire n_5877;
+   wire n_5878;
+   wire n_5879;
+   wire n_5880;
+   wire n_5881;
+   wire n_5882;
+   wire n_5883;
+   wire n_5884;
+   wire n_5885;
+   wire n_5886;
+   wire n_5887;
+   wire n_5888;
+   wire n_5889;
+   wire n_5890;
+   wire n_5891;
+   wire n_5892;
+   wire n_5893;
+   wire n_5894;
+   wire n_5895;
+   wire n_5896;
+   wire n_5897;
+   wire n_5898;
+   wire n_5899;
+   wire n_5900;
+   wire n_5901;
+   wire n_5902;
+   wire n_5903;
+   wire n_5904;
+   wire n_5905;
+   wire n_5906;
+   wire n_5907;
+   wire n_5908;
+   wire n_5909;
+   wire n_5910;
+   wire n_5911;
+   wire n_5912;
+   wire n_5913;
+   wire n_5914;
+   wire n_5915;
+   wire n_5916;
+   wire n_5917;
+   wire n_5918;
+   wire n_5919;
+   wire n_5920;
+   wire n_5921;
+   wire n_5922;
+   wire n_5923;
+   wire n_5924;
+   wire n_5925;
+   wire n_5926;
+   wire n_5927;
+   wire n_5928;
+   wire n_5929;
+   wire n_5930;
+   wire n_5931;
+   wire n_5932;
+   wire n_5933;
+   wire n_5934;
+   wire n_5935;
+   wire n_5936;
+   wire n_5937;
+   wire n_5938;
+   wire n_5939;
+   wire n_5940;
+   wire n_5941;
+   wire n_5942;
+   wire n_5943;
+   wire n_5944;
+   wire n_5945;
+   wire n_5946;
+   wire n_5947;
+   wire n_5948;
+   wire n_5949;
+   wire n_5950;
+   wire n_5951;
+   wire n_5952;
+   wire n_5953;
+   wire n_5954;
+   wire n_5955;
+   wire n_5956;
+   wire n_5957;
+   wire n_5958;
+   wire n_5959;
+   wire n_5960;
+   wire n_5961;
+   wire n_5962;
+   wire n_5963;
+   wire n_5964;
+   wire n_5965;
+   wire n_5966;
+   wire n_5967;
+   wire n_5968;
+   wire n_5969;
+   wire n_5970;
+   wire n_5971;
+   wire n_5972;
+   wire n_5973;
+   wire n_5974;
+   wire n_5975;
+   wire n_5976;
+   wire n_5977;
+   wire n_5978;
+   wire n_5979;
+   wire n_5980;
+   wire n_5981;
+   wire n_5982;
+   wire n_5983;
+   wire n_5984;
+   wire n_5985;
+   wire n_5986;
+   wire n_5987;
+   wire n_5988;
+   wire n_5989;
+   wire n_5990;
+   wire n_5991;
+   wire n_5992;
+   wire n_5993;
+   wire n_5994;
+   wire n_5995;
+   wire n_5996;
+   wire n_5997;
+   wire n_5998;
+   wire n_5999;
+   wire n_6000;
+   wire n_6001;
+   wire n_6002;
+   wire n_6003;
+   wire n_6004;
+   wire n_6005;
+   wire n_6006;
+   wire n_6007;
+   wire n_6008;
+   wire n_6009;
+   wire n_6010;
+   wire n_6011;
+   wire n_6012;
+   wire n_6013;
+   wire n_6014;
+   wire n_6015;
+   wire n_6016;
+   wire n_6017;
+   wire n_6018;
+   wire n_6019;
+   wire n_6020;
+   wire n_6021;
+   wire n_6022;
+   wire n_6023;
+   wire n_6024;
+   wire n_6025;
+   wire n_6026;
+   wire n_6027;
+   wire n_6028;
+   wire n_6029;
+   wire n_6030;
+   wire n_6031;
+   wire n_6032;
+   wire n_6033;
+   wire n_6034;
+   wire n_6035;
+   wire n_6036;
+   wire n_6037;
+   wire n_6038;
+   wire n_6039;
+   wire n_6040;
+   wire n_6041;
+   wire n_6042;
+   wire n_6043;
+   wire n_6044;
+   wire n_6045;
+   wire n_6046;
+   wire n_6047;
+   wire n_6048;
+   wire n_6049;
+   wire n_6050;
+   wire n_6051;
+   wire n_6052;
+   wire n_6053;
+   wire n_6054;
+   wire n_6055;
+   wire n_6056;
+   wire n_6057;
+   wire n_6058;
+   wire n_6059;
+   wire n_6060;
+   wire n_6061;
+   wire n_6062;
+   wire n_6063;
+   wire n_6064;
+   wire n_6065;
+   wire n_6066;
+   wire n_6067;
+   wire n_6068;
+   wire n_6069;
+   wire n_6070;
+   wire n_6071;
+   wire n_6072;
+   wire n_6073;
+   wire n_6074;
+   wire n_6075;
+   wire n_6076;
+   wire n_6077;
+   wire n_6078;
+   wire n_6079;
+   wire n_6080;
+   wire n_6081;
+   wire n_6082;
+   wire n_6083;
+   wire n_6084;
+   wire n_6085;
+   wire n_6086;
+   wire n_6087;
+   wire n_6088;
+   wire n_6089;
+   wire n_6090;
+   wire n_6091;
+   wire n_6092;
+   wire n_6093;
+   wire n_6094;
+   wire n_6095;
+   wire n_6096;
+   wire n_6097;
+   wire n_6098;
+   wire n_6099;
+   wire n_6100;
+   wire n_6101;
+   wire n_6102;
+   wire n_6103;
+   wire n_6104;
+   wire n_6105;
+   wire n_6106;
+   wire n_6107;
+   wire n_6108;
+   wire n_6109;
+   wire n_6110;
+   wire n_6111;
+   wire n_6112;
+   wire n_6113;
+   wire n_6114;
+   wire n_6115;
+   wire n_6116;
+   wire n_6117;
+   wire n_6118;
+   wire n_6119;
+   wire n_6120;
+   wire n_6121;
+   wire n_6122;
+   wire n_6123;
+   wire n_6124;
+   wire n_6125;
+   wire n_6126;
+   wire n_6127;
+   wire n_6128;
+   wire n_6129;
+   wire n_6130;
+   wire n_6131;
+   wire n_6132;
+   wire n_6133;
+   wire n_6134;
+   wire n_6135;
+   wire n_6136;
+   wire n_6137;
+   wire n_6138;
+   wire n_6139;
+   wire n_6140;
+   wire n_6141;
+   wire n_6142;
+   wire n_6143;
+   wire n_6144;
+   wire n_6145;
+   wire n_6146;
+   wire n_6147;
+   wire n_6148;
+   wire n_6149;
+   wire n_6150;
+   wire n_6151;
+   wire n_6152;
+   wire n_6153;
+   wire n_6154;
+   wire n_6155;
+   wire n_6156;
+   wire n_6157;
+   wire n_6158;
+   wire n_6159;
+   wire n_6160;
+   wire n_6161;
+   wire n_6162;
+   wire n_6163;
+   wire n_6164;
+   wire n_6165;
+   wire n_6166;
+   wire n_6167;
+   wire n_6168;
+   wire n_6169;
+   wire n_6170;
+   wire n_6171;
+   wire n_6172;
+   wire n_6173;
+   wire n_6174;
+   wire n_6175;
+   wire n_6176;
+   wire n_6177;
+   wire n_6178;
+   wire n_6179;
+   wire n_6180;
+   wire n_6181;
+   wire n_6182;
+   wire n_6183;
+   wire n_6184;
+   wire n_6185;
+   wire n_6186;
+   wire n_6187;
+   wire n_6188;
+   wire n_6189;
+   wire n_6190;
+   wire n_6191;
+   wire n_6192;
+   wire n_6193;
+   wire n_6194;
+   wire n_6195;
+   wire n_6196;
+   wire n_6197;
+   wire n_6198;
+   wire n_6199;
+   wire n_6200;
+   wire n_6201;
+   wire n_6202;
+   wire n_6203;
+   wire n_6204;
+   wire n_6205;
+   wire n_6206;
+   wire n_6207;
+   wire n_6208;
+   wire n_6209;
+   wire n_6210;
+   wire n_6211;
+   wire n_6212;
+   wire n_6213;
+   wire n_6214;
+   wire n_6215;
+   wire n_6216;
+   wire n_6217;
+   wire n_6218;
+   wire n_6219;
+   wire n_6220;
+   wire n_6221;
+   wire n_6222;
+   wire n_6223;
+   wire n_6224;
+   wire n_6225;
+   wire n_6226;
+   wire n_6227;
+   wire n_6228;
+   wire n_6229;
+   wire n_6230;
+   wire n_6231;
+   wire n_6232;
+   wire n_6233;
+   wire n_6234;
+   wire n_6235;
+   wire n_6236;
+   wire n_6237;
+   wire n_6238;
+   wire n_6239;
+   wire n_6240;
+   wire n_6241;
+   wire n_6242;
+   wire n_6243;
+   wire n_6244;
+   wire n_6245;
+   wire n_6246;
+   wire n_6247;
+   wire n_6248;
+   wire n_6249;
+   wire n_6250;
+   wire n_6251;
+   wire n_6252;
+   wire n_6253;
+   wire n_6254;
+   wire n_6255;
+   wire n_6256;
+   wire n_6257;
+   wire n_6258;
+   wire n_6259;
+   wire n_6260;
+   wire n_6261;
+   wire n_6262;
+   wire n_6263;
+   wire n_6264;
+   wire n_6265;
+   wire n_6266;
+   wire n_6267;
+   wire n_6268;
+   wire n_6269;
+   wire n_6270;
+   wire n_6271;
+   wire n_6272;
+   wire n_6273;
+   wire n_6274;
+   wire n_6275;
+   wire n_6276;
+   wire n_6277;
+   wire n_6278;
+   wire n_6279;
+   wire n_6280;
+   wire n_6281;
+   wire n_6282;
+   wire n_6283;
+   wire n_6284;
+   wire n_6285;
+   wire n_6286;
+   wire n_6287;
+   wire n_6288;
+   wire n_6289;
+   wire n_6290;
+   wire n_6291;
+   wire n_6292;
+   wire n_6293;
+   wire n_6294;
+   wire n_6295;
+   wire n_6296;
+   wire n_6297;
+   wire n_6298;
+   wire n_6299;
+   wire n_6300;
+   wire n_6301;
+   wire n_6302;
+   wire n_6303;
+   wire n_6304;
+   wire n_6305;
+   wire n_6306;
+   wire n_6307;
+   wire n_6308;
+   wire n_6309;
+   wire n_6310;
+   wire n_6311;
+   wire n_6312;
+   wire n_6313;
+   wire n_6314;
+   wire n_6315;
+   wire n_6316;
+   wire n_6317;
+   wire n_6318;
+   wire n_6319;
+   wire n_6320;
+   wire n_6321;
+   wire n_6322;
+   wire n_6323;
+   wire n_6324;
+   wire n_6325;
+   wire n_6326;
+   wire n_6327;
+   wire n_6328;
+   wire n_6329;
+   wire n_6330;
+   wire n_6331;
+   wire n_6332;
+   wire n_6333;
+   wire n_6334;
+   wire n_6335;
+   wire n_6336;
+   wire n_6337;
+   wire n_6338;
+   wire n_6339;
+   wire n_6340;
+   wire n_6341;
+   wire n_6342;
+   wire n_6343;
+   wire n_6344;
+   wire n_6345;
+   wire n_6346;
+   wire n_6347;
+   wire n_6348;
+   wire n_6349;
+   wire n_6350;
+   wire n_6351;
+   wire n_6352;
+   wire n_6353;
+   wire n_6354;
+   wire n_6355;
+   wire n_6356;
+   wire n_6357;
+   wire n_6358;
+   wire n_6359;
+   wire n_6360;
+   wire n_6361;
+   wire n_6362;
+   wire n_6363;
+   wire n_6364;
+   wire n_6365;
+   wire n_6366;
+   wire n_6367;
+   wire n_6368;
+   wire n_6369;
+   wire n_6370;
+   wire n_6371;
+   wire n_6372;
+   wire n_6373;
+   wire n_6374;
+   wire n_6375;
+   wire n_6376;
+   wire n_6377;
+   wire n_6378;
+   wire n_6379;
+   wire n_6380;
+   wire n_6381;
+   wire n_6382;
+   wire n_6383;
+   wire n_6384;
+   wire n_6385;
+   wire n_6386;
+   wire n_6387;
+   wire n_6388;
+   wire n_6389;
+   wire n_6390;
+   wire n_6391;
+   wire n_6392;
+   wire n_6393;
+   wire n_6394;
+   wire n_6395;
+   wire n_6396;
+   wire n_6397;
+   wire n_6398;
+   wire n_6399;
+   wire n_6400;
+   wire n_6401;
+   wire n_6402;
+   wire n_6403;
+   wire n_6404;
+   wire n_6405;
+   wire n_6406;
+   wire n_6407;
+   wire n_6408;
+   wire n_6409;
+   wire n_6410;
+   wire n_6411;
+   wire n_6412;
+   wire n_6413;
+   wire n_6414;
+   wire n_6415;
+   wire n_6416;
+   wire n_6417;
+   wire n_6418;
+   wire n_6419;
+   wire n_6420;
+   wire n_6421;
+   wire n_6422;
+   wire n_6423;
+   wire n_6424;
+   wire n_6425;
+   wire n_6426;
+   wire n_6427;
+   wire n_6428;
+   wire n_6429;
+   wire n_6430;
+   wire n_6431;
+   wire n_6432;
+   wire n_6433;
+   wire n_6434;
+   wire n_6435;
+   wire n_6436;
+   wire n_6437;
+   wire n_6438;
+   wire n_6439;
+   wire n_6440;
+   wire n_6441;
+   wire n_6442;
+   wire n_6443;
+   wire n_6444;
+   wire n_6445;
+   wire n_6446;
+   wire n_6447;
+   wire n_6448;
+   wire n_6449;
+   wire n_6450;
+   wire n_6451;
+   wire n_6452;
+   wire n_6453;
+   wire n_6454;
+   wire n_6455;
+   wire n_6456;
+   wire n_6457;
+   wire n_6458;
+   wire n_6459;
+   wire n_6460;
+   wire n_6461;
+   wire n_6462;
+   wire n_6463;
+   wire n_6464;
+   wire n_6465;
+   wire n_6466;
+   wire n_6467;
+   wire n_6468;
+   wire n_6469;
+   wire n_6470;
+   wire n_6471;
+   wire n_6472;
+   wire n_6473;
+   wire n_6474;
+   wire n_6475;
+   wire n_6476;
+   wire n_6477;
+   wire n_6478;
+   wire n_6479;
+   wire n_6480;
+   wire n_6481;
+   wire n_6482;
+   wire n_6483;
+   wire n_6484;
+   wire n_6485;
+   wire n_6486;
+   wire n_6487;
+   wire n_6488;
+   wire n_6489;
+   wire n_6490;
+   wire n_6491;
+   wire n_6492;
+   wire n_6493;
+   wire n_6494;
+   wire n_6495;
+   wire n_6496;
+   wire n_6497;
+   wire n_6498;
+   wire n_6499;
+   wire n_6500;
+   wire n_6501;
+   wire n_6502;
+   wire n_6503;
+   wire n_6504;
+   wire n_6505;
+   wire n_6506;
+   wire n_6507;
+   wire n_6508;
+   wire n_6509;
+   wire n_6510;
+   wire n_6511;
+   wire n_6512;
+   wire n_6513;
+   wire n_6514;
+   wire n_6515;
+   wire n_6516;
+   wire n_6517;
+   wire n_6518;
+   wire n_6519;
+   wire n_6520;
+   wire n_6521;
+   wire n_6522;
+   wire n_6523;
+   wire n_6524;
+   wire n_6525;
+   wire n_6526;
+   wire n_6527;
+   wire n_6528;
+   wire n_6529;
+   wire n_6530;
+   wire n_6531;
+   wire n_6532;
+   wire n_6533;
+   wire n_6534;
+   wire n_6535;
+   wire n_6536;
+   wire n_6537;
+   wire n_6538;
+   wire n_6539;
+   wire n_6540;
+   wire n_6541;
+   wire n_6542;
+   wire n_6543;
+   wire n_6544;
+   wire n_6545;
+   wire n_6546;
+   wire n_6547;
+   wire n_6548;
+   wire n_6549;
+   wire n_6550;
+   wire n_6551;
+   wire n_6552;
+   wire n_6553;
+   wire n_6554;
+   wire n_6555;
+   wire n_6556;
+   wire n_6557;
+   wire n_6558;
+   wire n_6559;
+   wire n_6560;
+   wire n_6561;
+   wire n_6562;
+   wire n_6563;
+   wire n_6564;
+   wire n_6565;
+   wire n_6566;
+   wire n_6567;
+   wire n_6568;
+   wire n_6569;
+   wire n_6570;
+   wire n_6571;
+   wire n_6572;
+   wire n_6573;
+   wire n_6574;
+   wire n_6575;
+   wire n_6576;
+   wire n_6577;
+   wire n_6578;
+   wire n_6579;
+   wire n_6580;
+   wire n_6581;
+   wire n_6582;
+   wire n_6583;
+   wire n_6584;
+   wire n_6585;
+   wire n_6586;
+   wire n_6587;
+   wire n_6588;
+   wire n_6589;
+   wire n_6590;
+   wire n_6591;
+   wire n_6592;
+   wire n_6593;
+   wire n_6594;
+   wire n_6595;
+   wire n_6596;
+   wire n_6597;
+   wire n_6598;
+   wire n_6599;
+   wire n_6600;
+   wire n_6601;
+   wire n_6602;
+   wire n_6603;
+   wire n_6604;
+   wire n_6605;
+   wire n_6606;
+   wire n_6607;
+   wire n_6608;
+   wire n_6609;
+   wire n_6610;
+   wire n_6611;
+   wire n_6612;
+   wire n_6613;
+   wire n_6614;
+   wire n_6615;
+   wire n_6616;
+   wire n_6617;
+   wire n_6618;
+   wire n_6619;
+   wire n_6620;
+   wire n_6621;
+   wire n_6622;
+   wire n_6623;
+   wire n_6624;
+   wire n_6625;
+   wire n_6626;
+   wire n_6627;
+   wire n_6628;
+   wire n_6629;
+   wire n_6630;
+   wire n_6631;
+   wire n_6632;
+   wire n_6633;
+   wire n_6634;
+   wire n_6635;
+   wire n_6636;
+   wire n_6637;
+   wire n_6638;
+   wire n_6639;
+   wire n_6640;
+   wire n_6641;
+   wire n_6642;
+   wire n_6643;
+   wire n_6644;
+   wire n_6645;
+   wire n_6646;
+   wire n_6647;
+   wire n_6648;
+   wire n_6649;
+   wire n_6650;
+   wire n_6651;
+   wire n_6652;
+   wire n_6653;
+   wire n_6654;
+   wire n_6655;
+   wire n_6656;
+   wire n_6657;
+   wire n_6658;
+   wire n_6659;
+   wire n_6660;
+   wire n_6661;
+   wire n_6662;
+   wire n_6663;
+   wire n_6664;
+   wire n_6665;
+   wire n_6666;
+   wire n_6667;
+   wire n_6668;
+   wire n_6669;
+   wire n_6670;
+   wire n_6671;
+   wire n_6672;
+   wire n_6673;
+   wire n_6674;
+   wire n_6675;
+   wire n_6676;
+   wire n_6677;
+   wire n_6678;
+   wire n_6679;
+   wire n_6680;
+   wire n_6681;
+   wire n_6682;
+   wire n_6683;
+   wire n_6684;
+   wire n_6685;
+   wire n_6686;
+   wire n_6687;
+   wire n_6688;
+   wire n_6689;
+   wire n_6690;
+   wire n_6691;
+   wire n_6692;
+   wire n_6693;
+   wire n_6694;
+   wire n_6695;
+   wire n_6696;
+   wire n_6697;
+   wire n_6699;
+   wire n_6700;
+   wire n_6701;
+   wire n_6702;
+   wire n_6703;
+   wire n_6704;
+   wire n_6705;
+   wire n_6706;
+   wire n_6708;
+   wire n_6709;
+   wire n_6710;
+   wire n_6711;
+   wire n_6713;
+   wire n_6714;
+   wire n_6715;
+   wire n_6716;
+   wire n_6717;
+   wire n_6718;
+   wire n_6719;
+   wire n_6720;
+   wire n_6721;
+   wire n_6722;
+   wire n_6723;
+   wire n_6724;
+   wire n_6725;
+   wire n_6726;
+   wire n_6727;
+   wire n_6728;
+   wire n_6729;
+   wire n_6730;
+   wire n_6731;
+   wire n_6732;
+   wire n_6733;
+   wire n_6734;
+   wire n_6735;
+   wire n_6736;
+   wire n_6737;
+   wire n_6738;
+   wire n_6739;
+   wire n_6740;
+   wire n_6741;
+   wire n_6742;
+   wire n_6743;
+   wire n_6744;
+   wire n_6745;
+   wire n_6746;
+   wire n_6747;
+   wire n_6748;
+   wire n_6749;
+   wire n_6750;
+   wire n_6751;
+   wire n_6752;
+   wire n_6753;
+   wire n_6754;
+   wire n_6755;
+   wire n_6756;
+   wire n_6757;
+   wire n_6758;
+   wire n_6759;
+   wire n_6760;
+   wire n_6761;
+   wire n_6762;
+   wire n_6763;
+   wire n_6764;
+   wire n_6765;
+   wire n_6766;
+   wire n_6767;
+   wire n_6768;
+   wire n_6769;
+   wire n_6770;
+   wire n_6771;
+   wire n_6772;
+   wire n_6773;
+   wire n_6774;
+   wire n_6775;
+   wire n_6776;
+   wire n_6777;
+   wire n_6778;
+   wire n_6779;
+   wire n_6780;
+   wire n_6781;
+   wire n_6782;
+   wire n_6783;
+   wire n_6784;
+   wire n_6785;
+   wire n_6786;
+   wire n_6787;
+   wire n_6788;
+   wire n_6789;
+   wire n_6790;
+   wire n_6791;
+   wire n_6792;
+   wire n_6793;
+   wire n_6796;
+   wire n_6797;
+   wire n_6798;
+   wire n_6799;
+   wire n_6800;
+   wire n_6801;
+   wire n_6802;
+   wire n_6803;
+   wire n_6804;
+   wire n_6805;
+   wire n_6806;
+   wire n_6807;
+   wire n_6808;
+   wire n_6809;
+   wire n_6810;
+   wire n_6811;
+   wire n_6812;
+   wire n_6813;
+   wire n_6814;
+   wire n_6815;
+   wire n_6816;
+   wire n_6817;
+   wire n_6818;
+   wire n_6819;
+   wire n_6820;
+   wire n_6821;
+   wire n_6822;
+   wire n_6823;
+   wire n_6824;
+   wire n_6825;
+   wire n_6826;
+   wire n_6827;
+   wire n_6828;
+   wire n_6829;
+   wire n_6830;
+   wire n_6831;
+   wire n_6832;
+   wire n_6833;
+   wire n_6834;
+   wire n_6835;
+   wire n_6836;
+   wire n_6837;
+   wire n_6838;
+   wire n_6839;
+   wire n_6840;
+   wire n_6841;
+   wire n_6842;
+   wire n_6843;
+   wire n_6844;
+   wire n_6845;
+   wire n_6846;
+   wire n_6847;
+   wire n_6848;
+   wire n_6849;
+   wire n_6850;
+   wire n_6851;
+   wire n_6852;
+   wire n_6853;
+   wire n_6854;
+   wire n_6855;
+   wire n_6857;
+   wire n_6858;
+   wire n_6859;
+   wire n_6860;
+   wire n_6861;
+   wire n_6862;
+   wire n_6863;
+   wire n_6864;
+   wire n_6865;
+   wire n_6866;
+   wire n_6867;
+   wire n_6868;
+   wire n_6869;
+   wire n_6870;
+   wire n_6871;
+   wire n_6872;
+   wire n_6873;
+   wire n_6874;
+   wire n_6875;
+   wire n_6876;
+   wire n_6877;
+   wire n_6878;
+   wire n_6879;
+   wire n_6880;
+   wire n_6881;
+   wire n_6882;
+   wire n_6883;
+   wire n_6884;
+   wire n_6885;
+   wire n_6886;
+   wire n_6887;
+   wire n_6888;
+   wire n_6889;
+   wire n_6890;
+   wire n_6891;
+   wire n_6892;
+   wire n_6893;
+   wire n_6894;
+   wire n_6895;
+   wire n_6896;
+   wire n_6897;
+   wire n_6898;
+   wire n_6899;
+   wire n_6900;
+   wire n_6901;
+   wire n_6902;
+   wire n_6903;
+   wire n_6904;
+   wire n_6905;
+   wire n_6906;
+   wire n_6907;
+   wire n_6908;
+   wire n_6909;
+   wire n_6910;
+   wire n_6911;
+   wire n_6912;
+   wire n_6913;
+   wire n_6914;
+   wire n_6915;
+   wire n_6916;
+   wire n_6917;
+   wire n_6918;
+   wire n_6919;
+   wire n_6920;
+   wire n_6921;
+   wire n_6922;
+   wire n_6923;
+   wire n_6924;
+   wire n_6925;
+   wire n_6926;
+   wire n_6927;
+   wire n_6928;
+   wire n_6929;
+   wire n_6930;
+   wire n_6931;
+   wire n_6932;
+   wire n_6933;
+   wire n_6934;
+   wire n_6935;
+   wire n_6936;
+   wire n_6937;
+   wire n_6938;
+   wire n_6939;
+   wire n_6940;
+   wire n_6941;
+   wire n_6942;
+   wire n_6943;
+   wire n_6944;
+   wire n_6945;
+   wire n_6946;
+   wire n_6947;
+   wire n_6948;
+   wire n_6949;
+   wire n_6950;
+   wire n_6951;
+   wire n_6952;
+   wire n_6953;
+   wire n_6954;
+   wire n_6955;
+   wire n_6956;
+   wire n_6957;
+   wire n_6958;
+   wire n_6959;
+   wire n_6960;
+   wire n_6961;
+   wire n_6962;
+   wire n_6963;
+   wire n_6964;
+   wire n_6965;
+   wire n_6966;
+   wire n_6967;
+   wire n_6968;
+   wire n_6969;
+   wire n_6970;
+   wire n_6971;
+   wire n_6972;
+   wire n_6973;
+   wire n_6974;
+   wire n_6975;
+   wire n_6976;
+   wire n_6977;
+   wire n_6978;
+   wire n_6979;
+   wire n_6980;
+   wire n_6981;
+   wire n_6982;
+   wire n_6983;
+   wire n_6984;
+   wire n_6985;
+   wire n_6986;
+   wire n_6987;
+   wire n_6988;
+   wire n_6989;
+   wire n_6990;
+   wire n_6991;
+   wire n_6992;
+   wire n_6993;
+   wire n_6994;
+   wire n_6995;
+   wire n_6996;
+   wire n_6997;
+   wire n_6998;
+   wire n_6999;
+   wire n_7000;
+   wire n_7001;
+   wire n_7002;
+   wire n_7003;
+   wire n_7004;
+   wire n_7005;
+   wire n_7006;
+   wire n_7007;
+   wire n_7008;
+   wire n_7009;
+   wire n_7010;
+   wire n_7011;
+   wire n_7012;
+   wire n_7013;
+   wire n_7014;
+   wire n_7015;
+   wire n_7016;
+   wire n_7017;
+   wire n_7018;
+   wire n_7019;
+   wire n_7020;
+   wire n_7021;
+   wire n_7022;
+   wire n_7023;
+   wire n_7024;
+   wire n_7025;
+   wire n_7026;
+   wire n_7027;
+   wire n_7028;
+   wire n_7029;
+   wire n_7030;
+   wire n_7031;
+   wire n_7032;
+   wire n_7033;
+   wire n_7034;
+   wire n_7035;
+   wire n_7036;
+   wire n_7037;
+   wire n_7038;
+   wire n_7039;
+   wire n_7040;
+   wire n_7041;
+   wire n_7042;
+   wire n_7044;
+   wire n_7045;
+   wire n_7046;
+   wire n_7047;
+   wire n_7048;
+   wire n_7049;
+   wire n_7050;
+   wire n_7051;
+   wire n_7052;
+   wire n_7053;
+   wire n_7054;
+   wire n_7055;
+   wire n_7056;
+   wire n_7060;
+   wire n_7068;
+   wire n_7069;
+   wire n_7076;
+   wire n_7077;
+   wire n_7078;
+   wire n_7079;
+   wire n_7081;
+   wire n_7082;
+   wire n_7083;
+   wire n_7084;
+   wire n_7085;
+   wire n_7086;
+   wire n_7087;
+   wire n_7088;
+   wire n_7089;
+   wire n_7090;
+   wire n_7091;
+   wire n_7092;
+   wire n_7093;
+   wire n_7094;
+   wire n_7095;
+   wire n_7096;
+   wire n_7097;
+   wire n_7098;
+   wire n_7099;
+   wire n_7100;
+   wire n_7101;
+   wire n_7102;
+   wire n_7103;
+   wire n_7104;
+   wire n_7105;
+   wire n_7106;
+   wire n_7107;
+   wire n_7108;
+   wire n_7109;
+   wire n_7110;
+   wire n_7111;
+   wire n_7112;
+   wire n_7113;
+   wire n_7114;
+   wire n_7115;
+   wire n_7116;
+   wire n_7117;
+   wire n_7118;
+   wire n_7120;
+   wire n_7121;
+   wire n_7122;
+   wire n_7124;
+   wire n_7125;
+   wire n_7126;
+   wire n_7127;
+   wire n_7128;
+   wire n_7129;
+   wire n_7130;
+   wire n_7131;
+   wire n_7132;
+   wire n_7133;
+   wire n_7134;
+   wire n_7135;
+   wire n_7136;
+   wire n_7137;
+   wire n_7138;
+   wire n_7139;
+   wire n_7140;
+   wire n_7141;
+   wire n_7142;
+   wire n_7143;
+   wire n_7144;
+   wire n_7145;
+   wire n_7146;
+   wire n_7147;
+   wire n_7148;
+   wire n_7149;
+   wire n_7150;
+   wire n_7151;
+   wire n_7152;
+   wire n_7153;
+   wire n_7154;
+   wire n_7155;
+   wire n_7156;
+   wire n_7157;
+   wire n_7158;
+   wire n_7160;
+   wire n_7161;
+   wire n_7162;
+   wire n_7163;
+   wire n_7164;
+   wire n_7165;
+   wire n_7166;
+   wire n_7169;
+   wire n_7170;
+   wire n_7171;
+   wire n_7172;
+   wire n_7173;
+   wire n_7174;
+   wire n_7175;
+   wire n_7176;
+   wire n_7177;
+   wire n_7178;
+   wire n_7179;
+   wire n_7180;
+   wire n_7181;
+   wire n_7182;
+   wire n_7183;
+   wire n_7184;
+   wire n_7185;
+   wire n_7186;
+   wire n_7187;
+   wire n_7188;
+   wire n_7189;
+   wire n_7190;
+   wire n_7191;
+   wire n_7192;
+   wire n_7193;
+   wire n_7194;
+   wire n_7195;
+   wire n_7196;
+   wire n_7197;
+   wire n_7199;
+   wire n_7200;
+   wire n_7201;
+   wire n_7202;
+   wire n_7203;
+   wire n_7204;
+   wire n_7205;
+   wire n_7206;
+   wire n_7208;
+   wire n_7210;
+   wire n_7211;
+   wire n_7212;
+   wire n_7213;
+   wire n_7214;
+   wire n_7215;
+   wire n_7216;
+   wire n_7217;
+   wire n_7218;
+   wire n_7219;
+   wire n_7220;
+   wire n_7221;
+   wire n_7222;
+   wire n_7223;
+   wire n_7224;
+   wire n_7225;
+   wire n_7226;
+   wire n_7227;
+   wire n_7228;
+   wire n_7229;
+   wire n_7230;
+   wire n_7231;
+   wire n_7232;
+   wire n_7236;
+   wire n_7242;
+   wire n_7247;
+   wire n_7248;
+   wire n_7250;
+   wire n_7252;
+   wire n_7253;
+   wire n_7254;
+   wire n_7255;
+   wire n_7256;
+   wire n_7257;
+   wire n_7258;
+   wire n_7259;
+   wire n_7260;
+   wire n_7261;
+   wire n_7262;
+   wire n_7263;
+   wire n_7264;
+   wire n_7265;
+   wire n_7266;
+   wire n_7267;
+   wire n_7268;
+   wire n_7269;
+   wire n_7270;
+   wire n_7271;
+   wire n_7272;
+   wire n_7273;
+   wire n_7274;
+   wire n_7275;
+   wire n_7276;
+   wire n_7277;
+   wire n_7278;
+   wire n_7279;
+   wire n_7280;
+   wire n_7281;
+   wire n_7282;
+   wire n_7283;
+   wire n_7284;
+   wire n_7285;
+   wire n_7286;
+   wire n_7287;
+   wire n_7288;
+   wire n_7289;
+   wire n_7290;
+   wire n_7291;
+   wire n_7292;
+   wire n_7293;
+   wire n_7294;
+   wire n_7295;
+   wire n_7296;
+   wire n_7297;
+   wire n_7298;
+   wire n_7299;
+   wire n_7300;
+   wire n_7301;
+   wire n_7302;
+   wire n_7303;
+   wire n_7304;
+   wire n_7305;
+   wire n_7306;
+   wire n_7307;
+   wire n_7308;
+   wire n_7309;
+   wire n_7310;
+   wire n_7311;
+   wire n_7312;
+   wire n_7313;
+   wire n_7314;
+   wire n_7315;
+   wire n_7316;
+   wire n_7317;
+   wire n_7318;
+   wire n_7319;
+   wire n_7320;
+   wire n_7321;
+   wire n_7322;
+   wire n_7324;
+   wire n_7325;
+   wire n_7326;
+   wire n_7327;
+   wire n_7328;
+   wire n_7329;
+   wire n_7330;
+   wire n_7331;
+   wire n_7332;
+   wire n_7333;
+   wire n_7334;
+   wire n_7335;
+   wire n_7336;
+   wire n_7337;
+   wire n_7338;
+   wire n_7339;
+   wire n_7340;
+   wire n_7341;
+   wire n_7342;
+   wire n_7343;
+   wire n_7344;
+   wire n_7345;
+   wire n_7346;
+   wire n_7347;
+   wire n_7348;
+   wire n_7349;
+   wire n_7350;
+   wire n_7351;
+   wire n_7352;
+   wire n_7353;
+   wire n_7354;
+   wire n_7355;
+   wire n_7356;
+   wire n_7357;
+   wire n_7358;
+   wire n_7359;
+   wire n_7361;
+   wire n_7362;
+   wire n_7363;
+   wire n_7364;
+   wire n_7365;
+   wire n_7366;
+   wire n_7367;
+   wire n_7368;
+   wire n_7369;
+   wire n_7370;
+   wire n_7371;
+   wire n_7372;
+   wire n_7373;
+   wire n_7374;
+   wire n_7375;
+   wire n_7376;
+   wire n_7377;
+   wire n_7378;
+   wire n_7379;
+   wire n_7380;
+   wire n_7381;
+   wire n_7382;
+   wire n_7383;
+   wire n_7384;
+   wire n_7386;
+   wire n_7387;
+   wire n_7388;
+   wire n_7389;
+   wire n_7390;
+   wire n_7391;
+   wire n_7392;
+   wire n_7393;
+   wire n_7394;
+   wire n_7395;
+   wire n_7396;
+   wire n_7397;
+   wire n_7398;
+   wire n_7399;
+   wire n_7400;
+   wire n_7401;
+   wire n_7402;
+   wire n_7403;
+   wire n_7404;
+   wire n_7405;
+   wire n_7406;
+   wire n_7407;
+   wire n_7408;
+   wire n_7409;
+   wire n_7410;
+   wire n_7411;
+   wire n_7412;
+   wire n_7413;
+   wire n_7414;
+   wire n_7415;
+   wire n_7416;
+   wire n_7417;
+   wire n_7418;
+   wire n_7419;
+   wire n_7420;
+   wire n_7422;
+   wire n_7423;
+   wire n_7424;
+   wire n_7425;
+   wire n_7426;
+   wire n_7427;
+   wire n_7428;
+   wire n_7429;
+   wire n_7430;
+   wire n_7431;
+   wire n_7432;
+   wire n_7433;
+   wire n_7434;
+   wire n_7435;
+   wire n_7436;
+   wire n_7437;
+   wire n_7438;
+   wire n_7439;
+   wire n_7440;
+   wire n_7441;
+   wire n_7442;
+   wire n_7443;
+   wire n_7444;
+   wire n_7445;
+   wire n_7446;
+   wire n_7447;
+   wire n_7448;
+   wire n_7449;
+   wire n_7450;
+   wire n_7451;
+   wire n_7452;
+   wire n_7453;
+   wire n_7454;
+   wire n_7455;
+   wire n_7456;
+   wire n_7457;
+   wire n_7458;
+   wire n_7459;
+   wire n_7460;
+   wire n_7461;
+   wire n_7462;
+   wire n_7463;
+   wire n_7464;
+   wire n_7465;
+   wire n_7466;
+   wire n_7467;
+   wire n_7468;
+   wire n_7469;
+   wire n_7470;
+   wire n_7471;
+   wire n_7472;
+   wire n_7473;
+   wire n_7474;
+   wire n_7475;
+   wire n_7476;
+   wire n_7477;
+   wire n_7478;
+   wire n_7479;
+   wire n_7480;
+   wire n_7481;
+   wire n_7482;
+   wire n_7483;
+   wire n_7484;
+   wire n_7485;
+   wire n_7486;
+   wire n_7487;
+   wire n_7488;
+   wire n_7489;
+   wire n_7490;
+   wire n_7491;
+   wire n_7492;
+   wire n_7493;
+   wire n_7494;
+   wire n_7495;
+   wire n_7496;
+   wire n_7497;
+   wire n_7498;
+   wire n_7499;
+   wire n_7500;
+   wire n_7501;
+   wire n_7502;
+   wire n_7503;
+   wire n_7504;
+   wire n_7505;
+   wire n_7506;
+   wire n_7507;
+   wire n_7508;
+   wire n_7509;
+   wire n_7510;
+   wire n_7511;
+   wire n_7512;
+   wire n_7513;
+   wire n_7514;
+   wire n_7515;
+   wire n_7516;
+   wire n_7517;
+   wire n_7518;
+   wire n_7519;
+   wire n_7520;
+   wire n_7521;
+   wire n_7522;
+   wire n_7523;
+   wire n_7524;
+   wire n_7525;
+   wire n_7526;
+   wire n_7527;
+   wire n_7528;
+   wire n_7529;
+   wire n_7530;
+   wire n_7531;
+   wire n_7532;
+   wire n_7533;
+   wire n_7534;
+   wire n_7535;
+   wire n_7536;
+   wire n_7537;
+   wire n_7538;
+   wire n_7539;
+   wire n_7540;
+   wire n_7541;
+   wire n_7542;
+   wire n_7543;
+   wire n_7544;
+   wire n_7545;
+   wire n_7546;
+   wire n_7547;
+   wire n_7548;
+   wire n_7549;
+   wire n_7550;
+   wire n_7551;
+   wire n_7552;
+   wire n_7553;
+   wire n_7554;
+   wire n_7555;
+   wire n_7556;
+   wire n_7557;
+   wire n_7558;
+   wire n_7559;
+   wire n_7560;
+   wire n_7561;
+   wire n_7562;
+   wire n_7563;
+   wire n_7564;
+   wire n_7565;
+   wire n_7566;
+   wire n_7567;
+   wire n_7568;
+   wire n_7569;
+   wire n_7570;
+   wire n_7571;
+   wire n_7572;
+   wire n_7573;
+   wire n_7574;
+   wire n_7575;
+   wire n_7576;
+   wire n_7577;
+   wire n_7578;
+   wire n_7579;
+   wire n_7581;
+   wire n_7582;
+   wire n_7583;
+   wire n_7584;
+   wire n_7585;
+   wire n_7586;
+   wire n_7587;
+   wire n_7588;
+   wire n_7589;
+   wire n_7590;
+   wire n_7591;
+   wire n_7592;
+   wire n_7593;
+   wire n_7594;
+   wire n_7595;
+   wire n_7596;
+   wire n_7597;
+   wire n_7598;
+   wire n_7599;
+   wire n_7600;
+   wire n_7601;
+   wire n_7602;
+   wire n_7603;
+   wire n_7604;
+   wire n_7605;
+   wire n_7606;
+   wire n_7607;
+   wire n_7608;
+   wire n_7609;
+   wire n_7610;
+   wire n_7611;
+   wire n_7612;
+   wire n_7613;
+   wire n_7614;
+   wire n_7615;
+   wire n_7618;
+   wire n_7620;
+   wire n_7621;
+   wire n_7622;
+   wire n_7623;
+   wire n_7624;
+   wire n_7625;
+   wire n_7626;
+   wire n_7627;
+   wire n_7628;
+   wire n_7629;
+   wire n_7631;
+   wire n_7632;
+   wire n_7634;
+   wire n_7635;
+   wire n_7636;
+   wire n_7637;
+   wire n_7638;
+   wire n_7639;
+   wire n_7640;
+   wire n_7642;
+   wire n_7644;
+   wire n_7645;
+   wire n_7646;
+   wire n_7647;
+   wire n_7648;
+   wire n_7649;
+   wire n_7650;
+   wire n_7651;
+   wire n_7653;
+   wire n_7654;
+   wire n_7655;
+   wire n_7656;
+   wire n_7657;
+   wire n_7659;
+   wire n_7660;
+   wire n_7661;
+   wire n_7662;
+   wire n_7663;
+   wire n_7664;
+   wire n_7665;
+   wire n_7666;
+   wire n_7667;
+   wire n_7668;
+   wire n_7669;
+   wire n_7670;
+   wire n_7671;
+   wire n_7672;
+   wire n_7673;
+   wire n_7674;
+   wire n_7675;
+   wire n_7676;
+   wire n_7677;
+   wire n_7678;
+   wire n_7679;
+   wire n_7682;
+   wire n_7683;
+   wire n_7685;
+   wire n_7686;
+   wire n_7687;
+   wire n_7688;
+   wire n_7689;
+   wire n_7690;
+   wire n_7692;
+   wire n_7693;
+   wire n_7694;
+   wire n_7696;
+   wire n_7697;
+   wire n_7698;
+   wire n_7699;
+   wire n_7700;
+   wire n_7701;
+   wire n_7702;
+   wire n_7703;
+   wire n_7704;
+   wire n_7705;
+   wire n_7706;
+   wire n_7707;
+   wire n_7708;
+   wire n_7709;
+   wire n_7710;
+   wire n_7711;
+   wire n_7712;
+   wire n_7713;
+   wire n_7714;
+   wire n_7715;
+   wire n_7716;
+   wire n_7717;
+   wire n_7718;
+   wire n_7719;
+   wire n_7720;
+   wire n_7721;
+   wire n_7722;
+   wire n_7723;
+   wire n_7724;
+   wire n_7725;
+   wire n_7726;
+   wire n_7727;
+   wire n_7728;
+   wire n_7729;
+   wire n_7730;
+   wire n_7731;
+   wire n_7732;
+   wire n_7733;
+   wire n_7734;
+   wire n_7735;
+   wire n_7736;
+   wire n_7737;
+   wire n_7738;
+   wire n_7739;
+   wire n_7740;
+   wire n_7741;
+   wire n_7742;
+   wire n_7743;
+   wire n_7744;
+   wire n_7745;
+   wire n_7746;
+   wire n_7747;
+   wire n_7748;
+   wire n_7749;
+   wire n_7750;
+   wire n_7751;
+   wire n_7752;
+   wire n_7753;
+   wire n_7754;
+   wire n_7755;
+   wire n_7756;
+   wire n_7757;
+   wire n_7758;
+   wire n_7759;
+   wire n_7760;
+   wire n_7761;
+   wire n_7762;
+   wire n_7763;
+   wire n_7764;
+   wire n_7765;
+   wire n_7766;
+   wire n_7767;
+   wire n_7768;
+   wire n_7769;
+   wire n_7770;
+   wire n_7771;
+   wire n_7772;
+   wire n_7773;
+   wire n_7774;
+   wire n_7775;
+   wire n_7776;
+   wire n_7777;
+   wire n_7778;
+   wire n_7779;
+   wire n_7780;
+   wire n_7781;
+   wire n_7782;
+   wire n_7783;
+   wire n_7784;
+   wire n_7785;
+   wire n_7786;
+   wire n_7787;
+   wire n_7788;
+   wire n_7789;
+   wire n_7790;
+   wire n_7791;
+   wire n_7793;
+   wire n_7794;
+   wire n_7795;
+   wire n_7796;
+   wire n_7797;
+   wire n_7798;
+   wire n_7799;
+   wire n_7800;
+   wire n_7801;
+   wire n_7803;
+   wire n_7804;
+   wire n_7805;
+   wire n_7806;
+   wire n_7807;
+   wire n_7808;
+   wire n_7809;
+   wire n_7810;
+   wire n_7811;
+   wire n_7813;
+   wire n_7814;
+   wire n_7815;
+   wire n_7816;
+   wire n_7817;
+   wire n_7818;
+   wire n_7819;
+   wire n_7821;
+   wire n_7822;
+   wire n_7823;
+   wire n_7824;
+   wire n_7825;
+   wire n_7826;
+   wire n_7827;
+   wire n_7828;
+   wire n_7829;
+   wire n_7830;
+   wire n_7831;
+   wire n_7832;
+   wire n_7833;
+   wire n_7834;
+   wire n_7835;
+   wire n_7836;
+   wire n_7837;
+   wire n_7838;
+   wire n_7839;
+   wire n_7840;
+   wire n_7841;
+   wire n_7842;
+   wire n_7843;
+   wire n_7844;
+   wire n_7845;
+   wire n_7846;
+   wire n_7847;
+   wire n_7848;
+   wire n_7849;
+   wire n_7850;
+   wire n_7851;
+   wire n_7852;
+   wire n_7853;
+   wire n_7854;
+   wire n_7855;
+   wire n_7856;
+   wire n_7857;
+   wire n_7858;
+   wire n_7859;
+   wire n_7860;
+   wire n_7861;
+   wire n_7862;
+   wire n_7863;
+   wire n_7864;
+   wire n_7865;
+   wire n_7866;
+   wire n_7867;
+   wire n_7868;
+   wire n_7869;
+   wire n_7870;
+   wire n_7871;
+   wire n_7872;
+   wire n_7873;
+   wire n_7874;
+   wire n_7875;
+   wire n_7876;
+   wire n_7877;
+   wire n_7878;
+   wire n_7879;
+   wire n_7880;
+   wire n_7881;
+   wire n_7882;
+   wire n_7883;
+   wire n_7884;
+   wire n_7885;
+   wire n_7886;
+   wire n_7887;
+   wire n_7888;
+   wire n_7889;
+   wire n_7890;
+   wire n_7891;
+   wire n_7892;
+   wire n_7893;
+   wire n_7894;
+   wire n_7895;
+   wire n_7896;
+   wire n_7897;
+   wire n_7898;
+   wire n_7899;
+   wire n_7900;
+   wire n_7901;
+   wire n_7902;
+   wire n_7903;
+   wire n_7904;
+   wire n_7905;
+   wire n_7906;
+   wire n_7907;
+   wire n_7908;
+   wire n_7909;
+   wire n_7910;
+   wire n_7911;
+   wire n_7912;
+   wire n_7913;
+   wire n_7914;
+   wire n_7915;
+   wire n_7916;
+   wire n_7917;
+   wire n_7918;
+   wire n_7919;
+   wire n_7920;
+   wire n_7921;
+   wire n_7922;
+   wire n_7923;
+   wire n_7924;
+   wire n_7925;
+   wire n_7926;
+   wire n_7927;
+   wire n_7928;
+   wire n_7929;
+   wire n_7930;
+   wire n_7931;
+   wire n_7932;
+   wire n_7933;
+   wire n_7934;
+   wire n_7935;
+   wire n_7936;
+   wire n_7937;
+   wire n_7938;
+   wire n_7939;
+   wire n_7940;
+   wire n_7941;
+   wire n_7942;
+   wire n_7943;
+   wire n_7944;
+   wire n_7945;
+   wire n_7946;
+   wire n_7947;
+   wire n_7948;
+   wire n_7949;
+   wire n_7950;
+   wire n_7951;
+   wire n_7952;
+   wire n_7953;
+   wire n_7954;
+   wire n_7955;
+   wire n_7956;
+   wire n_7957;
+   wire n_7958;
+   wire n_7959;
+   wire n_7960;
+   wire n_7961;
+   wire n_7962;
+   wire n_7963;
+   wire n_7964;
+   wire n_7965;
+   wire n_7966;
+   wire n_7967;
+   wire n_7968;
+   wire n_7969;
+   wire n_7970;
+   wire n_7971;
+   wire n_7972;
+   wire n_7973;
+   wire n_7974;
+   wire n_7975;
+   wire n_7976;
+   wire n_7977;
+   wire n_7978;
+   wire n_7979;
+   wire n_7980;
+   wire n_7981;
+   wire n_7982;
+   wire n_7983;
+   wire n_7984;
+   wire n_7985;
+   wire n_7986;
+   wire n_7987;
+   wire n_7988;
+   wire n_7989;
+   wire n_7990;
+   wire n_7991;
+   wire n_7992;
+   wire n_7993;
+   wire n_7994;
+   wire n_7995;
+   wire n_7996;
+   wire n_7997;
+   wire n_7998;
+   wire n_7999;
+   wire n_8000;
+   wire n_8002;
+   wire n_8003;
+   wire n_8004;
+   wire n_8005;
+   wire n_8006;
+   wire n_8007;
+   wire n_8008;
+   wire n_8009;
+   wire n_8010;
+   wire n_8011;
+   wire n_8012;
+   wire n_8013;
+   wire n_8014;
+   wire n_8015;
+   wire n_8016;
+   wire n_8017;
+   wire n_8018;
+   wire n_8019;
+   wire n_8020;
+   wire n_8021;
+   wire n_8022;
+   wire n_8023;
+   wire n_8024;
+   wire n_8025;
+   wire n_8026;
+   wire n_8027;
+   wire n_8028;
+   wire n_8029;
+   wire n_8030;
+   wire n_8031;
+   wire n_8032;
+   wire n_8033;
+   wire n_8034;
+   wire n_8035;
+   wire n_8036;
+   wire n_8037;
+   wire n_8038;
+   wire n_8039;
+   wire n_8040;
+   wire n_8041;
+   wire n_8042;
+   wire n_8045;
+   wire n_8046;
+   wire n_8047;
+   wire n_8048;
+   wire n_8049;
+   wire n_8050;
+   wire n_8051;
+   wire n_8052;
+   wire n_8053;
+   wire n_8054;
+   wire n_8055;
+   wire n_8056;
+   wire n_8057;
+   wire n_8058;
+   wire n_8059;
+   wire n_8060;
+   wire n_8061;
+   wire n_8062;
+   wire n_8063;
+   wire n_8064;
+   wire n_8065;
+   wire n_8066;
+   wire n_8067;
+   wire n_8068;
+   wire n_8069;
+   wire n_8072;
+   wire n_8073;
+   wire n_8074;
+   wire n_8075;
+   wire n_8076;
+   wire n_8077;
+   wire n_8078;
+   wire n_8079;
+   wire n_8080;
+   wire n_8081;
+   wire n_8082;
+   wire n_8083;
+   wire n_8084;
+   wire n_8085;
+   wire n_8086;
+   wire n_8087;
+   wire n_8088;
+   wire n_8089;
+   wire n_8091;
+   wire n_8092;
+   wire n_8093;
+   wire n_8094;
+   wire n_8095;
+   wire n_8096;
+   wire n_8097;
+   wire n_8098;
+   wire n_8099;
+   wire n_8100;
+   wire n_8101;
+   wire n_8102;
+   wire n_8103;
+   wire n_8105;
+   wire n_8106;
+   wire n_8107;
+   wire n_8108;
+   wire n_8109;
+   wire n_8110;
+   wire n_8111;
+   wire n_8112;
+   wire n_8113;
+   wire n_8114;
+   wire n_8115;
+   wire n_8116;
+   wire n_8117;
+   wire n_8119;
+   wire n_8120;
+   wire n_8121;
+   wire n_8122;
+   wire n_8123;
+   wire n_8124;
+   wire n_8125;
+   wire n_8126;
+   wire n_8127;
+   wire n_8128;
+   wire n_8129;
+   wire n_8130;
+   wire n_8131;
+   wire n_8133;
+   wire n_8134;
+   wire n_8135;
+   wire n_8136;
+   wire n_8137;
+   wire n_8138;
+   wire n_8139;
+   wire n_8140;
+   wire n_8141;
+   wire n_8142;
+   wire n_8143;
+   wire n_8144;
+   wire n_8145;
+   wire n_8146;
+   wire n_8147;
+   wire n_8148;
+   wire n_8149;
+   wire n_8150;
+   wire n_8152;
+   wire n_8153;
+   wire n_8155;
+   wire n_8156;
+   wire n_8157;
+   wire n_8158;
+   wire n_8159;
+   wire n_8160;
+   wire n_8161;
+   wire n_8163;
+   wire n_8164;
+   wire n_8165;
+   wire n_8166;
+   wire n_8167;
+   wire n_8168;
+   wire n_8169;
+   wire n_8170;
+   wire n_8171;
+   wire n_8172;
+   wire n_8173;
+   wire n_8174;
+   wire n_8175;
+   wire n_8176;
+   wire n_8177;
+   wire n_8178;
+   wire n_8179;
+   wire n_8180;
+   wire n_8182;
+   wire n_8183;
+   wire n_8184;
+   wire n_8185;
+   wire n_8186;
+   wire n_8187;
+   wire n_8188;
+   wire n_8189;
+   wire n_8190;
+   wire n_8191;
+   wire n_8192;
+   wire n_8193;
+   wire n_8194;
+   wire n_8195;
+   wire n_8196;
+   wire n_8197;
+   wire n_8198;
+   wire n_8199;
+   wire n_8200;
+   wire n_8201;
+   wire n_8202;
+   wire n_8203;
+   wire n_8204;
+   wire n_8206;
+   wire n_8207;
+   wire n_8208;
+   wire n_8209;
+   wire n_8210;
+   wire n_8211;
+   wire n_8212;
+   wire n_8213;
+   wire n_8214;
+   wire n_8215;
+   wire n_8216;
+   wire n_8217;
+   wire n_8218;
+   wire n_8219;
+   wire n_8220;
+   wire n_8222;
+   wire n_8223;
+   wire n_8224;
+   wire n_8225;
+   wire n_8226;
+   wire n_8227;
+   wire n_8228;
+   wire n_8229;
+   wire n_8230;
+   wire n_8231;
+   wire n_8232;
+   wire n_8233;
+   wire n_8234;
+   wire n_8235;
+   wire n_8236;
+   wire n_8237;
+   wire n_8238;
+   wire n_8239;
+   wire n_8240;
+   wire n_8241;
+   wire n_8242;
+   wire n_8243;
+   wire n_8244;
+   wire n_8245;
+   wire n_8246;
+   wire n_8247;
+   wire n_8248;
+   wire n_8249;
+   wire n_8250;
+   wire n_8251;
+   wire n_8252;
+   wire n_8253;
+   wire n_8254;
+   wire n_8255;
+   wire n_8256;
+   wire n_8257;
+   wire n_8258;
+   wire n_8259;
+   wire n_8260;
+   wire n_8262;
+   wire n_8263;
+   wire n_8264;
+   wire n_8265;
+   wire n_8266;
+   wire n_8267;
+   wire n_8268;
+   wire n_8269;
+   wire n_8270;
+   wire n_8272;
+   wire n_8273;
+   wire n_8274;
+   wire n_8275;
+   wire n_8276;
+   wire n_8277;
+   wire n_8278;
+   wire n_8280;
+   wire n_8283;
+   wire n_8284;
+   wire n_8285;
+   wire n_8287;
+   wire n_8288;
+   wire n_8289;
+   wire n_8290;
+   wire n_8292;
+   wire n_8294;
+   wire n_8296;
+   wire n_8297;
+   wire n_8298;
+   wire n_8299;
+   wire n_8300;
+   wire n_8301;
+   wire n_8302;
+   wire n_8303;
+   wire n_8304;
+   wire n_8305;
+   wire n_8306;
+   wire n_8307;
+   wire n_8308;
+   wire n_8309;
+   wire n_8310;
+   wire n_8311;
+   wire n_8312;
+   wire n_8313;
+   wire n_8315;
+   wire n_8316;
+   wire n_8317;
+   wire n_8320;
+   wire n_8321;
+   wire n_8322;
+   wire n_8323;
+   wire n_8324;
+   wire n_8325;
+   wire n_8326;
+   wire n_8327;
+   wire n_8328;
+   wire n_8329;
+   wire n_8330;
+   wire n_8331;
+   wire n_8332;
+   wire n_8333;
+   wire n_8334;
+   wire n_8335;
+   wire n_8336;
+   wire n_8337;
+   wire n_8338;
+   wire n_8339;
+   wire n_8340;
+   wire n_8341;
+   wire n_8342;
+   wire n_8343;
+   wire n_8344;
+   wire n_8345;
+   wire n_8346;
+   wire n_8347;
+   wire n_8348;
+   wire n_8349;
+   wire n_8350;
+   wire n_8351;
+   wire n_8352;
+   wire n_8353;
+   wire n_8354;
+   wire n_8355;
+   wire n_8356;
+   wire n_8357;
+   wire n_8358;
+   wire n_8359;
+   wire n_8360;
+   wire n_8361;
+   wire n_8362;
+   wire n_8363;
+   wire n_8364;
+   wire n_8365;
+   wire n_8366;
+   wire n_8367;
+   wire n_8368;
+   wire n_8369;
+   wire n_8370;
+   wire n_8371;
+   wire n_8372;
+   wire n_8373;
+   wire n_8374;
+   wire n_8375;
+   wire n_8376;
+   wire n_8378;
+   wire n_8379;
+   wire n_8380;
+   wire n_8381;
+   wire n_8382;
+   wire n_8383;
+   wire n_8384;
+   wire n_8385;
+   wire n_8386;
+   wire n_8387;
+   wire n_8388;
+   wire n_8389;
+   wire n_8390;
+   wire n_8391;
+   wire n_8392;
+   wire n_8393;
+   wire n_8394;
+   wire n_8395;
+   wire n_8396;
+   wire n_8397;
+   wire n_8398;
+   wire n_8399;
+   wire n_8400;
+   wire n_8401;
+   wire n_8402;
+   wire n_8403;
+   wire n_8404;
+   wire n_8405;
+   wire n_8406;
+   wire n_8407;
+   wire n_8408;
+   wire n_8409;
+   wire n_8410;
+   wire n_8411;
+   wire n_8412;
+   wire n_8413;
+   wire n_8414;
+   wire n_8415;
+   wire n_8416;
+   wire n_8417;
+   wire n_8418;
+   wire n_8419;
+   wire n_8420;
+   wire n_8421;
+   wire n_8423;
+   wire n_8424;
+   wire n_8425;
+   wire n_8426;
+   wire n_8427;
+   wire n_8428;
+   wire n_8429;
+   wire n_8430;
+   wire n_8431;
+   wire n_8432;
+   wire n_8433;
+   wire n_8434;
+   wire n_8435;
+   wire n_8436;
+   wire n_8437;
+   wire n_8438;
+   wire n_8439;
+   wire n_8440;
+   wire n_8441;
+   wire n_8442;
+   wire n_8443;
+   wire n_8444;
+   wire n_8445;
+   wire n_8447;
+   wire n_8448;
+   wire n_8449;
+   wire n_8450;
+   wire n_8451;
+   wire n_8452;
+   wire n_8453;
+   wire n_8454;
+   wire n_8455;
+   wire n_8456;
+   wire n_8457;
+   wire n_8458;
+   wire n_8459;
+   wire n_8460;
+   wire n_8461;
+   wire n_8462;
+   wire n_8463;
+   wire n_8464;
+   wire n_8465;
+   wire n_8466;
+   wire n_8467;
+   wire n_8468;
+   wire n_8469;
+   wire n_8470;
+   wire n_8471;
+   wire n_8472;
+   wire n_8473;
+   wire n_8474;
+   wire n_8475;
+   wire n_8476;
+   wire n_8477;
+   wire n_8478;
+   wire n_8479;
+   wire n_8480;
+   wire n_8481;
+   wire n_8482;
+   wire n_8483;
+   wire n_8484;
+   wire n_8485;
+   wire n_8486;
+   wire n_8487;
+   wire n_8488;
+   wire n_8489;
+   wire n_8490;
+   wire n_8491;
+   wire n_8492;
+   wire n_8493;
+   wire n_8494;
+   wire n_8495;
+   wire n_8496;
+   wire n_8497;
+   wire n_8498;
+   wire n_8499;
+   wire n_8500;
+   wire n_8501;
+   wire n_8502;
+   wire n_8503;
+   wire n_8504;
+   wire n_8505;
+   wire n_8506;
+   wire n_8507;
+   wire n_8508;
+   wire n_8509;
+   wire n_8510;
+   wire n_8511;
+   wire n_8512;
+   wire n_8513;
+   wire n_8514;
+   wire n_8515;
+   wire n_8516;
+   wire n_8517;
+   wire n_8518;
+   wire n_8519;
+   wire n_8520;
+   wire n_8521;
+   wire n_8522;
+   wire n_8523;
+   wire n_8524;
+   wire n_8525;
+   wire n_8526;
+   wire n_8527;
+   wire n_8528;
+   wire n_8529;
+   wire n_8530;
+   wire n_8531;
+   wire n_8532;
+   wire n_8534;
+   wire n_8536;
+   wire n_8537;
+   wire n_8538;
+   wire n_8539;
+   wire n_8540;
+   wire n_8541;
+   wire n_8542;
+   wire n_8543;
+   wire n_8544;
+   wire n_8545;
+   wire n_8546;
+   wire n_8547;
+   wire n_8548;
+   wire n_8549;
+   wire n_8550;
+   wire n_8551;
+   wire n_8552;
+   wire n_8553;
+   wire n_8554;
+   wire n_8555;
+   wire n_8556;
+   wire n_8557;
+   wire n_8558;
+   wire n_8559;
+   wire n_8560;
+   wire n_8561;
+   wire n_8562;
+   wire n_8563;
+   wire n_8564;
+   wire n_8565;
+   wire n_8566;
+   wire n_8567;
+   wire n_8568;
+   wire n_8569;
+   wire n_8570;
+   wire n_8571;
+   wire n_8572;
+   wire n_8573;
+   wire n_8574;
+   wire n_8576;
+   wire n_8578;
+   wire n_8580;
+   wire n_8581;
+   wire n_8582;
+   wire n_8583;
+   wire n_8584;
+   wire n_8585;
+   wire n_8586;
+   wire n_8587;
+   wire n_8588;
+   wire n_8589;
+   wire n_8590;
+   wire n_8591;
+   wire n_8592;
+   wire n_8593;
+   wire n_8594;
+   wire n_8595;
+   wire n_8596;
+   wire n_8598;
+   wire n_8599;
+   wire n_8600;
+   wire n_8601;
+   wire n_8602;
+   wire n_8603;
+   wire n_8604;
+   wire n_8605;
+   wire n_8606;
+   wire n_8607;
+   wire n_8608;
+   wire n_8609;
+   wire n_8611;
+   wire n_8612;
+   wire n_8613;
+   wire n_8614;
+   wire n_8615;
+   wire n_8616;
+   wire n_8617;
+   wire n_8618;
+   wire n_8619;
+   wire n_8620;
+   wire n_8621;
+   wire n_8622;
+   wire n_8623;
+   wire n_8624;
+   wire n_8625;
+   wire n_8626;
+   wire n_8627;
+   wire n_8628;
+   wire n_8630;
+   wire n_8631;
+   wire n_8632;
+   wire n_8633;
+   wire n_8634;
+   wire n_8635;
+   wire n_8636;
+   wire n_8640;
+   wire n_8641;
+   wire n_8642;
+   wire n_8643;
+   wire n_8644;
+   wire n_8645;
+   wire n_8646;
+   wire n_8647;
+   wire n_8648;
+   wire n_8649;
+   wire n_8650;
+   wire n_8651;
+   wire n_8652;
+   wire n_8653;
+   wire n_8654;
+   wire n_8655;
+   wire n_8656;
+   wire n_8657;
+   wire n_8658;
+   wire n_8659;
+   wire n_8660;
+   wire n_8661;
+   wire n_8662;
+   wire n_8663;
+   wire n_8664;
+   wire n_8665;
+   wire n_8666;
+   wire n_8668;
+   wire n_8669;
+   wire n_8670;
+   wire n_8672;
+   wire n_8673;
+   wire n_8674;
+   wire n_8675;
+   wire n_8677;
+   wire n_8678;
+   wire n_8679;
+   wire n_8680;
+   wire n_8681;
+   wire n_8682;
+   wire n_8683;
+   wire n_8685;
+   wire n_8686;
+   wire n_8687;
+   wire n_8688;
+   wire n_8689;
+   wire n_8690;
+   wire n_8691;
+   wire n_8692;
+   wire n_8693;
+   wire n_8694;
+   wire n_8695;
+   wire n_8696;
+   wire n_8697;
+   wire n_8698;
+   wire n_8699;
+   wire n_8700;
+   wire n_8701;
+   wire n_8702;
+   wire n_8703;
+   wire n_8704;
+   wire n_8705;
+   wire n_8706;
+   wire n_8707;
+   wire n_8708;
+   wire n_8709;
+   wire n_8710;
+   wire n_8711;
+   wire n_8712;
+   wire n_8713;
+   wire n_8714;
+   wire n_8715;
+   wire n_8716;
+   wire n_8717;
+   wire n_8718;
+   wire n_8719;
+   wire n_8720;
+   wire n_8721;
+   wire n_8722;
+   wire n_8723;
+   wire n_8724;
+   wire n_8725;
+   wire n_8726;
+   wire n_8727;
+   wire n_8728;
+   wire n_8729;
+   wire n_8730;
+   wire n_8731;
+   wire n_8732;
+   wire n_8733;
+   wire n_8734;
+   wire n_8735;
+   wire n_8736;
+   wire n_8737;
+   wire n_8738;
+   wire n_8739;
+   wire n_8740;
+   wire n_8741;
+   wire n_8742;
+   wire n_8743;
+   wire n_8744;
+   wire n_8745;
+   wire n_8746;
+   wire n_8747;
+   wire n_8748;
+   wire n_8749;
+   wire n_8750;
+   wire n_8751;
+   wire n_8752;
+   wire n_8753;
+   wire n_8754;
+   wire n_8755;
+   wire n_8756;
+   wire n_8757;
+   wire n_8758;
+   wire n_8759;
+   wire n_8760;
+   wire n_8761;
+   wire n_8762;
+   wire n_8763;
+   wire n_8764;
+   wire n_8765;
+   wire n_8766;
+   wire n_8767;
+   wire n_8768;
+   wire n_8769;
+   wire n_8771;
+   wire n_8773;
+   wire n_8774;
+   wire n_8782;
+   wire n_8790;
+   wire n_8793;
+   wire n_8794;
+   wire n_8796;
+   wire n_8798;
+   wire n_8799;
+   wire n_8801;
+   wire n_8803;
+   wire n_8805;
+   wire n_8807;
+   wire n_8808;
+   wire n_8809;
+   wire n_8810;
+   wire n_8811;
+   wire n_8812;
+   wire n_8813;
+   wire n_8814;
+   wire n_8815;
+   wire n_8816;
+   wire n_8817;
+   wire n_8818;
+   wire n_8819;
+   wire n_8820;
+   wire n_8821;
+   wire n_8822;
+   wire n_8823;
+   wire n_8824;
+   wire n_8825;
+   wire n_8826;
+   wire n_8827;
+   wire n_8828;
+   wire n_8829;
+   wire n_8830;
+   wire n_8831;
+   wire n_8832;
+   wire n_8833;
+   wire n_8834;
+   wire n_8835;
+   wire n_8836;
+   wire n_8837;
+   wire n_8838;
+   wire n_8839;
+   wire n_8840;
+   wire n_8841;
+   wire n_8842;
+   wire n_8843;
+   wire n_8844;
+   wire n_8845;
+   wire n_8846;
+   wire n_8847;
+   wire n_8848;
+   wire n_8849;
+   wire n_8850;
+   wire n_8851;
+   wire n_8852;
+   wire n_8853;
+   wire n_8854;
+   wire n_8855;
+   wire n_8856;
+   wire n_8857;
+   wire n_8858;
+   wire n_8859;
+   wire n_8860;
+   wire n_8861;
+   wire n_8862;
+   wire n_8863;
+   wire n_8864;
+   wire n_8865;
+   wire n_8866;
+   wire n_8867;
+   wire n_8868;
+   wire n_8869;
+   wire n_8870;
+   wire n_8871;
+   wire n_8872;
+   wire n_8873;
+   wire n_8874;
+   wire n_8875;
+   wire n_8876;
+   wire n_8877;
+   wire n_8878;
+   wire n_8879;
+   wire n_8880;
+   wire n_8881;
+   wire n_8882;
+   wire n_8883;
+   wire n_8884;
+   wire n_8885;
+   wire n_8886;
+   wire n_8887;
+   wire n_8888;
+   wire n_8889;
+   wire n_8890;
+   wire n_8891;
+   wire n_8892;
+   wire n_8893;
+   wire n_8894;
+   wire n_8895;
+   wire n_8896;
+   wire n_8897;
+   wire n_8898;
+   wire n_8899;
+   wire n_8900;
+   wire n_8901;
+   wire n_8902;
+   wire n_8903;
+   wire n_8904;
+   wire n_8905;
+   wire n_8906;
+   wire n_8907;
+   wire n_8908;
+   wire n_8909;
+   wire n_8910;
+   wire n_8911;
+   wire n_8912;
+   wire n_8913;
+   wire n_8914;
+   wire n_8915;
+   wire n_8916;
+   wire n_8917;
+   wire n_8918;
+   wire n_8919;
+   wire n_8920;
+   wire n_8921;
+   wire n_8922;
+   wire n_8923;
+   wire n_8924;
+   wire n_8925;
+   wire n_8926;
+   wire n_8927;
+   wire n_8929;
+   wire n_8930;
+   wire n_8931;
+   wire n_8932;
+   wire n_8933;
+   wire n_8934;
+   wire n_8935;
+   wire n_8936;
+   wire n_8937;
+   wire n_8938;
+   wire n_8939;
+   wire n_8940;
+   wire n_8941;
+   wire n_8942;
+   wire n_8943;
+   wire n_8944;
+   wire n_8945;
+   wire n_8947;
+   wire n_8949;
+   wire n_8950;
+   wire n_8951;
+   wire n_8953;
+   wire n_8954;
+   wire n_8955;
+   wire n_8956;
+   wire n_8957;
+   wire n_8958;
+   wire n_8959;
+   wire n_8960;
+   wire n_8961;
+   wire n_8962;
+   wire n_8963;
+   wire n_8964;
+   wire n_8965;
+   wire n_8966;
+   wire n_8967;
+   wire n_8968;
+   wire n_8969;
+   wire n_8970;
+   wire n_8971;
+   wire n_8972;
+   wire n_8973;
+   wire n_8974;
+   wire n_8975;
+   wire n_8976;
+   wire n_8977;
+   wire n_8978;
+   wire n_8979;
+   wire n_8980;
+   wire n_8981;
+   wire n_8982;
+   wire n_8983;
+   wire n_8984;
+   wire n_8985;
+   wire n_8986;
+   wire n_8987;
+   wire n_8988;
+   wire n_8989;
+   wire n_8990;
+   wire n_8991;
+   wire n_8992;
+   wire n_8993;
+   wire n_8994;
+   wire n_8995;
+   wire n_8996;
+   wire n_8998;
+   wire n_8999;
+   wire n_9000;
+   wire n_9001;
+   wire n_9002;
+   wire n_9003;
+   wire n_9004;
+   wire n_9005;
+   wire n_9006;
+   wire n_9007;
+   wire n_9008;
+   wire n_9009;
+   wire n_9010;
+   wire n_9011;
+   wire n_9012;
+   wire n_9013;
+   wire n_9014;
+   wire n_9016;
+   wire n_9017;
+   wire n_9018;
+   wire n_9019;
+   wire n_9020;
+   wire n_9021;
+   wire n_9022;
+   wire n_9023;
+   wire n_9024;
+   wire n_9025;
+   wire n_9026;
+   wire n_9027;
+   wire n_9028;
+   wire n_9029;
+   wire n_9030;
+   wire n_9031;
+   wire n_9032;
+   wire n_9033;
+   wire n_9034;
+   wire n_9035;
+   wire n_9036;
+   wire n_9037;
+   wire n_9038;
+   wire n_9039;
+   wire n_9040;
+   wire n_9041;
+   wire n_9042;
+   wire n_9043;
+   wire n_9044;
+   wire n_9045;
+   wire n_9046;
+   wire n_9047;
+   wire n_9048;
+   wire n_9049;
+   wire n_9050;
+   wire n_9051;
+   wire n_9052;
+   wire n_9053;
+   wire n_9054;
+   wire n_9055;
+   wire n_9056;
+   wire n_9057;
+   wire n_9058;
+   wire n_9059;
+   wire n_9060;
+   wire n_9061;
+   wire n_9062;
+   wire n_9063;
+   wire n_9064;
+   wire n_9065;
+   wire n_9066;
+   wire n_9067;
+   wire n_9068;
+   wire n_9069;
+   wire n_9070;
+   wire n_9071;
+   wire n_9072;
+   wire n_9073;
+   wire n_9074;
+   wire n_9075;
+   wire n_9076;
+   wire n_9077;
+   wire n_9078;
+   wire n_9079;
+   wire n_9080;
+   wire n_9081;
+   wire n_9082;
+   wire n_9083;
+   wire n_9084;
+   wire n_9085;
+   wire n_9086;
+   wire n_9087;
+   wire n_9088;
+   wire n_9089;
+   wire n_9090;
+   wire n_9091;
+   wire n_9092;
+   wire n_9093;
+   wire n_9094;
+   wire n_9095;
+   wire n_9096;
+   wire n_9097;
+   wire n_9098;
+   wire n_9099;
+   wire n_9100;
+   wire n_9101;
+   wire n_9102;
+   wire n_9103;
+   wire n_9104;
+   wire n_9105;
+   wire n_9106;
+   wire n_9107;
+   wire n_9108;
+   wire n_9109;
+   wire n_9110;
+   wire n_9111;
+   wire n_9112;
+   wire n_9113;
+   wire n_9114;
+   wire n_9115;
+   wire n_9116;
+   wire n_9117;
+   wire n_9118;
+   wire n_9119;
+   wire n_9120;
+   wire n_9121;
+   wire n_9122;
+   wire n_9123;
+   wire n_9124;
+   wire n_9125;
+   wire n_9126;
+   wire n_9127;
+   wire n_9128;
+   wire n_9129;
+   wire n_9130;
+   wire n_9131;
+   wire n_9132;
+   wire n_9133;
+   wire n_9134;
+   wire n_9135;
+   wire n_9136;
+   wire n_9137;
+   wire n_9138;
+   wire n_9139;
+   wire n_9140;
+   wire n_9141;
+   wire n_9142;
+   wire n_9143;
+   wire n_9144;
+   wire n_9145;
+   wire n_9146;
+   wire n_9147;
+   wire n_9148;
+   wire n_9149;
+   wire n_9150;
+   wire n_9151;
+   wire n_9152;
+   wire n_9153;
+   wire n_9154;
+   wire n_9155;
+   wire n_9156;
+   wire n_9157;
+   wire n_9158;
+   wire n_9159;
+   wire n_9160;
+   wire n_9161;
+   wire n_9167;
+   wire n_9169;
+   wire n_9214;
+   wire n_9215;
+   wire n_9216;
+   wire n_9217;
+   wire n_9219;
+   wire n_9220;
+   wire n_9221;
+   wire n_9222;
+   wire n_9223;
+   wire n_9224;
+   wire n_9225;
+   wire n_9226;
+   wire n_9227;
+   wire n_9228;
+   wire n_9229;
+   wire n_9230;
+   wire n_9232;
+   wire n_9233;
+   wire n_9234;
+   wire n_9235;
+   wire n_9236;
+   wire n_9239;
+   wire n_9240;
+   wire n_9241;
+   wire n_9244;
+   wire n_9245;
+   wire n_9246;
+   wire n_9247;
+   wire n_9248;
+   wire n_9249;
+   wire n_9250;
+   wire n_9252;
+   wire n_9253;
+   wire n_9254;
+   wire n_9255;
+   wire n_9256;
+   wire n_9257;
+   wire n_9258;
+   wire n_9259;
+   wire n_9260;
+   wire n_9261;
+   wire n_9262;
+   wire n_9263;
+   wire n_9264;
+   wire n_9265;
+   wire n_9266;
+   wire n_9267;
+   wire n_9268;
+   wire n_9269;
+   wire n_9270;
+   wire n_9271;
+   wire n_9272;
+   wire n_9273;
+   wire n_9274;
+   wire n_9275;
+   wire n_9276;
+   wire n_9282;
+   wire n_9284;
+   wire n_9286;
+   wire n_9288;
+   wire n_9290;
+   wire n_9292;
+   wire n_9293;
+   wire n_9294;
+   wire n_9295;
+   wire n_9296;
+   wire n_9297;
+   wire n_9298;
+   wire n_9299;
+   wire n_9300;
+   wire n_9301;
+   wire n_9302;
+   wire n_9303;
+   wire n_9304;
+   wire n_9305;
+   wire n_9306;
+   wire n_9307;
+   wire n_9308;
+   wire n_9309;
+   wire n_9310;
+   wire n_9311;
+   wire n_9312;
+   wire n_9314;
+   wire n_9315;
+   wire n_9316;
+   wire n_9317;
+   wire n_9318;
+   wire n_9319;
+   wire n_9320;
+   wire n_9321;
+   wire n_9322;
+   wire n_9323;
+   wire n_9324;
+   wire n_9326;
+   wire n_9327;
+   wire n_9329;
+   wire n_9330;
+   wire n_9331;
+   wire n_9332;
+   wire n_9333;
+   wire n_9334;
+   wire n_9335;
+   wire n_9336;
+   wire n_9337;
+   wire n_9338;
+   wire n_9339;
+   wire n_9340;
+   wire n_9341;
+   wire n_9342;
+   wire n_9343;
+   wire n_9344;
+   wire n_9345;
+   wire n_9346;
+   wire n_9347;
+   wire n_9348;
+   wire n_9350;
+   wire n_9351;
+   wire n_9352;
+   wire n_9353;
+   wire n_9354;
+   wire n_9355;
+   wire n_9356;
+   wire n_9357;
+   wire n_9358;
+   wire n_9359;
+   wire n_9360;
+   wire n_9361;
+   wire n_9362;
+   wire n_9363;
+   wire n_9364;
+   wire n_9365;
+   wire n_9366;
+   wire n_9367;
+   wire n_9368;
+   wire n_9369;
+   wire n_9370;
+   wire n_9371;
+   wire n_9372;
+   wire n_9373;
+   wire n_9374;
+   wire n_9375;
+   wire n_9376;
+   wire n_9377;
+   wire n_9378;
+   wire n_9379;
+   wire n_9380;
+   wire n_9381;
+   wire n_9382;
+   wire n_9383;
+   wire n_9384;
+   wire n_9385;
+   wire n_9386;
+   wire n_9387;
+   wire n_9388;
+   wire n_9389;
+   wire n_9390;
+   wire n_9391;
+   wire n_9392;
+   wire n_9393;
+   wire n_9394;
+   wire n_9395;
+   wire n_9396;
+   wire n_9397;
+   wire n_9398;
+   wire n_9399;
+   wire n_9400;
+   wire n_9401;
+   wire n_9402;
+   wire n_9403;
+   wire n_9404;
+   wire n_9405;
+   wire n_9406;
+   wire n_9407;
+   wire n_9408;
+   wire n_9409;
+   wire n_9410;
+   wire n_9411;
+   wire n_9412;
+   wire n_9413;
+   wire n_9414;
+   wire n_9415;
+   wire n_9416;
+   wire n_9417;
+   wire n_9418;
+   wire n_9419;
+   wire n_9420;
+   wire n_9421;
+   wire n_9422;
+   wire n_9423;
+   wire n_9424;
+   wire n_9425;
+   wire n_9426;
+   wire n_9427;
+   wire n_9428;
+   wire n_9429;
+   wire n_9430;
+   wire n_9431;
+   wire n_9432;
+   wire n_9433;
+   wire n_9434;
+   wire n_9435;
+   wire n_9436;
+   wire n_9437;
+   wire n_9438;
+   wire n_9439;
+   wire n_9442;
+   wire n_9443;
+   wire n_9444;
+   wire n_9445;
+   wire n_9446;
+   wire n_9447;
+   wire n_9448;
+   wire n_9449;
+   wire n_9450;
+   wire n_9451;
+   wire n_9452;
+   wire n_9453;
+   wire n_9454;
+   wire n_9455;
+   wire n_9456;
+   wire n_9457;
+   wire n_9458;
+   wire n_9459;
+   wire n_9460;
+   wire n_9461;
+   wire n_9462;
+   wire n_9463;
+   wire n_9464;
+   wire n_9465;
+   wire n_9466;
+   wire n_9467;
+   wire n_9468;
+   wire n_9469;
+   wire n_9470;
+   wire n_9471;
+   wire n_9472;
+   wire n_9473;
+   wire n_9474;
+   wire n_9475;
+   wire n_9476;
+   wire n_9477;
+   wire n_9478;
+   wire n_9479;
+   wire n_9481;
+   wire n_9482;
+   wire n_9483;
+   wire n_9484;
+   wire n_9485;
+   wire n_9486;
+   wire n_9487;
+   wire n_9488;
+   wire n_9489;
+   wire n_9490;
+   wire n_9491;
+   wire n_9492;
+   wire n_9493;
+   wire n_9494;
+   wire n_9495;
+   wire n_9496;
+   wire n_9497;
+   wire n_9498;
+   wire n_9499;
+   wire n_9500;
+   wire n_9501;
+   wire n_9502;
+   wire n_9503;
+   wire n_9504;
+   wire n_9505;
+   wire n_9506;
+   wire n_9507;
+   wire n_9508;
+   wire n_9509;
+   wire n_9510;
+   wire n_9511;
+   wire n_9512;
+   wire n_9513;
+   wire n_9514;
+   wire n_9515;
+   wire n_9516;
+   wire n_9517;
+   wire n_9518;
+   wire n_9519;
+   wire n_9520;
+   wire n_9521;
+   wire n_9522;
+   wire n_9523;
+   wire n_9524;
+   wire n_9525;
+   wire n_9526;
+   wire n_9527;
+   wire n_9528;
+   wire n_9529;
+   wire n_9530;
+   wire n_9531;
+   wire n_9532;
+   wire n_9533;
+   wire n_9534;
+   wire n_9535;
+   wire n_9536;
+   wire n_9537;
+   wire n_9538;
+   wire n_9539;
+   wire n_9540;
+   wire n_9541;
+   wire n_9542;
+   wire n_9543;
+   wire n_9544;
+   wire n_9545;
+   wire n_9546;
+   wire n_9547;
+   wire n_9548;
+   wire n_9549;
+   wire n_9550;
+   wire n_9551;
+   wire n_9552;
+   wire n_9553;
+   wire n_9554;
+   wire n_9555;
+   wire n_9556;
+   wire n_9557;
+   wire n_9558;
+   wire n_9559;
+   wire n_9560;
+   wire n_9561;
+   wire n_9562;
+   wire n_9565;
+   wire n_9568;
+   wire n_9569;
+   wire n_9571;
+   wire n_9572;
+   wire n_9573;
+   wire n_9574;
+   wire n_9577;
+   wire n_9578;
+   wire n_9579;
+   wire n_9581;
+   wire n_9582;
+   wire n_9583;
+   wire n_9584;
+   wire n_9585;
+   wire n_9586;
+   wire n_9587;
+   wire n_9588;
+   wire n_9589;
+   wire n_9590;
+   wire n_9592;
+   wire n_9593;
+   wire n_9594;
+   wire n_9595;
+   wire n_9596;
+   wire n_9597;
+   wire n_9598;
+   wire n_9599;
+   wire n_9600;
+   wire n_9601;
+   wire n_9602;
+   wire n_9603;
+   wire n_9604;
+   wire n_9605;
+   wire n_9606;
+   wire n_9607;
+   wire n_9608;
+   wire n_9609;
+   wire n_9610;
+   wire n_9611;
+   wire n_9612;
+   wire n_9613;
+   wire n_9614;
+   wire n_9615;
+   wire n_9616;
+   wire n_9617;
+   wire n_9618;
+   wire n_9619;
+   wire n_9620;
+   wire n_9621;
+   wire n_9622;
+   wire n_9623;
+   wire n_9624;
+   wire n_9625;
+   wire n_9626;
+   wire n_9627;
+   wire n_9628;
+   wire n_9629;
+   wire n_9630;
+   wire n_9631;
+   wire n_9632;
+   wire n_9633;
+   wire n_9634;
+   wire n_9635;
+   wire n_9636;
+   wire n_9637;
+   wire n_9638;
+   wire n_9639;
+   wire n_9640;
+   wire n_9641;
+   wire n_9642;
+   wire n_9643;
+   wire n_9644;
+   wire n_9645;
+   wire n_9646;
+   wire n_9647;
+   wire n_9648;
+   wire n_9649;
+   wire n_9650;
+   wire n_9651;
+   wire n_9652;
+   wire n_9653;
+   wire n_9654;
+   wire n_9655;
+   wire n_9656;
+   wire n_9657;
+   wire n_9658;
+   wire n_9659;
+   wire n_9660;
+   wire n_9661;
+   wire n_9662;
+   wire n_9663;
+   wire n_9664;
+   wire n_9665;
+   wire n_9666;
+   wire n_9667;
+   wire n_9668;
+   wire n_9669;
+   wire n_9670;
+   wire n_9671;
+   wire n_9672;
+   wire n_9673;
+   wire n_9674;
+   wire n_9675;
+   wire n_9676;
+   wire n_9677;
+   wire n_9678;
+   wire n_9679;
+   wire n_9680;
+   wire n_9681;
+   wire n_9682;
+   wire n_9683;
+   wire n_9684;
+   wire n_9685;
+   wire n_9686;
+   wire n_9688;
+   wire n_9689;
+   wire n_9690;
+   wire n_9691;
+   wire n_9692;
+   wire n_9693;
+   wire n_9694;
+   wire n_9695;
+   wire n_9696;
+   wire n_9698;
+   wire n_9699;
+   wire n_9700;
+   wire n_9701;
+   wire n_9702;
+   wire n_9703;
+   wire n_9704;
+   wire n_9705;
+   wire n_9707;
+   wire n_9708;
+   wire n_9709;
+   wire n_9710;
+   wire n_9711;
+   wire n_9712;
+   wire n_9713;
+   wire n_9714;
+   wire n_9715;
+   wire n_9716;
+   wire n_9717;
+   wire n_9718;
+   wire n_9719;
+   wire n_9720;
+   wire n_9721;
+   wire n_9722;
+   wire n_9724;
+   wire n_9725;
+   wire n_9726;
+   wire n_9727;
+   wire n_9728;
+   wire n_9729;
+   wire n_9730;
+   wire n_9731;
+   wire n_9732;
+   wire n_9733;
+   wire n_9734;
+   wire n_9735;
+   wire n_9736;
+   wire n_9737;
+   wire n_9738;
+   wire n_9739;
+   wire n_9740;
+   wire n_9741;
+   wire n_9742;
+   wire n_9743;
+   wire n_9744;
+   wire n_9745;
+   wire n_9746;
+   wire n_9747;
+   wire n_9748;
+   wire n_9749;
+   wire n_9750;
+   wire n_9751;
+   wire n_9752;
+   wire n_9753;
+   wire n_9754;
+   wire n_9755;
+   wire n_9756;
+   wire n_9757;
+   wire n_9758;
+   wire n_9759;
+   wire n_9760;
+   wire n_9761;
+   wire n_9762;
+   wire n_9763;
+   wire n_9764;
+   wire n_9765;
+   wire n_9766;
+   wire n_9767;
+   wire n_9768;
+   wire n_9769;
+   wire n_9770;
+   wire n_9771;
+   wire n_9772;
+   wire n_9774;
+   wire n_9775;
+   wire n_9776;
+   wire n_9777;
+   wire n_9778;
+   wire n_9779;
+   wire n_9780;
+   wire n_9781;
+   wire n_9782;
+   wire n_9783;
+   wire n_9784;
+   wire n_9785;
+   wire n_9786;
+   wire n_9787;
+   wire n_9788;
+   wire n_9789;
+   wire n_9790;
+   wire n_9791;
+   wire n_9792;
+   wire n_9793;
+   wire n_9794;
+   wire n_9795;
+   wire n_9796;
+   wire n_9797;
+   wire n_9798;
+   wire n_9799;
+   wire n_9800;
+   wire n_9801;
+   wire n_9802;
+   wire n_9803;
+   wire n_9804;
+   wire n_9805;
+   wire n_9806;
+   wire n_9807;
+   wire n_9808;
+   wire n_9809;
+   wire n_9810;
+   wire n_9811;
+   wire n_9812;
+   wire n_9813;
+   wire n_9814;
+   wire n_9815;
+   wire n_9816;
+   wire n_9817;
+   wire n_9818;
+   wire n_9819;
+   wire n_9820;
+   wire n_9821;
+   wire n_9822;
+   wire n_9823;
+   wire n_9824;
+   wire n_9825;
+   wire n_9826;
+   wire n_9827;
+   wire n_9828;
+   wire n_9829;
+   wire n_9830;
+   wire n_9831;
+   wire n_9832;
+   wire n_9833;
+   wire n_9834;
+   wire n_9835;
+   wire n_9836;
+   wire n_9837;
+   wire n_9838;
+   wire n_9839;
+   wire n_9840;
+   wire n_9841;
+   wire n_9842;
+   wire n_9843;
+   wire n_9844;
+   wire n_9845;
+   wire n_9846;
+   wire n_9847;
+   wire n_9848;
+   wire n_9849;
+   wire n_9850;
+   wire n_9855;
+   wire n_9856;
+   wire n_9857;
+   wire n_9858;
+   wire n_9859;
+   wire n_9860;
+   wire n_9861;
+   wire n_9862;
+   wire n_9863;
+   wire n_9864;
+   wire n_9865;
+   wire n_9866;
+   wire n_9867;
+   wire n_9868;
+   wire n_9870;
+   wire n_9871;
+   wire n_9872;
+   wire n_9873;
+   wire n_9874;
+   wire n_9875;
+   wire n_9876;
+   wire n_9877;
+   wire n_9878;
+   wire n_9880;
+   wire n_9881;
+   wire n_9882;
+   wire n_9883;
+   wire n_9884;
+   wire n_9886;
+   wire n_9887;
+   wire n_9888;
+   wire n_9889;
+   wire n_9890;
+   wire n_9891;
+   wire n_9893;
+   wire n_9894;
+   wire n_9896;
+   wire n_9897;
+   wire n_9898;
+   wire n_9899;
+   wire n_9900;
+   wire n_9901;
+   wire n_9902;
+   wire n_9903;
+   wire n_9904;
+   wire n_9905;
+   wire n_9906;
+   wire n_9907;
+   wire n_9908;
+   wire n_9910;
+   wire n_9911;
+   wire n_9913;
+   wire n_9914;
+   wire n_9915;
+   wire n_9916;
+   wire n_9919;
+   wire n_9920;
+   wire n_9921;
+   wire n_9922;
+   wire n_9925;
+   wire n_9926;
+   wire n_9927;
+   wire n_9928;
+   wire n_9929;
+   wire n_9930;
+   wire n_9931;
+   wire n_9932;
+   wire n_9933;
+   wire n_9934;
+   wire n_9935;
+   wire n_9936;
+   wire n_9937;
+   wire n_9938;
+   wire n_9942;
+   wire n_9943;
+   wire n_9944;
+   wire n_9945;
+   wire n_9946;
+   wire n_9947;
+   wire n_9948;
+   wire n_9949;
+   wire n_9950;
+   wire n_9951;
+   wire n_9952;
+   wire n_9953;
+   wire n_9954;
+   wire n_9955;
+   wire n_9956;
+   wire n_9957;
+   wire n_9958;
+   wire n_9959;
+   wire n_9960;
+   wire n_9961;
+   wire n_9962;
+   wire n_9963;
+   wire n_9964;
+   wire n_9965;
+   wire n_9966;
+   wire n_9967;
+   wire n_9968;
+   wire n_9969;
+   wire n_9970;
+   wire n_9971;
+   wire n_9972;
+   wire n_9973;
+   wire n_9975;
+   wire n_9976;
+   wire n_9977;
+   wire n_9978;
+   wire n_9979;
+   wire n_9980;
+   wire n_9981;
+   wire n_9982;
+   wire n_9983;
+   wire n_9984;
+   wire n_9985;
+   wire n_9986;
+   wire n_9987;
+   wire n_9988;
+   wire n_9989;
+   wire n_9990;
+   wire n_9991;
+   wire n_9992;
+   wire n_9993;
+   wire n_9994;
+   wire n_9995;
+   wire n_9996;
+   wire n_9998;
+   wire n_9999;
+   wire n_10000;
+   wire n_10001;
+   wire n_10002;
+   wire n_10003;
+   wire n_10004;
+   wire n_10005;
+   wire n_10006;
+   wire n_10007;
+   wire n_10008;
+   wire n_10009;
+   wire n_10010;
+   wire n_10011;
+   wire n_10012;
+   wire n_10013;
+   wire n_10014;
+   wire n_10016;
+   wire n_10017;
+   wire n_10018;
+   wire n_10019;
+   wire n_10020;
+   wire n_10021;
+   wire n_10022;
+   wire n_10023;
+   wire n_10024;
+   wire n_10025;
+   wire n_10026;
+   wire n_10027;
+   wire n_10028;
+   wire n_10029;
+   wire n_10030;
+   wire n_10031;
+   wire n_10032;
+   wire n_10033;
+   wire n_10034;
+   wire n_10035;
+   wire n_10036;
+   wire n_10037;
+   wire n_10038;
+   wire n_10039;
+   wire n_10040;
+   wire n_10041;
+   wire n_10044;
+   wire n_10045;
+   wire n_10046;
+   wire n_10047;
+   wire n_10048;
+   wire n_10049;
+   wire n_10050;
+   wire n_10051;
+   wire n_10052;
+   wire n_10053;
+   wire n_10054;
+   wire n_10055;
+   wire n_10056;
+   wire n_10057;
+   wire n_10058;
+   wire n_10062;
+   wire n_10063;
+   wire n_10065;
+   wire n_10066;
+   wire n_10067;
+   wire n_10069;
+   wire n_10071;
+   wire n_10072;
+   wire n_10073;
+   wire n_10074;
+   wire n_10075;
+   wire n_10076;
+   wire n_10077;
+   wire n_10078;
+   wire n_10079;
+   wire n_10080;
+   wire n_10081;
+   wire n_10082;
+   wire n_10084;
+   wire n_10086;
+   wire n_10087;
+   wire n_10088;
+   wire n_10089;
+   wire n_10090;
+   wire n_10091;
+   wire n_10092;
+   wire n_10093;
+   wire n_10094;
+   wire n_10095;
+   wire n_10096;
+   wire n_10097;
+   wire n_10098;
+   wire n_10099;
+   wire n_10100;
+   wire n_10101;
+   wire n_10102;
+   wire n_10104;
+   wire n_10105;
+   wire n_10107;
+   wire n_10109;
+   wire n_10110;
+   wire n_10111;
+   wire n_10112;
+   wire n_10113;
+   wire n_10114;
+   wire n_10116;
+   wire n_10117;
+   wire n_10120;
+   wire n_10121;
+   wire n_10122;
+   wire n_10124;
+   wire n_10126;
+   wire n_10128;
+   wire n_10129;
+   wire n_10130;
+   wire n_10132;
+   wire n_10135;
+   wire n_10137;
+   wire n_10138;
+   wire n_10142;
+   wire n_10144;
+   wire n_10145;
+   wire n_10146;
+   wire n_10147;
+   wire n_10148;
+   wire n_10149;
+   wire n_10150;
+   wire n_10151;
+   wire n_10152;
+   wire n_10153;
+   wire n_10154;
+   wire n_10155;
+   wire n_10156;
+   wire n_10157;
+   wire n_10158;
+   wire n_10159;
+   wire n_10160;
+   wire n_10161;
+   wire n_10162;
+   wire n_10163;
+   wire n_10164;
+   wire n_10165;
+   wire n_10166;
+   wire n_10167;
+   wire n_10168;
+   wire n_10169;
+   wire n_10170;
+   wire n_10171;
+   wire n_10172;
+   wire n_10173;
+   wire n_10174;
+   wire n_10175;
+   wire n_10176;
+   wire n_10177;
+   wire n_10178;
+   wire n_10179;
+   wire n_10180;
+   wire n_10181;
+   wire n_10182;
+   wire n_10183;
+   wire n_10184;
+   wire n_10185;
+   wire n_10186;
+   wire n_10187;
+   wire n_10188;
+   wire n_10189;
+   wire n_10190;
+   wire n_10191;
+   wire n_10192;
+   wire n_10193;
+   wire n_10194;
+   wire n_10195;
+   wire n_10196;
+   wire n_10197;
+   wire n_10198;
+   wire n_10199;
+   wire n_10200;
+   wire n_10201;
+   wire n_10202;
+   wire n_10203;
+   wire n_10204;
+   wire n_10205;
+   wire n_10206;
+   wire n_10207;
+   wire n_10208;
+   wire n_10209;
+   wire n_10210;
+   wire n_10211;
+   wire n_10212;
+   wire n_10213;
+   wire n_10214;
+   wire n_10215;
+   wire n_10216;
+   wire n_10217;
+   wire n_10218;
+   wire n_10219;
+   wire n_10220;
+   wire n_10221;
+   wire n_10222;
+   wire n_10223;
+   wire n_10225;
+   wire n_10226;
+   wire n_10227;
+   wire n_10228;
+   wire n_10229;
+   wire n_10230;
+   wire n_10231;
+   wire n_10232;
+   wire n_10233;
+   wire n_10234;
+   wire n_10235;
+   wire n_10236;
+   wire n_10237;
+   wire n_10238;
+   wire n_10239;
+   wire n_10240;
+   wire n_10244;
+   wire n_10246;
+   wire n_10247;
+   wire n_10248;
+   wire n_10249;
+   wire n_10250;
+   wire n_10251;
+   wire n_10252;
+   wire n_10254;
+   wire n_10255;
+   wire n_10256;
+   wire n_10257;
+   wire n_10258;
+   wire n_10259;
+   wire n_10260;
+   wire n_10261;
+   wire n_10262;
+   wire n_10263;
+   wire n_10264;
+   wire n_10265;
+   wire n_10266;
+   wire n_10267;
+   wire n_10268;
+   wire n_10269;
+   wire n_10270;
+   wire n_10271;
+   wire n_10272;
+   wire n_10273;
+   wire n_10275;
+   wire n_10277;
+   wire n_10278;
+   wire n_10279;
+   wire n_10280;
+   wire n_10281;
+   wire n_10282;
+   wire n_10283;
+   wire n_10284;
+   wire n_10285;
+   wire n_10286;
+   wire n_10287;
+   wire n_10288;
+   wire n_10289;
+   wire n_10290;
+   wire n_10291;
+   wire n_10292;
+   wire n_10293;
+   wire n_10294;
+   wire n_10295;
+   wire n_10296;
+   wire n_10297;
+   wire n_10298;
+   wire n_10299;
+   wire n_10300;
+   wire n_10301;
+   wire n_10302;
+   wire n_10303;
+   wire n_10304;
+   wire n_10305;
+   wire n_10306;
+   wire n_10307;
+   wire n_10308;
+   wire n_10309;
+   wire n_10310;
+   wire n_10311;
+   wire n_10312;
+   wire n_10313;
+   wire n_10315;
+   wire n_10316;
+   wire n_10317;
+   wire n_10318;
+   wire n_10319;
+   wire n_10320;
+   wire n_10321;
+   wire n_10322;
+   wire n_10323;
+   wire n_10324;
+   wire n_10325;
+   wire n_10326;
+   wire n_10327;
+   wire n_10328;
+   wire n_10329;
+   wire n_10330;
+   wire n_10331;
+   wire n_10332;
+   wire n_10333;
+   wire n_10334;
+   wire n_10335;
+   wire n_10336;
+   wire n_10337;
+   wire n_10338;
+   wire n_10339;
+   wire n_10340;
+   wire n_10341;
+   wire n_10342;
+   wire n_10343;
+   wire n_10344;
+   wire n_10345;
+   wire n_10346;
+   wire n_10347;
+   wire n_10348;
+   wire n_10349;
+   wire n_10350;
+   wire n_10351;
+   wire n_10352;
+   wire n_10353;
+   wire n_10354;
+   wire n_10355;
+   wire n_10356;
+   wire n_10357;
+   wire n_10358;
+   wire n_10359;
+   wire n_10360;
+   wire n_10361;
+   wire n_10362;
+   wire n_10363;
+   wire n_10364;
+   wire n_10365;
+   wire n_10366;
+   wire n_10367;
+   wire n_10368;
+   wire n_10369;
+   wire n_10370;
+   wire n_10371;
+   wire n_10372;
+   wire n_10373;
+   wire n_10374;
+   wire n_10375;
+   wire n_10376;
+   wire n_10377;
+   wire n_10378;
+   wire n_10379;
+   wire n_10380;
+   wire n_10381;
+   wire n_10382;
+   wire n_10383;
+   wire n_10384;
+   wire n_10385;
+   wire n_10386;
+   wire n_10387;
+   wire n_10388;
+   wire n_10389;
+   wire n_10390;
+   wire n_10391;
+   wire n_10392;
+   wire n_10393;
+   wire n_10394;
+   wire n_10395;
+   wire n_10397;
+   wire n_10399;
+   wire n_10400;
+   wire n_10401;
+   wire n_10402;
+   wire n_10403;
+   wire n_10404;
+   wire n_10405;
+   wire n_10406;
+   wire n_10407;
+   wire n_10408;
+   wire n_10409;
+   wire n_10410;
+   wire n_10411;
+   wire n_10412;
+   wire n_10413;
+   wire n_10414;
+   wire n_10415;
+   wire n_10416;
+   wire n_10417;
+   wire n_10418;
+   wire n_10419;
+   wire n_10420;
+   wire n_10421;
+   wire n_10422;
+   wire n_10423;
+   wire n_10424;
+   wire n_10425;
+   wire n_10426;
+   wire n_10427;
+   wire n_10428;
+   wire n_10429;
+   wire n_10430;
+   wire n_10431;
+   wire n_10432;
+   wire n_10433;
+   wire n_10434;
+   wire n_10435;
+   wire n_10436;
+   wire n_10437;
+   wire n_10438;
+   wire n_10439;
+   wire n_10440;
+   wire n_10441;
+   wire n_10442;
+   wire n_10443;
+   wire n_10444;
+   wire n_10445;
+   wire n_10446;
+   wire n_10447;
+   wire n_10448;
+   wire n_10452;
+   wire n_10453;
+   wire n_10454;
+   wire n_10455;
+   wire n_10456;
+   wire n_10457;
+   wire n_10458;
+   wire n_10459;
+   wire n_10460;
+   wire n_10461;
+   wire n_10462;
+   wire n_10463;
+   wire n_10464;
+   wire n_10465;
+   wire n_10466;
+   wire n_10467;
+   wire n_10468;
+   wire n_10469;
+   wire n_10470;
+   wire n_10471;
+   wire n_10472;
+   wire n_10473;
+   wire n_10474;
+   wire n_10475;
+   wire n_10476;
+   wire n_10477;
+   wire n_10478;
+   wire n_10479;
+   wire n_10480;
+   wire n_10481;
+   wire n_10482;
+   wire n_10483;
+   wire n_10484;
+   wire n_10485;
+   wire n_10486;
+   wire n_10487;
+   wire n_10488;
+   wire n_10489;
+   wire n_10490;
+   wire n_10491;
+   wire n_10492;
+   wire n_10493;
+   wire n_10494;
+   wire n_10495;
+   wire n_10496;
+   wire n_10497;
+   wire n_10498;
+   wire n_10499;
+   wire n_10500;
+   wire n_10503;
+   wire n_10504;
+   wire n_10505;
+   wire n_10506;
+   wire n_10507;
+   wire n_10508;
+   wire n_10509;
+   wire n_10510;
+   wire n_10512;
+   wire n_10513;
+   wire n_10515;
+   wire n_10516;
+   wire n_10517;
+   wire n_10518;
+   wire n_10519;
+   wire n_10520;
+   wire n_10521;
+   wire n_10524;
+   wire n_10525;
+   wire n_10526;
+   wire n_10532;
+   wire n_10535;
+   wire n_10536;
+   wire n_10537;
+   wire n_10538;
+   wire n_10539;
+   wire n_10540;
+   wire n_10541;
+   wire n_10542;
+   wire n_10543;
+   wire n_10544;
+   wire n_10545;
+   wire n_10546;
+   wire n_10548;
+   wire n_10549;
+   wire n_10551;
+   wire n_10552;
+   wire n_10553;
+   wire n_10554;
+   wire n_10555;
+   wire n_10556;
+   wire n_10557;
+   wire n_10558;
+   wire n_10559;
+   wire n_10560;
+   wire n_10561;
+   wire n_10562;
+   wire n_10563;
+   wire n_10564;
+   wire n_10566;
+   wire n_10567;
+   wire n_10568;
+   wire n_10569;
+   wire n_10570;
+   wire n_10572;
+   wire n_10573;
+   wire n_10574;
+   wire n_10575;
+   wire n_10576;
+   wire n_10577;
+   wire n_10578;
+   wire n_10579;
+   wire n_10581;
+   wire n_10585;
+   wire n_10586;
+   wire n_10587;
+   wire n_10588;
+   wire n_10589;
+   wire n_10591;
+   wire n_10592;
+   wire n_10593;
+   wire n_10594;
+   wire n_10595;
+   wire n_10596;
+   wire n_10597;
+   wire n_10598;
+   wire n_10599;
+   wire n_10600;
+   wire n_10601;
+   wire n_10602;
+   wire n_10603;
+   wire n_10604;
+   wire n_10605;
+   wire n_10606;
+   wire n_10607;
+   wire n_10608;
+   wire n_10609;
+   wire n_10610;
+   wire n_10611;
+   wire n_10612;
+   wire n_10613;
+   wire n_10614;
+   wire n_10615;
+   wire n_10616;
+   wire n_10617;
+   wire n_10618;
+   wire n_10619;
+   wire n_10620;
+   wire n_10621;
+   wire n_10622;
+   wire n_10623;
+   wire n_10624;
+   wire n_10625;
+   wire n_10626;
+   wire n_10627;
+   wire n_10628;
+   wire n_10629;
+   wire n_10630;
+   wire n_10631;
+   wire n_10632;
+   wire n_10633;
+   wire n_10634;
+   wire n_10635;
+   wire n_10636;
+   wire n_10637;
+   wire n_10638;
+   wire n_10639;
+   wire n_10640;
+   wire n_10641;
+   wire n_10642;
+   wire n_10643;
+   wire n_10644;
+   wire n_10645;
+   wire n_10646;
+   wire n_10647;
+   wire n_10648;
+   wire n_10649;
+   wire n_10650;
+   wire n_10651;
+   wire n_10652;
+   wire n_10653;
+   wire n_10654;
+   wire n_10655;
+   wire n_10656;
+   wire n_10657;
+   wire n_10659;
+   wire n_10660;
+   wire n_10661;
+   wire n_10664;
+   wire n_10665;
+   wire n_10668;
+   wire n_10669;
+   wire n_10671;
+   wire n_10672;
+   wire n_10673;
+   wire n_10675;
+   wire n_10676;
+   wire n_10678;
+   wire n_10679;
+   wire n_10680;
+   wire n_10681;
+   wire n_10682;
+   wire n_10683;
+   wire n_10684;
+   wire n_10685;
+   wire n_10686;
+   wire n_10687;
+   wire n_10688;
+   wire n_10689;
+   wire n_10690;
+   wire n_10691;
+   wire n_10695;
+   wire n_10696;
+   wire n_10697;
+   wire n_10698;
+   wire n_10701;
+   wire n_10702;
+   wire n_10703;
+   wire n_10704;
+   wire n_10705;
+   wire n_10706;
+   wire n_10707;
+   wire n_10708;
+   wire n_10709;
+   wire n_10710;
+   wire n_10711;
+   wire n_10712;
+   wire n_10714;
+   wire n_10716;
+   wire n_10717;
+   wire n_10718;
+   wire n_10719;
+   wire n_10720;
+   wire n_10721;
+   wire n_10728;
+   wire n_10729;
+   wire n_10730;
+   wire n_10731;
+   wire n_10732;
+   wire n_10733;
+   wire n_10734;
+   wire n_10737;
+   wire n_10738;
+   wire n_10739;
+   wire n_10740;
+   wire n_10741;
+   wire n_10742;
+   wire n_10743;
+   wire n_10744;
+   wire n_10745;
+   wire n_10746;
+   wire n_10747;
+   wire n_10748;
+   wire n_10749;
+   wire n_10750;
+   wire n_10751;
+   wire n_10752;
+   wire n_10753;
+   wire n_10754;
+   wire n_10755;
+   wire n_10756;
+   wire n_10757;
+   wire n_10758;
+   wire n_10759;
+   wire n_10760;
+   wire n_10761;
+   wire n_10762;
+   wire n_10763;
+   wire n_10764;
+   wire n_10765;
+   wire n_10766;
+   wire n_10767;
+   wire n_10768;
+   wire n_10769;
+   wire n_10770;
+   wire n_10771;
+   wire n_10772;
+   wire n_10773;
+   wire n_10774;
+   wire n_10775;
+   wire n_10776;
+   wire n_10777;
+   wire n_10778;
+   wire n_10779;
+   wire n_10780;
+   wire n_10781;
+   wire n_10782;
+   wire n_10783;
+   wire n_10784;
+   wire n_10785;
+   wire n_10786;
+   wire n_10787;
+   wire n_10788;
+   wire n_10789;
+   wire n_10790;
+   wire n_10791;
+   wire n_10792;
+   wire n_10793;
+   wire n_10794;
+   wire n_10795;
+   wire n_10796;
+   wire n_10797;
+   wire n_10798;
+   wire n_10799;
+   wire n_10800;
+   wire n_10801;
+   wire n_10802;
+   wire n_10803;
+   wire n_10804;
+   wire n_10805;
+   wire n_10806;
+   wire n_10807;
+   wire n_10808;
+   wire n_10809;
+   wire n_10810;
+   wire n_10811;
+   wire n_10812;
+   wire n_10813;
+   wire n_10814;
+   wire n_10815;
+   wire n_10816;
+   wire n_10817;
+   wire n_10820;
+   wire n_10821;
+   wire n_10822;
+   wire n_10823;
+   wire n_10824;
+   wire n_10825;
+   wire n_10826;
+   wire n_10827;
+   wire n_10828;
+   wire n_10829;
+   wire n_10830;
+   wire n_10831;
+   wire n_10839;
+   wire n_10840;
+   wire n_10841;
+   wire n_10843;
+   wire n_10844;
+   wire n_10845;
+   wire n_10846;
+   wire n_10847;
+   wire n_10848;
+   wire n_10849;
+   wire n_10850;
+   wire n_10851;
+   wire n_10852;
+   wire n_10853;
+   wire n_10854;
+   wire n_10855;
+   wire n_10856;
+   wire n_10857;
+   wire n_10858;
+   wire n_10859;
+   wire n_10860;
+   wire n_10861;
+   wire n_10862;
+   wire n_10863;
+   wire n_10864;
+   wire n_10865;
+   wire n_10866;
+   wire n_10867;
+   wire n_10868;
+   wire n_10869;
+   wire n_10870;
+   wire n_10871;
+   wire n_10872;
+   wire n_10873;
+   wire n_10874;
+   wire n_10875;
+   wire n_10876;
+   wire n_10877;
+   wire n_10878;
+   wire n_10879;
+   wire n_10880;
+   wire n_10881;
+   wire n_10882;
+   wire n_10883;
+   wire n_10884;
+   wire n_10885;
+   wire n_10886;
+   wire n_10887;
+   wire n_10888;
+   wire n_10890;
+   wire n_10891;
+   wire n_10892;
+   wire n_10893;
+   wire n_10894;
+   wire n_10895;
+   wire n_10896;
+   wire n_10897;
+   wire n_10898;
+   wire n_10899;
+   wire n_10900;
+   wire n_10901;
+   wire n_10902;
+   wire n_10903;
+   wire n_10904;
+   wire n_10905;
+   wire n_10906;
+   wire n_10907;
+   wire n_10908;
+   wire n_10909;
+   wire n_10910;
+   wire n_10911;
+   wire n_10912;
+   wire n_10913;
+   wire n_10914;
+   wire n_10920;
+   wire n_10921;
+   wire n_10922;
+   wire n_10924;
+   wire n_10925;
+   wire n_10926;
+   wire n_10927;
+   wire n_10928;
+   wire n_10929;
+   wire n_10930;
+   wire n_10931;
+   wire n_10932;
+   wire n_10933;
+   wire n_10934;
+   wire n_10935;
+   wire n_10936;
+   wire n_10937;
+   wire n_10938;
+   wire n_10939;
+   wire n_10940;
+   wire n_10941;
+   wire n_10942;
+   wire n_10943;
+   wire n_10944;
+   wire n_10945;
+   wire n_10946;
+   wire n_10948;
+   wire n_10949;
+   wire n_10950;
+   wire n_10951;
+   wire n_10952;
+   wire n_10954;
+   wire n_10955;
+   wire n_10956;
+   wire n_10957;
+   wire n_10958;
+   wire n_10959;
+   wire n_10960;
+   wire n_10961;
+   wire n_10962;
+   wire n_10963;
+   wire n_10964;
+   wire n_10965;
+   wire n_10966;
+   wire n_10967;
+   wire n_10968;
+   wire n_10970;
+   wire n_10971;
+   wire n_10974;
+   wire n_10975;
+   wire n_10976;
+   wire n_10977;
+   wire n_10978;
+   wire n_10979;
+   wire n_10980;
+   wire n_10981;
+   wire n_10982;
+   wire n_10983;
+   wire n_10984;
+   wire n_10985;
+   wire n_10986;
+   wire n_10987;
+   wire n_10988;
+   wire n_10989;
+   wire n_10991;
+   wire n_10992;
+   wire n_10993;
+   wire n_10994;
+   wire n_10995;
+   wire n_10996;
+   wire n_10997;
+   wire n_10998;
+   wire n_10999;
+   wire n_11000;
+   wire n_11001;
+   wire n_11003;
+   wire n_11004;
+   wire n_11005;
+   wire n_11006;
+   wire n_11007;
+   wire n_11008;
+   wire n_11009;
+   wire n_11010;
+   wire n_11011;
+   wire n_11012;
+   wire n_11013;
+   wire n_11014;
+   wire n_11015;
+   wire n_11016;
+   wire n_11017;
+   wire n_11018;
+   wire n_11019;
+   wire n_11020;
+   wire n_11021;
+   wire n_11022;
+   wire n_11023;
+   wire n_11024;
+   wire n_11025;
+   wire n_11026;
+   wire n_11027;
+   wire n_11028;
+   wire n_11029;
+   wire n_11030;
+   wire n_11031;
+   wire n_11037;
+   wire n_11038;
+   wire n_11039;
+   wire n_11040;
+   wire n_11041;
+   wire n_11042;
+   wire n_11043;
+   wire n_11044;
+   wire n_11045;
+   wire n_11046;
+   wire n_11047;
+   wire n_11048;
+   wire n_11049;
+   wire n_11050;
+   wire n_11051;
+   wire n_11052;
+   wire n_11053;
+   wire n_11054;
+   wire n_11055;
+   wire n_11056;
+   wire n_11057;
+   wire n_11058;
+   wire n_11059;
+   wire n_11060;
+   wire n_11061;
+   wire n_11062;
+   wire n_11063;
+   wire n_11064;
+   wire n_11065;
+   wire n_11066;
+   wire n_11067;
+   wire n_11068;
+   wire n_11069;
+   wire n_11070;
+   wire n_11071;
+   wire n_11072;
+   wire n_11073;
+   wire n_11074;
+   wire n_11075;
+   wire n_11076;
+   wire n_11077;
+   wire n_11078;
+   wire n_11079;
+   wire n_11080;
+   wire n_11081;
+   wire n_11082;
+   wire n_11083;
+   wire n_11084;
+   wire n_11085;
+   wire n_11086;
+   wire n_11087;
+   wire n_11088;
+   wire n_11100;
+   wire n_11101;
+   wire n_11102;
+   wire n_11103;
+   wire n_11104;
+   wire n_11105;
+   wire n_11106;
+   wire n_11107;
+   wire n_11108;
+   wire n_11109;
+   wire n_11110;
+   wire n_11111;
+   wire n_11112;
+   wire n_11113;
+   wire n_11114;
+   wire n_11115;
+   wire n_11124;
+   wire n_11132;
+   wire n_11140;
+   wire n_11141;
+   wire n_11142;
+   wire n_11143;
+   wire n_11144;
+   wire n_11145;
+   wire n_11146;
+   wire n_11147;
+   wire n_11148;
+   wire n_11149;
+   wire n_11151;
+   wire n_11152;
+   wire n_11153;
+   wire n_11154;
+   wire n_11155;
+   wire n_11156;
+   wire n_11157;
+   wire n_11158;
+   wire n_11159;
+   wire n_11160;
+   wire n_11161;
+   wire n_11162;
+   wire n_11163;
+   wire n_11164;
+   wire n_11165;
+   wire n_11166;
+   wire n_11167;
+   wire n_11168;
+   wire n_11169;
+   wire n_11170;
+   wire n_11171;
+   wire n_11172;
+   wire n_11173;
+   wire n_11174;
+   wire n_11175;
+   wire n_11176;
+   wire n_11177;
+   wire n_11178;
+   wire n_11179;
+   wire n_11180;
+   wire n_11181;
+   wire n_11182;
+   wire n_11183;
+   wire n_11184;
+   wire n_11200;
+   wire n_11209;
+   wire n_11210;
+   wire n_11211;
+   wire n_11212;
+   wire n_11213;
+   wire n_11214;
+   wire n_11215;
+   wire n_11216;
+   wire n_11217;
+   wire n_11218;
+   wire n_11219;
+   wire n_11220;
+   wire n_11221;
+   wire n_11222;
+   wire n_11223;
+   wire n_11224;
+   wire n_11225;
+   wire n_11226;
+   wire n_11227;
+   wire n_11228;
+   wire n_11229;
+   wire n_11230;
+   wire n_11231;
+   wire n_11232;
+   wire n_11233;
+   wire n_11234;
+   wire n_11235;
+   wire n_11236;
+   wire n_11237;
+   wire n_11238;
+   wire n_11239;
+   wire n_11240;
+   wire n_11241;
+   wire n_11243;
+   wire n_11245;
+   wire n_11246;
+   wire n_11247;
+   wire n_11248;
+   wire n_11250;
+   wire n_11251;
+   wire n_11252;
+   wire n_11253;
+   wire n_11254;
+   wire n_11255;
+   wire n_11256;
+   wire n_11257;
+   wire n_11258;
+   wire n_11261;
+   wire n_11262;
+   wire n_11263;
+   wire n_11264;
+   wire n_11265;
+   wire n_11266;
+   wire n_11267;
+   wire n_11268;
+   wire n_11269;
+   wire n_11270;
+   wire n_11271;
+   wire n_11272;
+   wire n_11273;
+   wire n_11274;
+   wire n_11275;
+   wire n_11276;
+   wire n_11277;
+   wire n_11278;
+   wire n_11279;
+   wire n_11280;
+   wire n_11281;
+   wire n_11282;
+   wire n_11283;
+   wire n_11284;
+   wire n_11285;
+   wire n_11286;
+   wire n_11287;
+   wire n_11288;
+   wire n_11289;
+   wire n_11290;
+   wire n_11291;
+   wire n_11292;
+   wire n_11293;
+   wire n_11295;
+   wire n_11296;
+   wire n_11297;
+   wire n_11298;
+   wire n_11299;
+   wire n_11300;
+   wire n_11301;
+   wire n_11302;
+   wire n_11303;
+   wire n_11305;
+   wire n_11306;
+   wire n_11307;
+   wire n_11308;
+   wire n_11309;
+   wire n_11310;
+   wire n_11311;
+   wire n_11312;
+   wire n_11313;
+   wire n_11314;
+   wire n_11315;
+   wire n_11316;
+   wire n_11317;
+   wire n_11318;
+   wire n_11319;
+   wire n_11320;
+   wire n_11321;
+   wire n_11322;
+   wire n_11323;
+   wire n_11324;
+   wire n_11325;
+   wire n_11326;
+   wire n_11327;
+   wire n_11328;
+   wire n_11329;
+   wire n_11330;
+   wire n_11331;
+   wire n_11332;
+   wire n_11333;
+   wire n_11334;
+   wire n_11335;
+   wire n_11336;
+   wire n_11337;
+   wire n_11338;
+   wire n_11339;
+   wire n_11340;
+   wire n_11341;
+   wire n_11342;
+   wire n_11343;
+   wire n_11344;
+   wire n_11345;
+   wire n_11346;
+   wire n_11347;
+   wire n_11348;
+   wire n_11349;
+   wire n_11350;
+   wire n_11351;
+   wire n_11352;
+   wire n_11353;
+   wire n_11354;
+   wire n_11355;
+   wire n_11356;
+   wire n_11357;
+   wire n_11358;
+   wire n_11359;
+   wire n_11360;
+   wire n_11361;
+   wire n_11362;
+   wire n_11363;
+   wire n_11365;
+   wire n_11366;
+   wire n_11367;
+   wire n_11368;
+   wire n_11369;
+   wire n_11370;
+   wire n_11371;
+   wire n_11372;
+   wire n_11373;
+   wire n_11374;
+   wire n_11375;
+   wire n_11376;
+   wire n_11377;
+   wire n_11378;
+   wire n_11379;
+   wire n_11380;
+   wire n_11381;
+   wire n_11382;
+   wire n_11383;
+   wire n_11384;
+   wire n_11385;
+   wire n_11386;
+   wire n_11387;
+   wire n_11388;
+   wire n_11389;
+   wire n_11390;
+   wire n_11391;
+   wire n_11392;
+   wire n_11393;
+   wire n_11394;
+   wire n_11395;
+   wire n_11396;
+   wire n_11397;
+   wire n_11398;
+   wire n_11399;
+   wire n_11400;
+   wire n_11401;
+   wire n_11402;
+   wire n_11403;
+   wire n_11404;
+   wire n_11405;
+   wire n_11406;
+   wire n_11408;
+   wire n_11409;
+   wire n_11410;
+   wire n_11411;
+   wire n_11412;
+   wire n_11413;
+   wire n_11414;
+   wire n_11415;
+   wire n_11416;
+   wire n_11417;
+   wire n_11418;
+   wire n_11419;
+   wire n_11420;
+   wire n_11421;
+   wire n_11422;
+   wire n_11423;
+   wire n_11424;
+   wire n_11425;
+   wire n_11426;
+   wire n_11427;
+   wire n_11428;
+   wire n_11429;
+   wire n_11430;
+   wire n_11431;
+   wire n_11432;
+   wire n_11433;
+   wire n_11434;
+   wire n_11435;
+   wire n_11436;
+   wire n_11437;
+   wire n_11438;
+   wire n_11439;
+   wire n_11440;
+   wire n_11441;
+   wire n_11442;
+   wire n_11443;
+   wire n_11444;
+   wire n_11445;
+   wire n_11446;
+   wire n_11448;
+   wire n_11449;
+   wire n_11450;
+   wire n_11451;
+   wire n_11452;
+   wire n_11453;
+   wire n_11455;
+   wire n_11456;
+   wire n_11457;
+   wire n_11458;
+   wire n_11459;
+   wire n_11460;
+   wire n_11461;
+   wire n_11463;
+   wire n_11464;
+   wire n_11465;
+   wire n_11466;
+   wire n_11467;
+   wire n_11468;
+   wire n_11469;
+   wire n_11470;
+   wire n_11471;
+   wire n_11472;
+   wire n_11473;
+   wire n_11474;
+   wire n_11475;
+   wire n_11476;
+   wire n_11477;
+   wire n_11478;
+   wire n_11479;
+   wire n_11480;
+   wire n_11481;
+   wire n_11482;
+   wire n_11483;
+   wire n_11484;
+   wire n_11485;
+   wire n_11486;
+   wire n_11487;
+   wire n_11488;
+   wire n_11489;
+   wire n_11490;
+   wire n_11491;
+   wire n_11492;
+   wire n_11493;
+   wire n_11494;
+   wire n_11495;
+   wire n_11496;
+   wire n_11497;
+   wire n_11498;
+   wire n_11499;
+   wire n_11500;
+   wire n_11501;
+   wire n_11502;
+   wire n_11503;
+   wire n_11504;
+   wire n_11505;
+   wire n_11506;
+   wire n_11507;
+   wire n_11508;
+   wire n_11509;
+   wire n_11510;
+   wire n_11511;
+   wire n_11512;
+   wire n_11513;
+   wire n_11514;
+   wire n_11515;
+   wire n_11516;
+   wire n_11518;
+   wire n_11519;
+   wire n_11521;
+   wire n_11522;
+   wire n_11523;
+   wire n_11524;
+   wire n_11525;
+   wire n_11526;
+   wire n_11527;
+   wire n_11528;
+   wire n_11529;
+   wire n_11530;
+   wire n_11531;
+   wire n_11532;
+   wire n_11533;
+   wire n_11534;
+   wire n_11535;
+   wire n_11536;
+   wire n_11537;
+   wire n_11538;
+   wire n_11539;
+   wire n_11540;
+   wire n_11541;
+   wire n_11542;
+   wire n_11543;
+   wire n_11544;
+   wire n_11545;
+   wire n_11546;
+   wire n_11547;
+   wire n_11548;
+   wire n_11549;
+   wire n_11550;
+   wire n_11551;
+   wire n_11552;
+   wire n_11553;
+   wire n_11554;
+   wire n_11555;
+   wire n_11556;
+   wire n_11557;
+   wire n_11558;
+   wire n_11559;
+   wire n_11560;
+   wire n_11561;
+   wire n_11562;
+   wire n_11563;
+   wire n_11564;
+   wire n_11565;
+   wire n_11566;
+   wire n_11567;
+   wire n_11568;
+   wire n_11569;
+   wire n_11570;
+   wire n_11571;
+   wire n_11572;
+   wire n_11573;
+   wire n_11574;
+   wire n_11575;
+   wire n_11576;
+   wire n_11577;
+   wire n_11578;
+   wire n_11579;
+   wire n_11580;
+   wire n_11581;
+   wire n_11582;
+   wire n_11584;
+   wire n_11585;
+   wire n_11586;
+   wire n_11587;
+   wire n_11588;
+   wire n_11589;
+   wire n_11590;
+   wire n_11591;
+   wire n_11592;
+   wire n_11593;
+   wire n_11594;
+   wire n_11595;
+   wire n_11596;
+   wire n_11597;
+   wire n_11598;
+   wire n_11599;
+   wire n_11600;
+   wire n_11601;
+   wire n_11602;
+   wire n_11603;
+   wire n_11604;
+   wire n_11605;
+   wire n_11606;
+   wire n_11607;
+   wire n_11608;
+   wire n_11609;
+   wire n_11610;
+   wire n_11611;
+   wire n_11612;
+   wire n_11613;
+   wire n_11614;
+   wire n_11615;
+   wire n_11616;
+   wire n_11617;
+   wire n_11618;
+   wire n_11619;
+   wire n_11620;
+   wire n_11621;
+   wire n_11622;
+   wire n_11623;
+   wire n_11624;
+   wire n_11625;
+   wire n_11626;
+   wire n_11627;
+   wire n_11628;
+   wire n_11629;
+   wire n_11630;
+   wire n_11631;
+   wire n_11632;
+   wire n_11633;
+   wire n_11634;
+   wire n_11635;
+   wire n_11636;
+   wire n_11637;
+   wire n_11638;
+   wire n_11639;
+   wire n_11640;
+   wire n_11641;
+   wire n_11642;
+   wire n_11644;
+   wire n_11645;
+   wire n_11646;
+   wire n_11647;
+   wire n_11648;
+   wire n_11649;
+   wire n_11650;
+   wire n_11651;
+   wire n_11652;
+   wire n_11654;
+   wire n_11655;
+   wire n_11656;
+   wire n_11657;
+   wire n_11658;
+   wire n_11659;
+   wire n_11660;
+   wire n_11661;
+   wire n_11662;
+   wire n_11663;
+   wire n_11664;
+   wire n_11666;
+   wire n_11667;
+   wire n_11668;
+   wire n_11670;
+   wire n_11671;
+   wire n_11672;
+   wire n_11673;
+   wire n_11674;
+   wire n_11675;
+   wire n_11677;
+   wire n_11678;
+   wire n_11679;
+   wire n_11680;
+   wire n_11681;
+   wire n_11682;
+   wire n_11683;
+   wire n_11684;
+   wire n_11685;
+   wire n_11686;
+   wire n_11687;
+   wire n_11688;
+   wire n_11689;
+   wire n_11690;
+   wire n_11691;
+   wire n_11692;
+   wire n_11693;
+   wire n_11694;
+   wire n_11695;
+   wire n_11697;
+   wire n_11698;
+   wire n_11699;
+   wire n_11700;
+   wire n_11701;
+   wire n_11702;
+   wire n_11703;
+   wire n_11704;
+   wire n_11705;
+   wire n_11707;
+   wire n_11708;
+   wire n_11709;
+   wire n_11711;
+   wire n_11713;
+   wire n_11714;
+   wire n_11715;
+   wire n_11716;
+   wire n_11717;
+   wire n_11719;
+   wire n_11720;
+   wire n_11721;
+   wire n_11722;
+   wire n_11723;
+   wire n_11724;
+   wire n_11725;
+   wire n_11726;
+   wire n_11727;
+   wire n_11728;
+   wire n_11729;
+   wire n_11730;
+   wire n_11731;
+   wire n_11733;
+   wire n_11734;
+   wire n_11735;
+   wire n_11736;
+   wire n_11737;
+   wire n_11739;
+   wire n_11740;
+   wire n_11741;
+   wire n_11742;
+   wire n_11743;
+   wire n_11744;
+   wire n_11745;
+   wire n_11746;
+   wire n_11747;
+   wire n_11748;
+   wire n_11749;
+   wire n_11751;
+   wire n_11752;
+   wire n_11753;
+   wire n_11756;
+   wire n_11757;
+   wire n_11758;
+   wire n_11759;
+   wire n_11760;
+   wire n_11761;
+   wire n_11762;
+   wire n_11763;
+   wire n_11764;
+   wire n_11765;
+   wire n_11766;
+   wire n_11767;
+   wire n_11768;
+   wire n_11769;
+   wire n_11770;
+   wire n_11771;
+   wire n_11773;
+   wire n_11774;
+   wire n_11775;
+   wire n_11776;
+   wire n_11777;
+   wire n_11778;
+   wire n_11779;
+   wire n_11780;
+   wire n_11781;
+   wire n_11782;
+   wire n_11783;
+   wire n_11784;
+   wire n_11785;
+   wire n_11787;
+   wire n_11788;
+   wire n_11789;
+   wire n_11790;
+   wire n_11791;
+   wire n_11792;
+   wire n_11793;
+   wire n_11794;
+   wire n_11795;
+   wire n_11796;
+   wire n_11797;
+   wire n_11798;
+   wire n_11799;
+   wire n_11800;
+   wire n_11801;
+   wire n_11802;
+   wire n_11803;
+   wire n_11805;
+   wire n_11806;
+   wire n_11807;
+   wire n_11808;
+   wire n_11809;
+   wire n_11810;
+   wire n_11811;
+   wire n_11812;
+   wire n_11813;
+   wire n_11814;
+   wire n_11815;
+   wire n_11816;
+   wire n_11817;
+   wire n_11818;
+   wire n_11819;
+   wire n_11820;
+   wire n_11821;
+   wire n_11822;
+   wire n_11823;
+   wire n_11824;
+   wire n_11825;
+   wire n_11826;
+   wire n_11827;
+   wire n_11828;
+   wire n_11829;
+   wire n_11830;
+   wire n_11831;
+   wire n_11832;
+   wire n_11833;
+   wire n_11834;
+   wire n_11835;
+   wire n_11836;
+   wire n_11837;
+   wire n_11838;
+   wire n_11839;
+   wire n_11840;
+   wire n_11841;
+   wire n_11842;
+   wire n_11843;
+   wire n_11844;
+   wire n_11845;
+   wire n_11846;
+   wire n_11847;
+   wire n_11848;
+   wire n_11849;
+   wire n_11850;
+   wire n_11851;
+   wire n_11852;
+   wire n_11853;
+   wire n_11854;
+   wire n_11856;
+   wire n_11857;
+   wire n_11858;
+   wire n_11859;
+   wire n_11860;
+   wire n_11861;
+   wire n_11862;
+   wire n_11863;
+   wire n_11864;
+   wire n_11865;
+   wire n_11866;
+   wire n_11867;
+   wire n_11868;
+   wire n_11870;
+   wire n_11871;
+   wire n_11872;
+   wire n_11874;
+   wire n_11875;
+   wire n_11876;
+   wire n_11877;
+   wire n_11878;
+   wire n_11879;
+   wire n_11880;
+   wire n_11881;
+   wire n_11882;
+   wire n_11883;
+   wire n_11884;
+   wire n_11885;
+   wire n_11886;
+   wire n_11887;
+   wire n_11888;
+   wire n_11889;
+   wire n_11890;
+   wire n_11891;
+   wire n_11892;
+   wire n_11893;
+   wire n_11894;
+   wire n_11895;
+   wire n_11896;
+   wire n_11897;
+   wire n_11898;
+   wire n_11899;
+   wire n_11900;
+   wire n_11901;
+   wire n_11902;
+   wire n_11903;
+   wire n_11904;
+   wire n_11905;
+   wire n_11906;
+   wire n_11907;
+   wire n_11908;
+   wire n_11909;
+   wire n_11910;
+   wire n_11911;
+   wire n_11913;
+   wire n_11914;
+   wire n_11915;
+   wire n_11917;
+   wire n_11918;
+   wire n_11919;
+   wire n_11920;
+   wire n_11921;
+   wire n_11922;
+   wire n_11923;
+   wire n_11924;
+   wire n_11925;
+   wire n_11926;
+   wire n_11927;
+   wire n_11928;
+   wire n_11929;
+   wire n_11930;
+   wire n_11931;
+   wire n_11932;
+   wire n_11933;
+   wire n_11937;
+   wire n_11938;
+   wire n_11941;
+   wire n_11942;
+   wire n_11943;
+   wire n_11944;
+   wire n_11945;
+   wire n_11946;
+   wire n_11947;
+   wire n_11948;
+   wire n_11949;
+   wire n_11950;
+   wire n_11951;
+   wire n_11952;
+   wire n_11953;
+   wire n_11954;
+   wire n_11955;
+   wire n_11956;
+   wire n_11957;
+   wire n_11958;
+   wire n_11959;
+   wire n_11960;
+   wire n_11961;
+   wire n_11962;
+   wire n_11963;
+   wire n_11964;
+   wire n_11965;
+   wire n_11966;
+   wire n_11967;
+   wire n_11968;
+   wire n_11969;
+   wire n_11970;
+   wire n_11971;
+   wire n_11972;
+   wire n_11973;
+   wire n_11974;
+   wire n_11975;
+   wire n_11976;
+   wire n_11977;
+   wire n_11978;
+   wire n_11979;
+   wire n_11981;
+   wire n_11982;
+   wire n_11983;
+   wire n_11984;
+   wire n_11985;
+   wire n_11986;
+   wire n_11987;
+   wire n_11988;
+   wire n_11989;
+   wire n_11990;
+   wire n_11991;
+   wire n_11992;
+   wire n_11994;
+   wire n_11995;
+   wire n_11996;
+   wire n_11997;
+   wire n_11998;
+   wire n_11999;
+   wire n_12000;
+   wire n_12001;
+   wire n_12002;
+   wire n_12003;
+   wire n_12005;
+   wire n_12006;
+   wire n_12007;
+   wire n_12008;
+   wire n_12009;
+   wire n_12010;
+   wire n_12011;
+   wire n_12012;
+   wire n_12013;
+   wire n_12014;
+   wire n_12015;
+   wire n_12016;
+   wire n_12017;
+   wire n_12018;
+   wire n_12019;
+   wire n_12020;
+   wire n_12022;
+   wire n_12023;
+   wire n_12024;
+   wire n_12025;
+   wire n_12026;
+   wire n_12027;
+   wire n_12029;
+   wire n_12030;
+   wire n_12032;
+   wire n_12033;
+   wire n_12034;
+   wire n_12035;
+   wire n_12036;
+   wire n_12037;
+   wire n_12038;
+   wire n_12039;
+   wire n_12040;
+   wire n_12041;
+   wire n_12042;
+   wire n_12043;
+   wire n_12044;
+   wire n_12045;
+   wire n_12046;
+   wire n_12047;
+   wire n_12048;
+   wire n_12049;
+   wire n_12050;
+   wire n_12051;
+   wire n_12053;
+   wire n_12054;
+   wire n_12056;
+   wire n_12057;
+   wire n_12058;
+   wire n_12059;
+   wire n_12060;
+   wire n_12061;
+   wire n_12062;
+   wire n_12063;
+   wire n_12064;
+   wire n_12065;
+   wire n_12066;
+   wire n_12067;
+   wire n_12068;
+   wire n_12069;
+   wire n_12070;
+   wire n_12071;
+   wire n_12072;
+   wire n_12073;
+   wire n_12074;
+   wire n_12075;
+   wire n_12076;
+   wire n_12077;
+   wire n_12078;
+   wire n_12079;
+   wire n_12080;
+   wire n_12081;
+   wire n_12082;
+   wire n_12083;
+   wire n_12084;
+   wire n_12085;
+   wire n_12086;
+   wire n_12087;
+   wire n_12088;
+   wire n_12089;
+   wire n_12090;
+   wire n_12096;
+   wire n_12099;
+   wire n_12101;
+   wire n_12103;
+   wire n_12105;
+   wire n_12106;
+   wire n_12107;
+   wire n_12108;
+   wire n_12109;
+   wire n_12110;
+   wire n_12111;
+   wire n_12112;
+   wire n_12113;
+   wire n_12114;
+   wire n_12115;
+   wire n_12116;
+   wire n_12117;
+   wire n_12118;
+   wire n_12119;
+   wire n_12120;
+   wire n_12121;
+   wire n_12122;
+   wire n_12123;
+   wire n_12124;
+   wire n_12125;
+   wire n_12126;
+   wire n_12127;
+   wire n_12128;
+   wire n_12129;
+   wire n_12130;
+   wire n_12131;
+   wire n_12132;
+   wire n_12133;
+   wire n_12134;
+   wire n_12135;
+   wire n_12136;
+   wire n_12137;
+   wire n_12138;
+   wire n_12139;
+   wire n_12140;
+   wire n_12141;
+   wire n_12142;
+   wire n_12143;
+   wire n_12144;
+   wire n_12145;
+   wire n_12146;
+   wire n_12147;
+   wire n_12148;
+   wire n_12149;
+   wire n_12150;
+   wire n_12151;
+   wire n_12152;
+   wire n_12153;
+   wire n_12154;
+   wire n_12155;
+   wire n_12156;
+   wire n_12229;
+   wire n_12231;
+   wire n_12233;
+   wire n_12244;
+   wire n_12246;
+   wire n_12248;
+   wire n_12250;
+   wire n_12253;
+   wire n_12257;
+   wire n_12260;
+   wire n_12264;
+   wire n_12273;
+   wire n_12281;
+   wire n_12283;
+   wire n_12285;
+   wire n_12286;
+   wire n_12293;
+   wire n_12308;
+   wire n_12309;
+   wire n_12310;
+   wire n_12311;
+   wire n_12312;
+   wire n_12313;
+   wire n_12315;
+   wire n_12316;
+   wire n_12328;
+   wire n_12329;
+   wire n_12331;
+   wire n_12332;
+   wire n_12337;
+   wire n_12340;
+   wire n_12346;
+   wire n_12347;
+   wire n_12348;
+   wire n_12349;
+   wire n_12352;
+   wire n_12353;
+   wire n_12355;
+   wire n_12358;
+   wire n_12359;
+   wire n_12362;
+   wire n_12365;
+   wire n_12366;
+   wire n_12368;
+   wire n_12369;
+   wire n_12370;
+   wire n_12372;
+   wire n_12373;
+   wire n_12374;
+   wire n_12377;
+   wire n_12378;
+   wire n_12379;
+   wire n_12380;
+   wire n_12381;
+   wire n_12382;
+   wire n_12383;
+   wire n_12386;
+   wire n_12387;
+   wire n_12388;
+   wire n_12389;
+   wire n_12393;
+   wire n_12397;
+   wire n_12402;
+   wire n_12404;
+   wire n_12405;
+   wire n_12406;
+   wire n_12407;
+   wire n_12408;
+   wire n_12410;
+   wire n_12411;
+   wire n_12412;
+   wire n_12413;
+   wire n_12414;
+   wire n_12415;
+   wire n_12416;
+   wire n_12417;
+   wire n_12418;
+   wire n_12419;
+   wire n_12420;
+   wire n_12421;
+   wire n_12422;
+   wire n_12423;
+   wire n_12424;
+   wire n_12428;
+   wire n_12441;
+   wire n_12450;
+   wire n_12454;
+   wire n_12458;
+   wire n_12474;
+   wire n_12476;
+   wire n_12478;
+   wire n_12481;
+   wire n_12494;
+   wire n_12495;
+   wire n_12496;
+   wire n_12498;
+   wire n_12500;
+   wire n_12502;
+   wire n_12503;
+   wire n_12508;
+   wire n_12509;
+   wire n_12510;
+   wire n_12511;
+   wire n_12514;
+   wire n_12517;
+   wire n_12518;
+   wire n_12521;
+   wire n_12522;
+   wire n_12523;
+   wire n_12524;
+   wire n_12526;
+   wire n_12527;
+   wire n_12528;
+   wire n_12529;
+   wire n_12530;
+   wire n_12531;
+   wire n_12536;
+   wire n_12538;
+   wire n_12539;
+   wire n_12541;
+   wire n_12542;
+   wire n_12543;
+   wire n_12544;
+   wire n_12546;
+   wire n_12550;
+   wire n_12551;
+   wire n_12553;
+   wire n_12554;
+   wire n_12555;
+   wire n_12556;
+   wire n_12559;
+   wire n_12560;
+   wire n_12561;
+   wire n_12564;
+   wire n_12565;
+   wire n_12566;
+   wire n_12567;
+   wire n_12568;
+   wire n_12569;
+   wire n_12571;
+   wire n_12572;
+   wire n_12573;
+   wire n_12574;
+   wire n_12575;
+   wire n_12576;
+   wire n_12577;
+   wire n_12578;
+   wire n_12579;
+   wire n_12582;
+   wire n_12583;
+   wire n_12584;
+   wire n_12585;
+   wire n_12586;
+   wire n_12589;
+   wire n_12590;
+   wire n_12591;
+   wire n_12593;
+   wire n_12594;
+   wire n_12597;
+   wire n_12598;
+   wire n_12599;
+   wire n_12600;
+   wire n_12601;
+   wire n_12603;
+   wire n_12604;
+   wire n_12605;
+   wire n_12607;
+   wire n_12609;
+   wire n_12610;
+   wire n_12611;
+   wire n_12612;
+   wire n_12613;
+   wire n_12615;
+   wire n_12616;
+   wire n_12617;
+   wire n_12618;
+   wire n_12619;
+   wire n_12620;
+   wire n_12621;
+   wire n_12622;
+   wire n_12623;
+   wire n_12624;
+   wire n_12625;
+   wire n_12627;
+   wire n_12628;
+   wire n_12629;
+   wire n_12630;
+   wire n_12631;
+   wire n_12632;
+   wire n_12633;
+   wire n_12634;
+   wire n_12635;
+   wire n_12636;
+   wire n_12637;
+   wire n_12638;
+   wire n_12639;
+   wire n_12640;
+   wire n_12641;
+   wire n_12642;
+   wire n_12643;
+   wire n_12650;
+   wire n_12651;
+   wire n_12652;
+   wire n_12653;
+   wire n_12654;
+   wire n_12655;
+   wire n_12656;
+   wire n_12657;
+   wire n_12658;
+   wire n_12659;
+   wire n_12660;
+   wire n_12661;
+   wire n_12662;
+   wire n_12663;
+   wire n_12664;
+   wire n_12665;
+   wire n_12666;
+   wire n_12667;
+   wire n_12669;
+   wire n_12670;
+   wire n_12672;
+   wire n_12673;
+   wire n_12675;
+   wire n_12676;
+   wire n_12684;
+   wire n_12687;
+   wire n_12689;
+   wire n_12690;
+   wire n_12692;
+   wire n_12693;
+   wire n_12697;
+   wire n_12698;
+   wire n_12700;
+   wire n_12701;
+   wire n_12702;
+   wire n_12703;
+   wire n_12707;
+   wire n_12715;
+   wire n_12716;
+   wire n_12717;
+   wire n_12718;
+   wire n_12721;
+   wire n_12722;
+   wire n_12723;
+   wire n_12724;
+   wire n_12725;
+   wire n_12727;
+   wire n_12728;
+   wire n_12729;
+   wire n_12730;
+   wire n_12731;
+   wire n_12733;
+   wire n_12737;
+   wire n_12738;
+   wire n_12740;
+   wire n_12741;
+   wire n_12747;
+   wire n_12754;
+   wire n_12756;
+   wire n_12758;
+   wire n_12759;
+   wire n_12760;
+   wire n_12762;
+   wire n_12763;
+   wire n_12764;
+   wire n_12767;
+   wire n_12768;
+   wire n_12769;
+   wire n_12775;
+   wire n_12777;
+   wire n_12786;
+   wire n_12787;
+   wire n_12788;
+   wire n_12791;
+   wire n_12792;
+   wire n_12796;
+   wire n_12797;
+   wire n_12799;
+   wire n_12801;
+   wire n_12802;
+   wire n_12804;
+   wire n_12805;
+   wire n_12807;
+   wire n_12808;
+   wire n_12864;
+   wire n_12865;
+   wire n_12893;
+   wire n_12901;
+   wire n_12903;
+   wire n_12904;
+   wire n_12910;
+   wire n_12920;
+   wire n_12921;
+   wire n_12923;
+   wire n_12931;
+   wire n_12943;
+   wire n_12945;
+   wire n_12953;
+   wire n_12958;
+   wire n_12960;
+   wire n_12962;
+   wire n_12968;
+   wire n_12975;
+   wire n_12976;
+   wire n_12979;
+   wire n_12980;
+   wire n_12982;
+   wire n_12988;
+   wire n_12989;
+   wire n_12990;
+   wire n_12991;
+   wire n_12992;
+   wire n_12999;
+   wire n_13000;
+   wire n_13005;
+   wire n_13008;
+   wire n_13010;
+   wire n_13018;
+   wire n_13020;
+   wire n_13026;
+   wire n_13027;
+   wire n_13028;
+   wire n_13029;
+   wire n_13032;
+   wire n_13037;
+   wire n_13041;
+   wire n_13043;
+   wire n_13047;
+   wire n_13052;
+   wire n_13053;
+   wire n_13055;
+   wire n_13056;
+   wire n_13057;
+   wire n_13058;
+   wire n_13059;
+   wire n_13060;
+   wire n_13061;
+   wire n_13062;
+   wire n_13063;
+   wire n_13064;
+   wire n_13065;
+   wire n_13066;
+   wire n_13067;
+   wire n_13068;
+   wire n_13069;
+   wire n_13070;
+   wire n_13071;
+   wire n_13072;
+   wire n_13073;
+   wire n_13074;
+   wire n_13076;
+   wire n_13077;
+   wire n_13078;
+   wire n_13079;
+   wire n_13085;
+   wire n_13086;
+   wire n_13088;
+   wire n_13089;
+   wire n_13090;
+   wire n_13091;
+   wire n_13092;
+   wire n_13093;
+   wire n_13094;
+   wire n_13095;
+   wire n_13096;
+   wire n_13097;
+   wire n_13098;
+   wire n_13099;
+   wire n_13100;
+   wire n_13101;
+   wire n_13102;
+   wire n_13103;
+   wire n_13104;
+   wire n_13108;
+   wire n_13111;
+   wire n_13112;
+   wire n_13114;
+   wire n_13115;
+   wire n_13116;
+   wire n_13117;
+   wire n_13120;
+   wire n_13124;
+   wire n_13125;
+   wire n_13131;
+   wire n_13133;
+   wire n_13135;
+   wire n_13136;
+   wire n_13137;
+   wire n_13139;
+   wire n_13141;
+   wire n_13143;
+   wire n_13150;
+   wire n_13155;
+   wire n_13157;
+   wire n_13159;
+   wire n_13160;
+   wire n_13163;
+   wire n_13164;
+   wire n_13165;
+   wire n_13167;
+   wire n_13168;
+   wire n_13169;
+   wire n_13170;
+   wire n_13171;
+   wire n_13172;
+   wire n_13173;
+   wire n_13174;
+   wire n_13175;
+   wire n_13176;
+   wire n_13177;
+   wire n_13184;
+   wire n_13185;
+   wire n_13188;
+   wire n_13189;
+   wire n_13190;
+   wire n_13191;
+   wire n_13192;
+   wire n_13193;
+   wire n_13194;
+   wire n_13196;
+   wire n_13198;
+   wire n_13202;
+   wire n_13203;
+   wire n_13204;
+   wire n_13205;
+   wire n_13208;
+   wire n_13209;
+   wire n_13210;
+   wire n_13211;
+   wire n_13212;
+   wire n_13213;
+   wire n_13214;
+   wire n_13215;
+   wire n_13216;
+   wire n_13217;
+   wire n_13218;
+   wire n_13219;
+   wire n_13220;
+   wire n_13221;
+   wire n_13222;
+   wire n_13223;
+   wire n_13224;
+   wire n_13228;
+   wire n_13230;
+   wire n_13231;
+   wire n_13232;
+   wire n_13233;
+   wire n_13234;
+   wire n_13235;
+   wire n_13236;
+   wire n_13238;
+   wire n_13239;
+   wire n_13241;
+   wire n_13248;
+   wire n_13250;
+   wire n_13252;
+   wire n_13253;
+   wire n_13254;
+   wire n_13255;
+   wire n_13256;
+   wire n_13257;
+   wire n_13258;
+   wire n_13260;
+   wire n_13261;
+   wire n_13262;
+   wire n_13263;
+   wire n_13264;
+   wire n_13265;
+   wire n_13266;
+   wire n_13267;
+   wire n_13268;
+   wire n_13269;
+   wire n_13272;
+   wire n_13275;
+   wire n_13276;
+   wire n_13277;
+   wire n_13282;
+   wire n_13285;
+   wire n_13289;
+   wire n_13290;
+   wire n_13291;
+   wire n_13292;
+   wire n_13293;
+   wire n_13294;
+   wire n_13295;
+   wire n_13302;
+   wire n_13303;
+   wire n_13306;
+   wire n_13307;
+   wire n_13309;
+   wire n_13310;
+   wire n_13311;
+   wire n_13312;
+   wire n_13313;
+   wire n_13314;
+   wire n_13318;
+   wire n_13320;
+   wire n_13321;
+   wire n_13322;
+   wire n_13326;
+   wire n_13327;
+   wire n_13342;
+   wire n_13344;
+   wire n_13349;
+   wire n_13351;
+   wire n_13353;
+   wire n_13354;
+   wire n_13355;
+   wire n_13356;
+   wire n_13357;
+   wire n_13358;
+   wire n_13359;
+   wire n_13360;
+   wire n_13361;
+   wire n_13362;
+   wire n_13363;
+   wire n_13364;
+   wire n_13365;
+   wire n_13369;
+   wire n_13370;
+   wire n_13371;
+   wire n_13373;
+   wire n_13374;
+   wire n_13375;
+   wire n_13378;
+   wire n_13379;
+   wire n_13380;
+   wire n_13381;
+   wire n_13383;
+   wire n_13384;
+   wire n_13385;
+   wire n_13386;
+   wire n_13391;
+   wire n_13394;
+   wire n_13396;
+   wire n_13420;
+   wire n_13421;
+   wire n_13422;
+   wire n_13423;
+   wire n_13424;
+   wire n_13425;
+   wire n_13426;
+   wire n_13427;
+   wire n_13428;
+   wire n_13429;
+   wire n_13430;
+   wire n_13431;
+   wire n_13432;
+   wire n_13433;
+   wire n_13434;
+   wire n_13435;
+   wire n_13436;
+   wire n_13437;
+   wire n_13438;
+   wire n_13439;
+   wire n_13440;
+   wire n_13441;
+   wire n_13442;
+   wire n_13443;
+   wire n_13445;
+   wire n_13446;
+   wire n_13447;
+   wire n_13448;
+   wire n_13449;
+   wire n_13450;
+   wire n_13451;
+   wire n_13452;
+   wire n_13453;
+   wire n_13456;
+   wire n_13457;
+   wire n_13459;
+   wire n_13461;
+   wire n_13462;
+   wire n_13464;
+   wire n_13465;
+   wire n_13466;
+   wire n_13467;
+   wire n_13469;
+   wire n_13470;
+   wire n_13471;
+   wire n_13472;
+   wire n_13473;
+   wire n_13474;
+   wire n_13475;
+   wire n_13476;
+   wire n_13477;
+   wire n_13478;
+   wire n_13479;
+   wire n_13480;
+   wire n_13481;
+   wire n_13482;
+   wire n_13483;
+   wire n_13484;
+   wire n_13485;
+   wire n_13486;
+   wire n_13487;
+   wire n_13488;
+   wire n_13489;
+   wire n_13490;
+   wire n_13491;
+   wire n_13492;
+   wire n_13493;
+   wire n_13494;
+   wire n_13495;
+   wire n_13496;
+   wire n_13497;
+   wire n_13498;
+   wire n_13499;
+   wire n_13500;
+   wire n_13502;
+   wire n_13503;
+   wire n_13504;
+   wire n_13505;
+   wire n_13506;
+   wire n_13507;
+   wire n_13508;
+   wire n_13509;
+   wire n_13510;
+   wire n_13511;
+   wire n_13512;
+   wire n_13513;
+   wire n_13514;
+   wire n_13516;
+   wire n_13517;
+   wire n_13518;
+   wire n_13519;
+   wire n_13520;
+   wire n_13521;
+   wire n_13522;
+   wire n_13523;
+   wire n_13524;
+   wire n_13525;
+   wire n_13526;
+   wire n_13527;
+   wire n_13528;
+   wire n_13529;
+   wire n_13530;
+   wire n_13531;
+   wire n_13532;
+   wire n_13533;
+   wire n_13534;
+   wire n_13535;
+   wire n_13536;
+   wire n_13537;
+   wire n_13538;
+   wire n_13539;
+   wire n_13540;
+   wire n_13541;
+   wire n_13542;
+   wire n_13543;
+   wire n_13544;
+   wire n_13545;
+   wire n_13546;
+   wire n_13547;
+   wire n_13548;
+   wire n_13549;
+   wire n_13550;
+   wire n_13551;
+   wire n_13552;
+   wire n_13553;
+   wire n_13554;
+   wire n_13555;
+   wire n_13556;
+   wire n_13557;
+   wire n_13558;
+   wire n_13559;
+   wire n_13560;
+   wire n_13561;
+   wire n_13562;
+   wire n_13563;
+   wire n_13564;
+   wire n_13565;
+   wire n_13566;
+   wire n_13567;
+   wire n_13568;
+   wire n_13569;
+   wire n_13570;
+   wire n_13571;
+   wire n_13572;
+   wire n_13573;
+   wire n_13574;
+   wire n_13575;
+   wire n_13576;
+   wire n_13577;
+   wire n_13578;
+   wire n_13579;
+   wire n_13580;
+   wire n_13581;
+   wire n_13582;
+   wire n_13583;
+   wire n_13584;
+   wire n_13585;
+   wire n_13586;
+   wire n_13587;
+   wire n_13588;
+   wire n_13589;
+   wire n_13590;
+   wire n_13591;
+   wire n_13592;
+   wire n_13593;
+   wire n_13594;
+   wire n_13595;
+   wire n_13596;
+   wire n_13597;
+   wire n_13598;
+   wire n_13608;
+   wire n_13609;
+   wire n_13610;
+   wire n_13611;
+   wire n_13612;
+   wire n_13613;
+   wire n_13614;
+   wire n_13615;
+   wire n_13616;
+   wire n_13617;
+   wire n_13618;
+   wire n_13619;
+   wire n_13620;
+   wire n_13621;
+   wire n_13622;
+   wire n_13623;
+   wire n_13624;
+   wire n_13625;
+   wire n_13626;
+   wire n_13627;
+   wire n_13628;
+   wire n_13629;
+   wire n_13630;
+   wire n_13631;
+   wire n_13632;
+   wire n_13633;
+   wire n_13634;
+   wire n_13635;
+   wire n_13636;
+   wire n_13637;
+   wire n_13638;
+   wire n_13639;
+   wire n_13640;
+   wire n_13641;
+   wire n_13642;
+   wire n_13643;
+   wire n_13644;
+   wire n_13645;
+   wire n_13646;
+   wire n_13647;
+   wire n_13648;
+   wire n_13649;
+   wire n_13650;
+   wire n_13651;
+   wire n_13652;
+   wire n_13653;
+   wire n_13654;
+   wire n_13655;
+   wire n_13656;
+   wire n_13657;
+   wire n_13658;
+   wire n_13659;
+   wire n_13660;
+   wire n_13661;
+   wire n_13662;
+   wire n_13663;
+   wire n_13664;
+   wire n_13665;
+   wire n_13666;
+   wire n_13667;
+   wire n_13668;
+   wire n_13669;
+   wire n_13670;
+   wire n_13671;
+   wire n_13672;
+   wire n_13673;
+   wire n_13674;
+   wire n_13675;
+   wire n_13676;
+   wire n_13677;
+   wire n_13678;
+   wire n_13679;
+   wire n_13680;
+   wire n_13681;
+   wire n_13682;
+   wire n_13683;
+   wire n_13684;
+   wire n_13685;
+   wire n_13686;
+   wire n_13687;
+   wire n_13688;
+   wire n_13689;
+   wire n_13690;
+   wire n_13691;
+   wire n_13692;
+   wire n_13693;
+   wire n_13694;
+   wire n_13695;
+   wire n_13696;
+   wire n_13697;
+   wire n_13698;
+   wire n_13699;
+   wire n_13700;
+   wire n_13701;
+   wire n_13702;
+   wire n_13703;
+   wire n_13704;
+   wire n_13705;
+   wire n_13706;
+   wire n_13707;
+   wire n_13708;
+   wire n_13709;
+   wire n_13710;
+   wire n_13711;
+   wire n_13712;
+   wire n_13713;
+   wire n_13714;
+   wire n_13715;
+   wire n_13716;
+   wire n_13717;
+   wire n_13718;
+   wire n_13719;
+   wire n_13720;
+   wire n_13721;
+   wire n_13722;
+   wire n_13723;
+   wire n_13724;
+   wire n_13725;
+   wire n_13726;
+   wire n_13727;
+   wire n_13728;
+   wire n_13729;
+   wire n_13730;
+   wire n_13731;
+   wire n_13732;
+   wire n_13733;
+   wire n_13734;
+   wire n_13735;
+   wire n_13736;
+   wire n_13737;
+   wire n_13738;
+   wire n_13739;
+   wire n_13740;
+   wire n_13741;
+   wire n_13742;
+   wire n_13743;
+   wire n_13744;
+   wire n_13745;
+   wire n_13746;
+   wire n_13747;
+   wire n_13748;
+   wire n_13749;
+   wire n_13750;
+   wire n_13751;
+   wire n_13752;
+   wire n_13753;
+   wire n_13754;
+   wire n_13755;
+   wire n_13756;
+   wire n_13757;
+   wire n_13758;
+   wire n_13759;
+   wire n_13760;
+   wire n_13761;
+   wire n_13762;
+   wire n_13763;
+   wire n_13764;
+   wire n_13765;
+   wire n_13766;
+   wire n_13767;
+   wire n_13768;
+   wire n_13769;
+   wire n_13770;
+   wire n_13771;
+   wire n_13772;
+   wire n_13775;
+   wire n_13777;
+   wire n_13778;
+   wire n_13779;
+   wire n_13780;
+   wire n_13781;
+   wire n_13784;
+   wire n_13786;
+   wire n_13788;
+   wire n_13790;
+   wire n_13791;
+   wire n_13792;
+   wire n_13793;
+   wire n_13794;
+   wire n_13795;
+   wire n_13796;
+   wire n_13798;
+   wire n_13799;
+   wire n_13800;
+   wire n_13801;
+   wire n_13802;
+   wire n_13803;
+   wire n_13804;
+   wire n_13805;
+   wire n_13806;
+   wire n_13807;
+   wire n_13808;
+   wire n_13809;
+   wire n_13828;
+   wire n_13829;
+   wire n_13830;
+   wire n_13831;
+   wire n_13832;
+   wire n_13833;
+   wire n_13834;
+   wire n_13836;
+   wire n_13837;
+   wire n_13838;
+   wire n_13840;
+   wire n_13841;
+   wire n_13842;
+   wire n_13843;
+   wire n_13844;
+   wire n_13845;
+   wire n_13847;
+   wire n_13849;
+   wire n_13850;
+   wire n_13854;
+   wire n_13855;
+   wire n_13856;
+   wire n_13857;
+   wire n_13858;
+   wire n_13859;
+   wire n_13860;
+   wire n_13861;
+   wire n_13862;
+   wire n_13863;
+   wire n_13864;
+   wire n_13865;
+   wire n_13866;
+   wire n_13867;
+   wire n_13869;
+   wire n_13870;
+   wire n_13871;
+   wire n_13872;
+   wire n_13873;
+   wire n_13874;
+   wire n_13875;
+   wire n_13876;
+   wire n_13877;
+   wire n_13878;
+   wire n_13879;
+   wire n_13880;
+   wire n_13881;
+   wire n_13882;
+   wire n_13883;
+   wire n_13884;
+   wire n_13885;
+   wire n_13886;
+   wire n_13887;
+   wire n_13888;
+   wire n_13889;
+   wire n_13890;
+   wire n_13891;
+   wire n_13892;
+   wire n_13893;
+   wire n_13894;
+   wire n_13895;
+   wire n_13897;
+   wire n_13898;
+   wire n_13899;
+   wire n_13900;
+   wire n_13901;
+   wire n_13902;
+   wire n_13903;
+   wire n_13904;
+   wire n_13905;
+   wire n_13906;
+   wire n_13907;
+   wire n_13908;
+   wire n_13909;
+   wire n_13910;
+   wire n_13911;
+   wire n_13912;
+   wire n_13913;
+   wire n_13914;
+   wire n_13915;
+   wire n_13916;
+   wire n_13917;
+   wire n_13918;
+   wire n_13919;
+   wire n_13920;
+   wire n_13921;
+   wire n_13922;
+   wire n_13923;
+   wire n_13924;
+   wire n_13925;
+   wire n_13926;
+   wire n_13927;
+   wire n_13928;
+   wire n_13929;
+   wire n_13930;
+   wire n_13931;
+   wire n_13932;
+   wire n_13933;
+   wire n_13934;
+   wire n_13935;
+   wire n_13936;
+   wire n_13937;
+   wire n_13941;
+   wire n_13944;
+   wire n_13945;
+   wire n_13946;
+   wire n_13947;
+   wire n_13948;
+   wire n_13949;
+   wire n_13950;
+   wire n_13951;
+   wire n_13952;
+   wire n_13953;
+   wire n_13954;
+   wire n_13955;
+   wire n_13956;
+   wire n_13957;
+   wire n_13958;
+   wire n_13959;
+   wire n_13960;
+   wire n_13961;
+   wire n_13962;
+   wire n_13963;
+   wire n_13964;
+   wire n_13965;
+   wire n_13966;
+   wire n_13967;
+   wire n_13968;
+   wire n_13969;
+   wire n_13970;
+   wire n_13971;
+   wire n_13972;
+   wire n_13973;
+   wire n_13974;
+   wire n_13975;
+   wire n_13976;
+   wire n_13977;
+   wire n_13978;
+   wire n_13979;
+   wire n_13980;
+   wire n_13981;
+   wire n_13982;
+   wire n_13983;
+   wire n_13984;
+   wire n_13985;
+   wire n_13986;
+   wire n_13987;
+   wire n_13988;
+   wire n_13989;
+   wire n_13990;
+   wire n_13991;
+   wire n_13992;
+   wire n_13993;
+   wire n_13994;
+   wire n_13995;
+   wire n_13996;
+   wire n_13997;
+   wire n_13998;
+   wire n_13999;
+   wire n_14000;
+   wire n_14001;
+   wire n_14002;
+   wire n_14003;
+   wire n_14004;
+   wire n_14005;
+   wire n_14006;
+   wire n_14007;
+   wire n_14008;
+   wire n_14009;
+   wire n_14010;
+   wire n_14011;
+   wire n_14012;
+   wire n_14013;
+   wire n_14014;
+   wire n_14015;
+   wire n_14016;
+   wire n_14017;
+   wire n_14018;
+   wire n_14019;
+   wire n_14020;
+   wire n_14021;
+   wire n_14022;
+   wire n_14023;
+   wire n_14024;
+   wire n_14025;
+   wire n_14026;
+   wire n_14027;
+   wire n_14028;
+   wire n_14029;
+   wire n_14030;
+   wire n_14031;
+   wire n_14032;
+   wire n_14034;
+   wire n_14035;
+   wire n_14036;
+   wire n_14037;
+   wire n_14038;
+   wire n_14039;
+   wire n_14040;
+   wire n_14041;
+   wire n_14042;
+   wire n_14043;
+   wire n_14044;
+   wire n_14045;
+   wire n_14046;
+   wire n_14047;
+   wire n_14048;
+   wire n_14050;
+   wire n_14051;
+   wire n_14053;
+   wire n_14055;
+   wire n_14056;
+   wire n_14057;
+   wire n_14060;
+   wire n_14061;
+   wire n_14062;
+   wire n_14063;
+   wire n_14064;
+   wire n_14065;
+   wire n_14066;
+   wire n_14067;
+   wire n_14068;
+   wire n_14069;
+   wire n_14070;
+   wire n_14071;
+   wire n_14074;
+   wire n_14075;
+   wire n_14076;
+   wire n_14077;
+   wire n_14078;
+   wire n_14079;
+   wire n_14080;
+   wire n_14081;
+   wire n_14082;
+   wire n_14086;
+   wire n_14087;
+   wire n_14088;
+   wire n_14089;
+   wire n_14090;
+   wire n_14091;
+   wire n_14092;
+   wire n_14093;
+   wire n_14095;
+   wire n_14097;
+   wire n_14098;
+   wire n_14099;
+   wire n_14100;
+   wire n_14102;
+   wire n_14103;
+   wire n_14104;
+   wire n_14105;
+   wire n_14106;
+   wire n_14107;
+   wire n_14108;
+   wire n_14109;
+   wire n_14110;
+   wire n_14111;
+   wire n_14112;
+   wire n_14113;
+   wire n_14114;
+   wire n_14115;
+   wire n_14116;
+   wire n_14117;
+   wire n_14118;
+   wire n_14119;
+   wire n_14120;
+   wire n_14121;
+   wire n_14122;
+   wire n_14123;
+   wire n_14124;
+   wire n_14125;
+   wire n_14126;
+   wire n_14127;
+   wire n_14128;
+   wire n_14129;
+   wire n_14130;
+   wire n_14131;
+   wire n_14132;
+   wire n_14133;
+   wire n_14134;
+   wire n_14135;
+   wire n_14136;
+   wire n_14137;
+   wire n_14138;
+   wire n_14139;
+   wire n_14140;
+   wire n_14141;
+   wire n_14142;
+   wire n_14143;
+   wire n_14144;
+   wire n_14145;
+   wire n_14146;
+   wire n_14147;
+   wire n_14148;
+   wire n_14149;
+   wire n_14150;
+   wire n_14151;
+   wire n_14152;
+   wire n_14153;
+   wire n_14154;
+   wire n_14155;
+   wire n_14156;
+   wire n_14157;
+   wire n_14158;
+   wire n_14159;
+   wire n_14160;
+   wire n_14161;
+   wire n_14162;
+   wire n_14163;
+   wire n_14164;
+   wire n_14165;
+   wire n_14166;
+   wire n_14167;
+   wire n_14168;
+   wire n_14169;
+   wire n_14170;
+   wire n_14171;
+   wire n_14172;
+   wire n_14173;
+   wire n_14174;
+   wire n_14175;
+   wire n_14176;
+   wire n_14177;
+   wire n_14178;
+   wire n_14179;
+   wire n_14180;
+   wire n_14181;
+   wire n_14182;
+   wire n_14183;
+   wire n_14184;
+   wire n_14185;
+   wire n_14186;
+   wire n_14187;
+   wire n_14188;
+   wire n_14189;
+   wire n_14191;
+   wire n_14201;
+   wire n_14202;
+   wire n_14203;
+   wire n_14204;
+   wire n_14205;
+   wire n_14206;
+   wire n_14207;
+   wire n_14208;
+   wire n_14209;
+   wire n_14210;
+   wire n_14211;
+   wire n_14212;
+   wire n_14213;
+   wire n_14214;
+   wire n_14215;
+   wire n_14216;
+   wire n_14217;
+   wire n_14218;
+   wire n_14219;
+   wire n_14220;
+   wire n_14221;
+   wire n_14222;
+   wire n_14223;
+   wire n_14224;
+   wire n_14225;
+   wire n_14226;
+   wire n_14227;
+   wire n_14228;
+   wire n_14229;
+   wire n_14230;
+   wire n_14231;
+   wire n_14232;
+   wire n_14233;
+   wire n_14234;
+   wire n_14235;
+   wire n_14236;
+   wire n_14237;
+   wire n_14238;
+   wire n_14239;
+   wire n_14240;
+   wire n_14241;
+   wire n_14242;
+   wire n_14243;
+   wire n_14244;
+   wire n_14245;
+   wire n_14246;
+   wire n_14247;
+   wire n_14248;
+   wire n_14249;
+   wire n_14250;
+   wire n_14251;
+   wire n_14252;
+   wire n_14253;
+   wire n_14254;
+   wire n_14255;
+   wire n_14256;
+   wire n_14257;
+   wire n_14258;
+   wire n_14259;
+   wire n_14260;
+   wire n_14261;
+   wire n_14262;
+   wire n_14263;
+   wire n_14264;
+   wire n_14265;
+   wire n_14267;
+   wire n_14268;
+   wire n_14269;
+   wire n_14270;
+   wire n_14271;
+   wire n_14272;
+   wire n_14273;
+   wire n_14274;
+   wire n_14275;
+   wire n_14276;
+   wire n_14277;
+   wire n_14278;
+   wire n_14279;
+   wire n_14280;
+   wire n_14281;
+   wire n_14282;
+   wire n_14283;
+   wire n_14284;
+   wire n_14285;
+   wire n_14286;
+   wire n_14287;
+   wire n_14288;
+   wire n_14289;
+   wire n_14290;
+   wire n_14291;
+   wire n_14292;
+   wire n_14293;
+   wire n_14294;
+   wire n_14295;
+   wire n_14296;
+   wire n_14297;
+   wire n_14298;
+   wire n_14299;
+   wire n_14300;
+   wire n_14301;
+   wire n_14302;
+   wire n_14305;
+   wire n_14306;
+   wire n_14307;
+   wire n_14308;
+   wire n_14309;
+   wire n_14310;
+   wire n_14312;
+   wire n_14314;
+   wire n_14316;
+   wire n_14317;
+   wire n_14324;
+   wire n_14325;
+   wire n_14327;
+   wire n_14328;
+   wire n_14329;
+   wire n_14330;
+   wire n_14331;
+   wire n_14336;
+   wire n_14337;
+   wire n_14339;
+   wire n_14356;
+   wire n_14359;
+   wire n_14360;
+   wire n_14363;
+   wire n_14364;
+   wire n_14366;
+   wire n_14367;
+   wire n_14368;
+   wire n_14370;
+   wire n_14373;
+   wire n_14374;
+   wire n_14375;
+   wire n_14376;
+   wire n_14377;
+   wire n_14378;
+   wire n_14379;
+   wire n_14380;
+   wire n_14381;
+   wire n_14382;
+   wire n_14383;
+   wire n_14384;
+   wire n_14385;
+   wire n_14386;
+   wire n_14387;
+   wire n_14388;
+   wire n_14389;
+   wire n_14390;
+   wire n_14391;
+   wire n_14392;
+   wire n_14393;
+   wire n_14394;
+   wire n_14395;
+   wire n_14396;
+   wire n_14397;
+   wire n_14398;
+   wire n_14399;
+   wire n_14400;
+   wire n_14401;
+   wire n_14402;
+   wire n_14403;
+   wire n_14404;
+   wire n_14405;
+   wire n_14406;
+   wire n_14407;
+   wire n_14408;
+   wire n_14409;
+   wire n_14410;
+   wire n_14411;
+   wire n_14412;
+   wire n_14413;
+   wire n_14414;
+   wire n_14415;
+   wire n_14416;
+   wire n_14417;
+   wire n_14418;
+   wire n_14419;
+   wire n_14420;
+   wire n_14421;
+   wire n_14422;
+   wire n_14423;
+   wire n_14424;
+   wire n_14425;
+   wire n_14426;
+   wire n_14427;
+   wire n_14428;
+   wire n_14429;
+   wire n_14430;
+   wire n_14431;
+   wire n_14432;
+   wire n_14433;
+   wire n_14434;
+   wire n_14435;
+   wire n_14436;
+   wire n_14437;
+   wire n_14438;
+   wire n_14439;
+   wire n_14440;
+   wire n_14441;
+   wire n_14442;
+   wire n_14443;
+   wire n_14444;
+   wire n_14445;
+   wire n_14446;
+   wire n_14447;
+   wire n_14448;
+   wire n_14449;
+   wire n_14450;
+   wire n_14451;
+   wire n_14452;
+   wire n_14453;
+   wire n_14454;
+   wire n_14455;
+   wire n_14456;
+   wire n_14457;
+   wire n_14458;
+   wire n_14459;
+   wire n_14460;
+   wire n_14461;
+   wire n_14462;
+   wire n_14463;
+   wire n_14464;
+   wire n_14465;
+   wire n_14466;
+   wire n_14467;
+   wire n_14468;
+   wire n_14469;
+   wire n_14470;
+   wire n_14471;
+   wire n_14472;
+   wire n_14473;
+   wire n_14474;
+   wire n_14475;
+   wire n_14476;
+   wire n_14480;
+   wire n_14481;
+   wire n_14482;
+   wire n_14483;
+   wire n_14484;
+   wire n_14485;
+   wire n_14486;
+   wire n_14487;
+   wire n_14488;
+   wire n_14489;
+   wire n_14490;
+   wire n_14491;
+   wire n_14492;
+   wire n_14493;
+   wire n_14494;
+   wire n_14495;
+   wire n_14496;
+   wire n_14497;
+   wire n_14498;
+   wire n_14499;
+   wire n_14500;
+   wire n_14501;
+   wire n_14502;
+   wire n_14503;
+   wire n_14504;
+   wire n_14505;
+   wire n_14506;
+   wire n_14507;
+   wire n_14508;
+   wire n_14509;
+   wire n_14510;
+   wire n_14511;
+   wire n_14512;
+   wire n_14513;
+   wire n_14514;
+   wire n_14515;
+   wire n_14516;
+   wire n_14517;
+   wire n_14518;
+   wire n_14519;
+   wire n_14521;
+   wire n_14522;
+   wire n_14523;
+   wire n_14524;
+   wire n_14525;
+   wire n_14528;
+   wire n_14529;
+   wire n_14530;
+   wire n_14531;
+   wire n_14532;
+   wire n_14533;
+   wire n_14534;
+   wire n_14535;
+   wire n_14536;
+   wire n_14543;
+   wire n_14544;
+   wire n_14548;
+   wire n_14549;
+   wire n_14555;
+   wire n_14556;
+   wire n_14558;
+   wire n_14560;
+   wire n_14561;
+   wire n_14562;
+   wire n_14563;
+   wire n_14564;
+   wire n_14565;
+   wire n_14566;
+   wire n_14567;
+   wire n_14569;
+   wire n_14572;
+   wire n_14573;
+   wire n_14578;
+   wire n_14579;
+   wire n_14580;
+   wire n_14581;
+   wire n_14582;
+   wire n_14583;
+   wire n_14584;
+   wire n_14586;
+   wire n_14587;
+   wire n_14588;
+   wire n_14589;
+   wire n_14590;
+   wire n_14591;
+   wire n_14592;
+   wire n_14593;
+   wire n_14594;
+   wire n_14595;
+   wire n_14596;
+   wire n_14597;
+   wire n_14598;
+   wire n_14599;
+   wire n_14600;
+   wire n_14601;
+   wire n_14602;
+   wire n_14603;
+   wire n_14604;
+   wire n_14605;
+   wire n_14606;
+   wire n_14607;
+   wire n_14608;
+   wire n_14609;
+   wire n_14610;
+   wire n_14611;
+   wire n_14612;
+   wire n_14613;
+   wire n_14614;
+   wire n_14615;
+   wire n_14616;
+   wire n_14617;
+   wire n_14618;
+   wire n_14619;
+   wire n_14620;
+   wire n_14621;
+   wire n_14622;
+   wire n_14623;
+   wire n_14624;
+   wire n_14625;
+   wire n_14626;
+   wire n_14627;
+   wire n_14628;
+   wire n_14629;
+   wire n_14630;
+   wire n_14631;
+   wire n_14632;
+   wire n_14633;
+   wire n_14634;
+   wire n_14635;
+   wire n_14636;
+   wire n_14637;
+   wire n_14638;
+   wire n_14639;
+   wire n_14640;
+   wire n_14641;
+   wire n_14642;
+   wire n_14643;
+   wire n_14644;
+   wire n_14645;
+   wire n_14646;
+   wire n_14647;
+   wire n_14648;
+   wire n_14649;
+   wire n_14650;
+   wire n_14651;
+   wire n_14652;
+   wire n_14653;
+   wire n_14654;
+   wire n_14655;
+   wire n_14656;
+   wire n_14657;
+   wire n_14658;
+   wire n_14659;
+   wire n_14660;
+   wire n_14661;
+   wire n_14662;
+   wire n_14663;
+   wire n_14664;
+   wire n_14665;
+   wire n_14666;
+   wire n_14667;
+   wire n_14668;
+   wire n_14669;
+   wire n_14670;
+   wire n_14671;
+   wire n_14674;
+   wire n_14675;
+   wire n_14676;
+   wire n_14677;
+   wire n_14678;
+   wire n_14679;
+   wire n_14680;
+   wire n_14681;
+   wire n_14682;
+   wire n_14683;
+   wire n_14684;
+   wire n_14685;
+   wire n_14686;
+   wire n_14687;
+   wire n_14688;
+   wire n_14689;
+   wire n_14690;
+   wire n_14691;
+   wire n_14692;
+   wire n_14693;
+   wire n_14694;
+   wire n_14695;
+   wire n_14696;
+   wire n_14697;
+   wire n_14698;
+   wire n_14699;
+   wire n_14700;
+   wire n_14701;
+   wire n_14702;
+   wire n_14703;
+   wire n_14704;
+   wire n_14705;
+   wire n_14706;
+   wire n_14707;
+   wire n_14708;
+   wire n_14709;
+   wire n_14710;
+   wire n_14711;
+   wire n_14712;
+   wire n_14713;
+   wire n_14714;
+   wire n_14715;
+   wire n_14716;
+   wire n_14717;
+   wire n_14718;
+   wire n_14719;
+   wire n_14720;
+   wire n_14721;
+   wire n_14722;
+   wire n_14723;
+   wire n_14724;
+   wire n_14725;
+   wire n_14726;
+   wire n_14727;
+   wire n_14728;
+   wire n_14729;
+   wire n_14730;
+   wire n_14731;
+   wire n_14732;
+   wire n_14733;
+   wire n_14734;
+   wire n_14735;
+   wire n_14736;
+   wire n_14737;
+   wire n_14738;
+   wire n_14739;
+   wire n_14740;
+   wire n_14742;
+   wire n_14744;
+   wire n_14746;
+   wire n_14747;
+   wire n_14758;
+   wire n_14760;
+   wire n_14769;
+   wire n_14778;
+   wire n_14779;
+   wire n_14780;
+   wire n_14782;
+   wire n_14783;
+   wire n_14784;
+   wire n_14785;
+   wire n_14786;
+   wire n_14787;
+   wire n_14788;
+   wire n_14789;
+   wire n_14790;
+   wire n_14791;
+   wire n_14792;
+   wire n_14793;
+   wire n_14794;
+   wire n_14795;
+   wire n_14796;
+   wire n_14797;
+   wire n_14798;
+   wire n_14799;
+   wire n_14800;
+   wire n_14801;
+   wire n_14802;
+   wire n_14803;
+   wire n_14804;
+   wire n_14805;
+   wire n_14806;
+   wire n_14807;
+   wire n_14808;
+   wire n_14810;
+   wire n_14811;
+   wire n_14812;
+   wire n_14813;
+   wire n_14814;
+   wire n_14815;
+   wire n_14816;
+   wire n_14817;
+   wire n_14818;
+   wire n_14819;
+   wire n_14820;
+   wire n_14821;
+   wire n_14822;
+   wire n_14823;
+   wire n_14824;
+   wire n_14825;
+   wire n_14826;
+   wire n_14827;
+   wire n_14828;
+   wire n_14829;
+   wire n_14830;
+   wire n_14831;
+   wire n_14832;
+   wire n_14833;
+   wire n_14834;
+   wire n_14835;
+   wire n_14836;
+   wire n_14837;
+   wire n_14838;
+   wire n_14839;
+   wire n_14840;
+   wire n_14841;
+   wire n_14842;
+   wire n_14843;
+   wire n_14844;
+   wire n_14845;
+   wire n_14846;
+   wire n_14847;
+   wire n_14848;
+   wire n_14849;
+   wire n_14850;
+   wire n_14851;
+   wire n_14852;
+   wire n_14853;
+   wire n_14854;
+   wire n_14855;
+   wire n_14856;
+   wire n_14857;
+   wire n_14877;
+   wire n_14878;
+   wire n_14879;
+   wire n_14880;
+   wire n_14881;
+   wire n_14882;
+   wire n_14883;
+   wire n_14884;
+   wire n_14885;
+   wire n_14886;
+   wire n_14887;
+   wire n_14888;
+   wire n_14889;
+   wire n_14890;
+   wire n_14891;
+   wire n_14892;
+   wire n_14893;
+   wire n_14894;
+   wire n_14895;
+   wire n_14896;
+   wire n_14897;
+   wire n_14898;
+   wire n_14899;
+   wire n_14900;
+   wire n_14901;
+   wire n_14902;
+   wire n_14903;
+   wire n_14904;
+   wire n_14905;
+   wire n_14906;
+   wire n_14907;
+   wire n_14908;
+   wire n_14909;
+   wire n_14910;
+   wire n_14911;
+   wire n_14912;
+   wire n_14913;
+   wire n_14914;
+   wire n_14915;
+   wire n_14916;
+   wire n_14917;
+   wire n_14918;
+   wire n_14919;
+   wire n_14920;
+   wire n_14921;
+   wire n_14922;
+   wire n_14923;
+   wire n_14924;
+   wire n_14925;
+   wire n_14926;
+   wire n_14927;
+   wire n_14928;
+   wire n_14929;
+   wire n_14930;
+   wire n_14931;
+   wire n_14932;
+   wire n_14933;
+   wire n_14934;
+   wire n_14935;
+   wire n_14936;
+   wire n_14937;
+   wire n_14938;
+   wire n_14939;
+   wire n_14940;
+   wire n_14941;
+   wire n_14942;
+   wire n_14943;
+   wire n_14944;
+   wire n_14945;
+   wire n_14946;
+   wire n_14947;
+   wire n_14948;
+   wire n_14949;
+   wire n_14950;
+   wire n_14951;
+   wire n_14952;
+   wire n_14953;
+   wire n_14954;
+   wire n_14955;
+   wire n_14956;
+   wire n_14957;
+   wire n_14958;
+   wire n_14959;
+   wire n_14960;
+   wire n_14961;
+   wire n_14962;
+   wire n_14963;
+   wire n_14964;
+   wire n_14965;
+   wire n_14966;
+   wire n_14967;
+   wire n_14968;
+   wire n_14969;
+   wire n_14970;
+   wire n_14971;
+   wire n_14972;
+   wire n_14973;
+   wire n_14974;
+   wire n_14975;
+   wire n_14976;
+   wire n_14977;
+   wire n_14978;
+   wire n_14979;
+   wire n_14980;
+   wire n_14981;
+   wire n_14982;
+   wire n_14983;
+   wire n_14984;
+   wire n_14985;
+   wire n_14986;
+   wire n_14987;
+   wire n_14988;
+   wire n_14989;
+   wire n_14990;
+   wire n_14991;
+   wire n_14992;
+   wire n_14993;
+   wire n_14994;
+   wire n_14995;
+   wire n_14996;
+   wire n_14997;
+   wire n_14998;
+   wire n_14999;
+   wire n_15000;
+   wire n_15001;
+   wire n_15002;
+   wire n_15003;
+   wire n_15004;
+   wire n_15005;
+   wire n_15006;
+   wire n_15007;
+   wire n_15008;
+   wire n_15009;
+   wire n_15010;
+   wire n_15011;
+   wire n_15012;
+   wire n_15013;
+   wire n_15014;
+   wire n_15015;
+   wire n_15016;
+   wire n_15017;
+   wire n_15018;
+   wire n_15019;
+   wire n_15020;
+   wire n_15021;
+   wire n_15022;
+   wire n_15023;
+   wire n_15024;
+   wire n_15025;
+   wire n_15026;
+   wire n_15027;
+   wire n_15028;
+   wire n_15029;
+   wire n_15030;
+   wire n_15031;
+   wire n_15032;
+   wire n_15033;
+   wire n_15034;
+   wire n_15035;
+   wire n_15036;
+   wire n_15037;
+   wire n_15038;
+   wire n_15039;
+   wire n_15040;
+   wire n_15041;
+   wire n_15042;
+   wire n_15046;
+   wire n_15047;
+   wire n_15048;
+   wire n_15049;
+   wire n_15050;
+   wire n_15051;
+   wire n_15052;
+   wire n_15053;
+   wire n_15054;
+   wire n_15055;
+   wire n_15056;
+   wire n_15057;
+   wire n_15058;
+   wire n_15059;
+   wire n_15060;
+   wire n_15061;
+   wire n_15062;
+   wire n_15063;
+   wire n_15064;
+   wire n_15065;
+   wire n_15066;
+   wire n_15067;
+   wire n_15068;
+   wire n_15069;
+   wire n_15070;
+   wire n_15071;
+   wire n_15072;
+   wire n_15073;
+   wire n_15074;
+   wire n_15075;
+   wire n_15076;
+   wire n_15077;
+   wire n_15078;
+   wire n_15079;
+   wire n_15080;
+   wire n_15081;
+   wire n_15082;
+   wire n_15083;
+   wire n_15084;
+   wire n_15085;
+   wire n_15086;
+   wire n_15087;
+   wire n_15088;
+   wire n_15089;
+   wire n_15090;
+   wire n_15091;
+   wire n_15092;
+   wire n_15093;
+   wire n_15094;
+   wire n_15095;
+   wire n_15096;
+   wire n_15097;
+   wire n_15098;
+   wire n_15099;
+   wire n_15100;
+   wire n_15101;
+   wire n_15102;
+   wire n_15103;
+   wire n_15105;
+   wire n_15106;
+   wire n_15107;
+   wire n_15108;
+   wire n_15109;
+   wire n_15112;
+   wire n_15113;
+   wire n_15114;
+   wire n_15115;
+   wire n_15116;
+   wire n_15117;
+   wire n_15118;
+   wire n_15119;
+   wire n_15120;
+   wire n_15124;
+   wire n_15125;
+   wire n_15126;
+   wire n_15127;
+   wire n_15129;
+   wire n_15130;
+   wire n_15131;
+   wire n_15132;
+   wire n_15133;
+   wire n_15135;
+   wire n_15136;
+   wire n_15137;
+   wire n_15138;
+   wire n_15139;
+   wire n_15140;
+   wire n_15141;
+   wire n_15142;
+   wire n_15143;
+   wire n_15144;
+   wire n_15145;
+   wire n_15146;
+   wire n_15147;
+   wire n_15148;
+   wire n_15149;
+   wire n_15150;
+   wire n_15151;
+   wire n_15152;
+   wire n_15153;
+   wire n_15154;
+   wire n_15155;
+   wire n_15156;
+   wire n_15157;
+   wire n_15158;
+   wire n_15159;
+   wire n_15160;
+   wire n_15161;
+   wire n_15162;
+   wire n_15163;
+   wire n_15164;
+   wire n_15165;
+   wire n_15166;
+   wire n_15167;
+   wire n_15168;
+   wire n_15169;
+   wire n_15170;
+   wire n_15171;
+   wire n_15172;
+   wire n_15173;
+   wire n_15174;
+   wire n_15175;
+   wire n_15176;
+   wire n_15177;
+   wire n_15178;
+   wire n_15179;
+   wire n_15180;
+   wire n_15181;
+   wire n_15182;
+   wire n_15183;
+   wire n_15184;
+   wire n_15185;
+   wire n_15186;
+   wire n_15187;
+   wire n_15188;
+   wire n_15190;
+   wire n_15191;
+   wire n_15192;
+   wire n_15193;
+   wire n_15194;
+   wire n_15195;
+   wire n_15196;
+   wire n_15197;
+   wire n_15198;
+   wire n_15199;
+   wire n_15200;
+   wire n_15201;
+   wire n_15202;
+   wire n_15203;
+   wire n_15204;
+   wire n_15205;
+   wire n_15206;
+   wire n_15207;
+   wire n_15208;
+   wire n_15209;
+   wire n_15210;
+   wire n_15211;
+   wire n_15212;
+   wire n_15213;
+   wire n_15214;
+   wire n_15215;
+   wire n_15216;
+   wire n_15218;
+   wire n_15219;
+   wire n_15220;
+   wire n_15221;
+   wire n_15222;
+   wire n_15223;
+   wire n_15224;
+   wire n_15225;
+   wire n_15226;
+   wire n_15227;
+   wire n_15228;
+   wire n_15229;
+   wire n_15230;
+   wire n_15231;
+   wire n_15232;
+   wire n_15233;
+   wire n_15234;
+   wire n_15235;
+   wire n_15236;
+   wire n_15237;
+   wire n_15238;
+   wire n_15239;
+   wire n_15240;
+   wire n_15241;
+   wire n_15242;
+   wire n_15243;
+   wire n_15244;
+   wire n_15245;
+   wire n_15246;
+   wire n_15247;
+   wire n_15248;
+   wire n_15249;
+   wire n_15250;
+   wire n_15251;
+   wire n_15252;
+   wire n_15253;
+   wire n_15254;
+   wire n_15255;
+   wire n_15256;
+   wire n_15257;
+   wire n_15258;
+   wire n_15259;
+   wire n_15260;
+   wire n_15261;
+   wire n_15262;
+   wire n_15263;
+   wire n_15264;
+   wire n_15265;
+   wire n_15266;
+   wire n_15267;
+   wire n_15268;
+   wire n_15269;
+   wire n_15270;
+   wire n_15271;
+   wire n_15272;
+   wire n_15273;
+   wire n_15274;
+   wire n_15275;
+   wire n_15276;
+   wire n_15277;
+   wire n_15278;
+   wire n_15279;
+   wire n_15280;
+   wire n_15281;
+   wire n_15282;
+   wire n_15283;
+   wire n_15284;
+   wire n_15285;
+   wire n_15286;
+   wire n_15287;
+   wire n_15288;
+   wire n_15289;
+   wire n_15292;
+   wire n_15293;
+   wire n_15294;
+   wire n_15295;
+   wire n_15296;
+   wire n_15297;
+   wire n_15298;
+   wire n_15300;
+   wire n_15302;
+   wire n_15303;
+   wire n_15304;
+   wire n_15305;
+   wire n_15306;
+   wire n_15310;
+   wire n_15311;
+   wire n_15312;
+   wire n_15313;
+   wire n_15314;
+   wire n_15315;
+   wire n_15316;
+   wire n_15324;
+   wire n_15326;
+   wire n_15328;
+   wire n_15329;
+   wire n_15330;
+   wire n_15331;
+   wire n_15332;
+   wire n_15333;
+   wire n_15334;
+   wire n_15335;
+   wire n_15336;
+   wire n_15337;
+   wire n_15338;
+   wire n_15339;
+   wire n_15340;
+   wire n_15341;
+   wire n_15342;
+   wire n_15343;
+   wire n_15344;
+   wire n_15345;
+   wire n_15346;
+   wire n_15347;
+   wire n_15348;
+   wire n_15351;
+   wire n_15352;
+   wire n_15353;
+   wire n_15354;
+   wire n_15355;
+   wire n_15356;
+   wire n_15357;
+   wire n_15358;
+   wire n_15359;
+   wire n_15360;
+   wire n_15361;
+   wire n_15362;
+   wire n_15363;
+   wire n_15364;
+   wire n_15365;
+   wire n_15366;
+   wire n_15367;
+   wire n_15368;
+   wire n_15369;
+   wire n_15372;
+   wire n_15374;
+   wire n_15375;
+   wire n_15376;
+   wire n_15377;
+   wire n_15378;
+   wire n_15379;
+   wire n_15380;
+   wire n_15381;
+   wire n_15382;
+   wire n_15383;
+   wire n_15384;
+   wire n_15385;
+   wire n_15386;
+   wire n_15387;
+   wire n_15388;
+   wire n_15389;
+   wire n_15390;
+   wire n_15391;
+   wire n_15392;
+   wire n_15393;
+   wire n_15394;
+   wire n_15395;
+   wire n_15396;
+   wire n_15397;
+   wire n_15398;
+   wire n_15399;
+   wire n_15400;
+   wire n_15401;
+   wire n_15402;
+   wire n_15403;
+   wire n_15404;
+   wire n_15405;
+   wire n_15406;
+   wire n_15407;
+   wire n_15408;
+   wire n_15409;
+   wire n_15410;
+   wire n_15411;
+   wire n_15415;
+   wire n_15416;
+   wire n_15417;
+   wire n_15420;
+   wire n_15425;
+   wire n_15428;
+   wire n_15429;
+   wire n_15432;
+   wire n_15440;
+   wire n_15442;
+   wire n_15443;
+   wire n_15445;
+   wire n_15452;
+   wire n_15453;
+   wire n_15457;
+   wire n_15458;
+   wire n_15459;
+   wire n_15461;
+   wire n_15462;
+   wire n_15467;
+   wire n_15468;
+   wire n_15473;
+   wire n_15474;
+   wire n_15479;
+   wire n_15482;
+   wire n_15485;
+   wire n_15486;
+   wire n_15491;
+   wire n_15492;
+   wire n_15493;
+   wire n_15494;
+   wire n_15498;
+   wire n_15499;
+   wire n_15500;
+   wire n_15502;
+   wire n_15503;
+   wire n_15507;
+   wire n_15508;
+   wire n_15509;
+   wire n_15510;
+   wire n_15513;
+   wire n_15514;
+   wire n_15515;
+   wire n_15520;
+   wire n_15522;
+   wire n_15529;
+   wire n_15568;
+   wire n_15577;
+   wire n_15585;
+   wire n_15586;
+   wire n_15597;
+   wire n_15598;
+   wire n_15601;
+   wire n_15605;
+   wire n_15609;
+   wire n_15629;
+   wire n_15632;
+   wire n_15633;
+   wire n_15638;
+   wire n_15639;
+   wire n_15640;
+   wire n_15641;
+   wire n_15643;
+   wire n_15644;
+   wire n_15652;
+   wire n_15653;
+   wire n_15658;
+   wire n_15659;
+   wire n_15677;
+   wire n_15679;
+   wire n_15680;
+   wire n_15683;
+   wire n_15694;
+   wire n_15713;
+   wire n_15714;
+   wire n_15715;
+   wire n_15726;
+   wire n_15753;
+   wire n_15754;
+   wire n_15755;
+   wire n_15805;
+   wire n_15806;
+   wire n_15807;
+   wire n_15808;
+   wire n_15809;
+   wire n_15810;
+   wire n_15811;
+   wire n_15812;
+   wire n_15813;
+   wire n_15817;
+   wire n_15819;
+   wire n_15820;
+   wire n_15821;
+   wire n_15822;
+   wire n_15823;
+   wire n_15824;
+   wire n_15825;
+   wire n_15826;
+   wire n_15827;
+   wire n_15828;
+   wire n_15830;
+   wire n_15831;
+   wire n_15832;
+   wire n_15834;
+   wire n_15835;
+   wire n_15836;
+   wire n_15837;
+   wire n_15838;
+   wire n_15839;
+   wire n_15840;
+   wire n_15848;
+   wire n_15861;
+   wire n_15868;
+   wire n_15870;
+   wire n_15876;
+   wire n_15878;
+   wire n_15879;
+   wire n_15880;
+   wire n_15882;
+   wire n_15883;
+   wire n_15884;
+   wire n_15885;
+   wire n_15886;
+   wire n_15888;
+   wire n_15911;
+   wire n_15914;
+   wire n_15919;
+   wire n_15934;
+   wire n_15936;
+   wire n_15938;
+   wire n_15939;
+   wire n_15940;
+   wire n_15941;
+   wire n_15943;
+   wire n_15944;
+   wire n_15945;
+   wire n_15946;
+   wire n_15947;
+   wire n_15948;
+   wire n_15949;
+   wire n_15950;
+   wire n_15951;
+   wire n_15952;
+   wire n_15953;
+   wire n_15956;
+   wire n_15957;
+   wire n_15958;
+   wire n_15959;
+   wire n_15960;
+   wire n_15961;
+   wire n_15962;
+   wire n_15963;
+   wire n_15965;
+   wire n_15966;
+   wire n_15969;
+   wire n_15974;
+   wire n_15977;
+   wire n_15978;
+   wire n_15979;
+   wire n_15980;
+   wire n_15984;
+   wire n_15986;
+   wire n_15989;
+   wire n_15990;
+   wire n_15991;
+   wire n_15992;
+   wire n_15993;
+   wire n_15994;
+   wire n_15995;
+   wire n_15997;
+   wire n_15998;
+   wire n_16000;
+   wire n_16001;
+   wire n_16002;
+   wire n_16007;
+   wire n_16009;
+   wire n_16010;
+   wire n_16016;
+   wire n_16017;
+   wire n_16018;
+   wire n_16022;
+   wire n_16023;
+   wire n_16024;
+   wire n_16030;
+   wire n_16036;
+   wire n_16038;
+   wire n_16041;
+   wire n_16042;
+   wire n_16043;
+   wire n_16044;
+   wire n_16046;
+   wire n_16047;
+   wire n_16051;
+   wire n_16053;
+   wire n_16059;
+   wire n_16061;
+   wire n_16062;
+   wire n_16064;
+   wire n_16065;
+   wire n_16066;
+   wire n_16067;
+   wire n_16068;
+   wire n_16069;
+   wire n_16072;
+   wire n_16076;
+   wire n_16078;
+   wire n_16079;
+   wire n_16080;
+   wire n_16090;
+   wire n_16091;
+   wire n_16092;
+   wire n_16093;
+   wire n_16105;
+   wire n_16114;
+   wire n_16115;
+   wire n_16118;
+   wire n_16121;
+   wire n_16123;
+   wire n_16126;
+   wire n_16129;
+   wire n_16132;
+   wire n_16133;
+   wire n_16134;
+   wire n_16149;
+   wire n_16152;
+   wire n_16153;
+   wire n_16154;
+   wire n_16156;
+   wire n_16157;
+   wire n_16158;
+   wire n_16159;
+   wire n_16165;
+   wire n_16166;
+   wire n_16167;
+   wire n_16169;
+   wire n_16171;
+   wire n_16174;
+   wire n_16178;
+   wire n_16181;
+   wire n_16182;
+   wire n_16190;
+   wire n_16191;
+   wire n_16192;
+   wire n_16193;
+   wire n_16195;
+   wire n_16196;
+   wire n_16197;
+   wire n_16199;
+   wire n_16201;
+   wire n_16203;
+   wire n_16204;
+   wire n_16205;
+   wire n_16209;
+   wire n_16210;
+   wire n_16214;
+   wire n_16219;
+   wire n_16224;
+   wire n_16228;
+   wire n_16230;
+   wire n_16231;
+   wire n_16238;
+   wire n_16239;
+   wire n_16240;
+   wire n_16241;
+   wire n_16242;
+   wire n_16243;
+   wire n_16244;
+   wire n_16245;
+   wire n_16247;
+   wire n_16248;
+   wire n_16251;
+   wire n_16257;
+   wire n_16258;
+   wire n_16267;
+   wire n_16268;
+   wire n_16270;
+   wire n_16271;
+   wire n_16272;
+   wire n_16275;
+   wire n_16276;
+   wire n_16277;
+   wire n_16281;
+   wire n_16282;
+   wire n_16283;
+   wire n_16285;
+   wire n_16286;
+   wire n_16289;
+   wire n_16290;
+   wire n_16297;
+   wire n_16300;
+   wire n_16301;
+   wire n_16305;
+   wire n_16307;
+   wire n_16308;
+   wire n_16309;
+   wire n_16311;
+   wire n_16312;
+   wire n_16315;
+   wire n_16319;
+   wire n_16321;
+   wire n_16327;
+   wire n_16328;
+   wire n_16329;
+   wire n_16332;
+   wire n_16335;
+   wire n_16340;
+   wire n_16342;
+   wire n_16345;
+   wire n_16347;
+   wire n_16353;
+   wire n_16354;
+   wire n_16357;
+   wire n_16359;
+   wire n_16360;
+   wire n_16363;
+   wire n_16364;
+   wire n_16365;
+   wire n_16367;
+   wire n_16368;
+   wire n_16369;
+   wire n_16373;
+   wire n_16374;
+   wire n_16375;
+   wire n_16376;
+   wire n_16379;
+   wire n_16386;
+   wire n_16387;
+   wire n_16388;
+   wire n_16391;
+   wire n_16396;
+   wire n_16399;
+   wire n_16402;
+   wire n_16403;
+   wire n_16404;
+   wire n_16405;
+   wire n_16409;
+   wire n_16417;
+   wire n_16418;
+   wire n_16420;
+   wire n_16421;
+   wire n_16422;
+   wire n_16425;
+   wire n_16430;
+   wire n_16431;
+   wire n_16432;
+   wire n_16433;
+   wire n_16434;
+   wire n_16436;
+   wire n_16437;
+   wire n_16438;
+   wire n_16441;
+   wire n_16443;
+   wire n_16444;
+   wire n_16447;
+   wire n_16448;
+   wire n_16452;
+   wire n_16453;
+   wire n_16454;
+   wire n_16455;
+   wire n_16456;
+   wire n_16457;
+   wire n_16458;
+   wire n_16461;
+   wire n_16462;
+   wire n_16464;
+   wire n_16467;
+   wire n_16469;
+   wire n_16471;
+   wire n_16475;
+   wire n_16479;
+   wire n_16480;
+   wire n_16482;
+   wire n_16484;
+   wire n_16485;
+   wire n_16489;
+   wire n_16495;
+   wire n_16496;
+   wire n_16497;
+   wire n_16498;
+   wire n_16499;
+   wire n_16500;
+   wire n_16501;
+   wire n_16502;
+   wire n_16503;
+   wire n_16504;
+   wire n_16506;
+   wire n_16513;
+   wire n_16515;
+   wire n_16517;
+   wire n_16518;
+   wire n_16522;
+   wire n_16524;
+   wire n_16525;
+   wire n_16526;
+   wire n_16527;
+   wire n_16528;
+   wire n_16529;
+   wire n_16530;
+   wire n_16532;
+   wire n_16537;
+   wire n_16538;
+   wire n_16539;
+   wire n_16540;
+   wire n_16610;
+   wire n_16613;
+   wire n_16615;
+   wire n_16620;
+   wire n_16633;
+   wire n_16635;
+   wire n_16639;
+   wire n_16640;
+   wire n_16641;
+   wire n_16642;
+   wire n_16643;
+   wire n_16644;
+   wire n_16645;
+   wire n_16646;
+   wire n_16647;
+   wire n_16648;
+   wire n_16649;
+   wire n_16650;
+   wire n_16651;
+   wire n_16652;
+   wire n_16653;
+   wire n_16654;
+   wire n_16655;
+   wire n_16656;
+   wire n_16657;
+   wire n_16658;
+   wire n_16659;
+   wire n_16660;
+   wire n_16661;
+   wire n_16662;
+   wire n_16663;
+   wire n_16664;
+   wire n_16665;
+   wire n_16666;
+   wire n_16667;
+   wire n_16668;
+   wire n_16669;
+   wire n_16670;
+   wire n_16671;
+   wire n_16672;
+   wire n_16673;
+   wire n_16674;
+   wire n_16675;
+   wire n_16676;
+   wire n_16677;
+   wire n_16678;
+   wire n_16679;
+   wire n_16680;
+   wire n_16681;
+   wire n_16682;
+   wire n_16683;
+   wire n_16684;
+   wire n_16685;
+   wire n_16686;
+   wire n_16687;
+   wire n_16688;
+   wire n_16689;
+   wire n_16690;
+   wire n_16691;
+   wire n_16692;
+   wire n_16693;
+   wire n_16694;
+   wire n_16696;
+   wire n_16697;
+   wire n_16698;
+   wire n_16700;
+   wire n_16701;
+   wire n_16702;
+   wire n_16703;
+   wire n_16704;
+   wire n_16705;
+   wire n_16706;
+   wire n_16707;
+   wire n_16708;
+   wire n_16709;
+   wire n_16710;
+   wire n_16711;
+   wire n_16712;
+   wire n_16713;
+   wire n_16714;
+   wire n_16715;
+   wire n_16716;
+   wire n_16717;
+   wire n_16718;
+   wire n_16719;
+   wire n_16720;
+   wire n_16721;
+   wire n_16722;
+   wire n_16723;
+   wire n_16724;
+   wire n_16725;
+   wire n_16726;
+   wire n_16727;
+   wire n_16728;
+   wire n_16729;
+   wire n_16730;
+   wire n_16731;
+   wire n_16732;
+   wire n_16733;
+   wire n_16734;
+   wire n_16735;
+   wire n_16736;
+   wire n_16737;
+   wire n_16738;
+   wire n_16739;
+   wire n_16740;
+   wire n_16741;
+   wire n_16742;
+   wire n_16743;
+   wire n_16744;
+   wire n_16745;
+   wire n_16746;
+   wire n_16747;
+   wire n_16748;
+   wire n_16749;
+   wire n_16750;
+   wire n_16751;
+   wire n_16752;
+   wire n_16753;
+   wire n_16754;
+   wire n_16755;
+   wire n_16756;
+   wire n_16757;
+   wire n_16758;
+   wire n_16759;
+   wire n_16760;
+   wire n_16761;
+   wire n_16762;
+   wire n_16763;
+   wire n_16764;
+   wire n_16765;
+   wire n_16766;
+   wire n_16767;
+   wire n_16768;
+   wire n_16769;
+   wire n_16770;
+   wire n_16771;
+   wire n_16772;
+   wire n_16773;
+   wire n_16774;
+   wire n_16775;
+   wire n_16776;
+   wire n_16777;
+   wire n_16778;
+   wire n_16779;
+   wire n_16781;
+   wire n_16782;
+   wire n_16783;
+   wire n_16784;
+   wire n_16785;
+   wire n_16786;
+   wire n_16787;
+   wire n_16788;
+   wire n_16789;
+   wire n_16790;
+   wire n_16791;
+   wire n_16792;
+   wire n_16794;
+   wire n_16795;
+   wire n_16796;
+   wire n_16797;
+   wire n_16798;
+   wire n_16799;
+   wire n_16800;
+   wire n_16801;
+   wire n_16802;
+   wire n_16803;
+   wire n_16804;
+   wire n_16805;
+   wire n_16806;
+   wire n_16807;
+   wire n_16808;
+   wire n_16809;
+   wire n_16810;
+   wire n_16811;
+   wire n_16812;
+   wire n_16813;
+   wire n_16814;
+   wire n_16815;
+   wire n_16816;
+   wire n_16817;
+   wire n_16818;
+   wire n_16819;
+   wire n_16820;
+   wire n_16821;
+   wire n_16822;
+   wire n_16823;
+   wire n_16824;
+   wire n_16825;
+   wire n_16826;
+   wire n_16827;
+   wire n_16828;
+   wire n_16829;
+   wire n_16830;
+   wire n_16831;
+   wire n_16832;
+   wire n_16833;
+   wire n_16834;
+   wire n_16835;
+   wire n_16836;
+   wire n_16837;
+   wire n_16838;
+   wire n_16839;
+   wire n_16840;
+   wire n_16841;
+   wire n_16842;
+   wire n_16844;
+   wire n_16845;
+   wire n_16846;
+   wire n_16847;
+   wire n_16848;
+   wire n_16849;
+   wire n_16850;
+   wire n_16851;
+   wire n_16852;
+   wire n_16853;
+   wire n_16854;
+   wire n_16855;
+   wire n_16856;
+   wire n_16857;
+   wire n_16858;
+   wire n_16859;
+   wire n_16860;
+   wire n_16861;
+   wire n_16862;
+   wire n_16863;
+   wire n_16864;
+   wire n_16865;
+   wire n_16867;
+   wire n_16868;
+   wire n_16869;
+   wire n_16870;
+   wire n_16871;
+   wire n_16872;
+   wire n_16873;
+   wire n_16874;
+   wire n_16875;
+   wire n_16876;
+   wire n_16877;
+   wire n_16878;
+   wire n_16879;
+   wire n_16880;
+   wire n_16881;
+   wire n_16882;
+   wire n_16883;
+   wire n_16884;
+   wire n_16885;
+   wire n_16886;
+   wire n_16887;
+   wire n_16888;
+   wire n_16889;
+   wire n_16890;
+   wire n_16891;
+   wire n_16892;
+   wire n_16893;
+   wire n_16894;
+   wire n_16895;
+   wire n_16896;
+   wire n_16897;
+   wire n_16898;
+   wire n_16899;
+   wire n_16900;
+   wire n_16901;
+   wire n_16902;
+   wire n_16903;
+   wire n_16904;
+   wire n_16905;
+   wire n_16906;
+   wire n_16907;
+   wire n_16908;
+   wire n_16909;
+   wire n_16910;
+   wire n_16911;
+   wire n_16912;
+   wire n_16913;
+   wire n_16914;
+   wire n_16915;
+   wire n_16916;
+   wire n_16917;
+   wire n_16918;
+   wire n_16919;
+   wire n_16920;
+   wire n_16921;
+   wire n_16922;
+   wire n_16923;
+   wire n_16924;
+   wire n_16925;
+   wire n_16926;
+   wire n_16927;
+   wire n_16928;
+   wire n_16929;
+   wire n_16930;
+   wire n_16931;
+   wire n_16932;
+   wire n_16933;
+   wire n_16934;
+   wire n_16935;
+   wire n_16936;
+   wire n_16937;
+   wire n_16938;
+   wire n_16939;
+   wire n_16940;
+   wire n_16941;
+   wire n_16942;
+   wire n_16943;
+   wire n_16944;
+   wire n_16945;
+   wire n_16947;
+   wire n_16948;
+   wire n_16949;
+   wire n_16950;
+   wire n_16951;
+   wire n_16952;
+   wire n_16953;
+   wire n_16954;
+   wire n_16955;
+   wire n_16956;
+   wire n_16957;
+   wire n_16958;
+   wire n_16959;
+   wire n_16960;
+   wire n_16961;
+   wire n_16962;
+   wire n_16963;
+   wire n_16964;
+   wire n_16965;
+   wire n_16966;
+   wire n_16967;
+   wire n_16968;
+   wire n_16969;
+   wire n_16970;
+   wire n_16971;
+   wire n_16972;
+   wire n_16973;
+   wire n_16974;
+   wire n_16975;
+   wire n_16976;
+   wire n_16977;
+   wire n_16978;
+   wire n_16979;
+   wire n_16980;
+   wire n_16981;
+   wire n_16982;
+   wire n_16983;
+   wire n_16984;
+   wire n_16985;
+   wire n_16986;
+   wire n_16987;
+   wire n_16988;
+   wire n_16989;
+   wire n_16990;
+   wire n_16991;
+   wire n_16992;
+   wire n_16993;
+   wire n_16994;
+   wire n_16995;
+   wire n_16996;
+   wire n_16997;
+   wire n_16998;
+   wire n_16999;
+   wire n_17000;
+   wire n_17001;
+   wire n_17002;
+   wire n_17003;
+   wire n_17004;
+   wire n_17005;
+   wire n_17006;
+   wire n_17007;
+   wire n_17008;
+   wire n_17010;
+   wire n_17011;
+   wire n_17012;
+   wire n_17013;
+   wire n_17015;
+   wire n_17016;
+   wire n_17017;
+   wire n_17018;
+   wire n_17019;
+   wire n_17022;
+   wire n_17023;
+   wire n_17024;
+   wire n_17070;
+   wire n_17178;
+   wire n_17186;
+   wire n_17203;
+   wire n_17224;
+   wire n_17230;
+   wire n_17231;
+   wire n_17232;
+   wire n_17237;
+   wire n_17238;
+   wire n_17242;
+   wire n_17243;
+   wire n_17244;
+   wire n_17245;
+   wire n_17246;
+   wire n_17247;
+   wire n_17248;
+   wire n_17249;
+   wire n_17250;
+   wire n_17251;
+   wire n_17252;
+   wire n_17253;
+   wire n_17255;
+   wire n_17256;
+   wire n_17257;
+   wire n_17258;
+   wire n_17259;
+   wire n_17260;
+   wire n_17261;
+   wire n_17262;
+   wire n_17263;
+   wire n_17264;
+   wire n_17265;
+   wire n_17266;
+   wire n_17267;
+   wire n_17268;
+   wire n_17269;
+   wire n_17270;
+   wire n_17271;
+   wire n_17272;
+   wire n_17273;
+   wire n_17274;
+   wire n_17275;
+   wire n_17276;
+   wire n_17277;
+   wire n_17278;
+   wire n_17279;
+   wire n_17280;
+   wire n_17281;
+   wire n_17282;
+   wire n_17283;
+   wire n_17284;
+   wire n_17285;
+   wire n_17286;
+   wire n_17287;
+   wire n_17288;
+   wire n_17289;
+   wire n_17290;
+   wire n_17291;
+   wire n_17292;
+   wire n_17293;
+   wire n_17294;
+   wire n_17295;
+   wire n_17296;
+   wire n_17297;
+   wire n_17298;
+   wire n_17299;
+   wire n_17300;
+   wire n_17301;
+   wire n_17302;
+   wire n_17303;
+   wire n_17304;
+   wire n_17305;
+   wire n_17306;
+   wire n_17307;
+   wire n_17308;
+   wire n_17309;
+   wire n_17310;
+   wire n_17311;
+   wire n_17312;
+   wire n_17313;
+   wire n_17314;
+   wire n_17315;
+   wire n_17316;
+   wire n_17317;
+   wire n_17318;
+   wire n_17319;
+   wire n_17321;
+   wire n_17322;
+   wire n_17323;
+   wire n_17324;
+   wire n_17325;
+   wire n_17326;
+   wire n_17327;
+   wire n_17328;
+   wire n_17329;
+   wire n_17331;
+   wire n_17332;
+   wire n_17333;
+   wire n_17334;
+   wire n_17335;
+   wire n_17336;
+   wire n_17337;
+   wire n_17338;
+   wire n_17339;
+   wire n_17340;
+   wire n_17341;
+   wire n_17342;
+   wire n_17343;
+   wire n_17344;
+   wire n_17345;
+   wire n_17346;
+   wire n_17347;
+   wire n_17348;
+   wire n_17349;
+   wire n_17351;
+   wire n_17352;
+   wire n_17354;
+   wire n_17355;
+   wire n_17356;
+   wire n_17357;
+   wire n_17358;
+   wire n_17359;
+   wire n_17361;
+   wire n_17362;
+   wire n_17363;
+   wire n_17364;
+   wire n_17365;
+   wire n_17366;
+   wire n_17367;
+   wire n_17368;
+   wire n_17369;
+   wire n_17370;
+   wire n_17371;
+   wire n_17372;
+   wire n_17373;
+   wire n_17374;
+   wire n_17375;
+   wire n_17376;
+   wire n_17377;
+   wire n_17378;
+   wire n_17379;
+   wire n_17380;
+   wire n_17381;
+   wire n_17382;
+   wire n_17383;
+   wire n_17384;
+   wire n_17385;
+   wire n_17386;
+   wire n_17387;
+   wire n_17388;
+   wire n_17389;
+   wire n_17390;
+   wire n_17391;
+   wire n_17392;
+   wire n_17393;
+   wire n_17394;
+   wire n_17395;
+   wire n_17396;
+   wire n_17398;
+   wire n_17399;
+   wire n_17400;
+   wire n_17401;
+   wire n_17402;
+   wire n_17403;
+   wire n_17404;
+   wire n_17405;
+   wire n_17406;
+   wire n_17407;
+   wire n_17408;
+   wire n_17409;
+   wire n_17410;
+   wire n_17411;
+   wire n_17413;
+   wire n_17414;
+   wire n_17415;
+   wire n_17416;
+   wire n_17417;
+   wire n_17418;
+   wire n_17419;
+   wire n_17420;
+   wire n_17421;
+   wire n_17422;
+   wire n_17423;
+   wire n_17424;
+   wire n_17425;
+   wire n_17426;
+   wire n_17427;
+   wire n_17428;
+   wire n_17429;
+   wire n_17430;
+   wire n_17431;
+   wire n_17432;
+   wire n_17433;
+   wire n_17434;
+   wire n_17435;
+   wire n_17436;
+   wire n_17437;
+   wire n_17438;
+   wire n_17439;
+   wire n_17440;
+   wire n_17441;
+   wire n_17442;
+   wire n_17443;
+   wire n_17444;
+   wire n_17445;
+   wire n_17446;
+   wire n_17447;
+   wire n_17448;
+   wire n_17449;
+   wire n_17450;
+   wire n_17451;
+   wire n_17452;
+   wire n_17453;
+   wire n_17454;
+   wire n_17455;
+   wire n_17456;
+   wire n_17457;
+   wire n_17458;
+   wire n_17459;
+   wire n_17460;
+   wire n_17461;
+   wire n_17462;
+   wire n_17463;
+   wire n_17464;
+   wire n_17465;
+   wire n_17466;
+   wire n_17467;
+   wire n_17468;
+   wire n_17469;
+   wire n_17470;
+   wire n_17471;
+   wire n_17472;
+   wire n_17473;
+   wire n_17474;
+   wire n_17475;
+   wire n_17476;
+   wire n_17477;
+   wire n_17478;
+   wire n_17479;
+   wire n_17480;
+   wire n_17481;
+   wire n_17482;
+   wire n_17483;
+   wire n_17484;
+   wire n_17485;
+   wire n_17486;
+   wire n_17487;
+   wire n_17488;
+   wire n_17489;
+   wire n_17490;
+   wire n_17491;
+   wire n_17492;
+   wire n_17493;
+   wire n_17494;
+   wire n_17495;
+   wire n_17496;
+   wire n_17497;
+   wire n_17498;
+   wire n_17499;
+   wire n_17500;
+   wire n_17501;
+   wire n_17502;
+   wire n_17503;
+   wire n_17504;
+   wire n_17505;
+   wire n_17506;
+   wire n_17507;
+   wire n_17508;
+   wire n_17509;
+   wire n_17511;
+   wire n_17512;
+   wire n_17513;
+   wire n_17514;
+   wire n_17515;
+   wire n_17516;
+   wire n_17517;
+   wire n_17518;
+   wire n_17519;
+   wire n_17520;
+   wire n_17521;
+   wire n_17522;
+   wire n_17524;
+   wire n_17525;
+   wire n_17526;
+   wire n_17527;
+   wire n_17528;
+   wire n_17529;
+   wire n_17530;
+   wire n_17531;
+   wire n_17532;
+   wire n_17533;
+   wire n_17534;
+   wire n_17535;
+   wire n_17537;
+   wire n_17538;
+   wire n_17539;
+   wire n_17540;
+   wire n_17541;
+   wire n_17542;
+   wire n_17543;
+   wire n_17544;
+   wire n_17545;
+   wire n_17546;
+   wire n_17547;
+   wire n_17548;
+   wire n_17549;
+   wire n_17550;
+   wire n_17551;
+   wire n_17552;
+   wire n_17553;
+   wire n_17554;
+   wire n_17555;
+   wire n_17556;
+   wire n_17557;
+   wire n_17558;
+   wire n_17559;
+   wire n_17560;
+   wire n_17561;
+   wire n_17562;
+   wire n_17563;
+   wire n_17564;
+   wire n_17565;
+   wire n_17566;
+   wire n_17567;
+   wire n_17568;
+   wire n_17569;
+   wire n_17570;
+   wire n_17571;
+   wire n_17572;
+   wire n_17573;
+   wire n_17574;
+   wire n_17575;
+   wire n_17576;
+   wire n_17577;
+   wire n_17578;
+   wire n_17579;
+   wire n_17580;
+   wire n_17581;
+   wire n_17582;
+   wire n_17583;
+   wire n_17584;
+   wire n_17585;
+   wire n_17586;
+   wire n_17587;
+   wire n_17588;
+   wire n_17589;
+   wire n_17590;
+   wire n_17591;
+   wire n_17592;
+   wire n_17593;
+   wire n_17594;
+   wire n_17595;
+   wire n_17596;
+   wire n_17597;
+   wire n_17598;
+   wire n_17599;
+   wire n_17600;
+   wire n_17601;
+   wire n_17602;
+   wire n_17603;
+   wire n_17604;
+   wire n_17605;
+   wire n_17606;
+   wire n_17607;
+   wire n_17608;
+   wire n_17609;
+   wire n_17610;
+   wire n_17612;
+   wire n_17613;
+   wire n_17614;
+   wire n_17617;
+   wire n_17618;
+   wire n_17619;
+   wire n_17620;
+   wire n_17621;
+   wire n_17622;
+   wire n_17623;
+   wire n_17624;
+   wire n_17625;
+   wire n_17626;
+   wire n_17628;
+   wire n_17629;
+   wire n_17630;
+   wire n_17631;
+   wire n_17633;
+   wire n_17635;
+   wire n_17638;
+   wire n_17639;
+   wire n_17640;
+   wire n_17641;
+   wire n_17642;
+   wire n_17643;
+   wire n_17644;
+   wire n_17645;
+   wire n_17646;
+   wire n_17647;
+   wire n_17648;
+   wire n_17649;
+   wire n_17650;
+   wire n_17651;
+   wire n_17652;
+   wire n_17653;
+   wire n_17654;
+   wire n_17655;
+   wire n_17656;
+   wire n_17657;
+   wire n_17688;
+   wire n_17691;
+   wire n_17692;
+   wire n_17693;
+   wire n_17694;
+   wire n_17695;
+   wire n_17696;
+   wire n_17712;
+   wire n_17719;
+   wire n_17722;
+   wire n_17729;
+   wire n_17730;
+   wire n_17731;
+   wire n_17733;
+   wire n_17734;
+   wire n_17736;
+   wire n_17737;
+   wire n_17739;
+   wire n_17741;
+   wire n_17742;
+   wire n_17744;
+   wire n_17745;
+   wire n_17747;
+   wire n_17748;
+   wire n_17749;
+   wire n_17750;
+   wire n_17751;
+   wire n_17752;
+   wire n_17753;
+   wire n_17754;
+   wire n_17755;
+   wire n_17756;
+   wire n_17757;
+   wire n_17758;
+   wire n_17759;
+   wire n_17760;
+   wire n_17762;
+   wire n_17764;
+   wire n_17765;
+   wire n_17802;
+   wire n_17808;
+   wire n_17826;
+   wire n_17827;
+   wire n_17830;
+   wire n_17831;
+   wire n_17832;
+   wire n_17834;
+   wire n_17835;
+   wire n_17836;
+   wire n_17844;
+   wire n_17854;
+   wire n_17864;
+   wire n_17871;
+   wire n_17872;
+   wire n_17873;
+   wire n_17874;
+   wire n_17875;
+   wire n_17877;
+   wire n_17879;
+   wire n_17883;
+   wire n_17884;
+   wire n_17885;
+   wire n_17886;
+   wire n_17887;
+   wire n_17889;
+   wire n_17891;
+   wire n_17892;
+   wire n_17893;
+   wire n_17894;
+   wire n_17895;
+   wire n_17896;
+   wire n_17897;
+   wire n_17899;
+   wire n_17900;
+   wire n_17901;
+   wire n_17902;
+   wire n_17904;
+   wire n_17905;
+   wire n_17915;
+   wire n_17916;
+   wire n_17917;
+   wire n_17922;
+   wire n_17925;
+   wire n_17927;
+   wire n_17928;
+   wire n_17929;
+   wire n_17930;
+   wire n_17931;
+   wire n_17932;
+   wire n_17933;
+   wire n_17936;
+   wire n_17944;
+   wire n_17945;
+   wire n_17947;
+   wire n_17951;
+   wire n_17955;
+   wire n_17956;
+   wire n_17957;
+   wire n_17962;
+   wire n_17963;
+   wire n_17966;
+   wire n_17968;
+   wire n_17970;
+   wire n_17971;
+   wire n_17972;
+   wire n_17999;
+   wire n_18001;
+   wire n_18004;
+   wire n_18005;
+   wire n_18006;
+   wire n_18007;
+   wire n_18009;
+   wire n_18010;
+   wire n_18011;
+   wire n_18012;
+   wire n_18013;
+   wire n_18017;
+   wire n_18018;
+   wire n_18019;
+   wire n_18020;
+   wire n_18021;
+   wire n_18022;
+   wire n_18024;
+   wire n_18026;
+   wire n_18028;
+   wire n_18029;
+   wire n_18030;
+   wire n_18031;
+   wire n_18032;
+   wire n_18033;
+   wire n_18034;
+   wire n_18035;
+   wire n_18036;
+   wire n_18037;
+   wire n_18038;
+   wire n_18039;
+   wire n_18040;
+   wire n_18041;
+   wire n_18042;
+   wire n_18043;
+   wire n_18044;
+   wire n_18045;
+   wire n_18046;
+   wire n_18047;
+   wire n_18048;
+   wire n_18049;
+   wire n_18050;
+   wire n_18051;
+   wire n_18052;
+   wire n_18053;
+   wire n_18054;
+   wire n_18055;
+   wire n_18056;
+   wire n_18057;
+   wire n_18058;
+   wire n_18059;
+   wire n_18060;
+   wire n_18063;
+   wire n_18065;
+   wire n_18066;
+   wire n_18067;
+   wire n_18068;
+   wire n_18069;
+   wire n_18070;
+   wire n_18071;
+   wire n_18072;
+   wire n_18073;
+   wire n_18074;
+   wire n_18075;
+   wire n_18076;
+   wire n_18077;
+   wire n_18078;
+   wire n_18079;
+   wire n_18080;
+   wire n_18081;
+   wire n_18082;
+   wire n_18083;
+   wire n_18084;
+   wire n_18085;
+   wire n_18086;
+   wire n_18087;
+   wire n_18088;
+   wire n_18089;
+   wire n_18090;
+   wire n_18093;
+   wire n_18094;
+   wire n_18127;
+   wire n_18138;
+   wire n_18148;
+   wire n_18154;
+   wire n_18169;
+   wire n_18182;
+   wire n_18194;
+   wire n_18215;
+   wire n_18216;
+   wire n_18218;
+   wire n_18246;
+   wire n_18250;
+   wire n_18264;
+   wire n_18271;
+   wire n_18289;
+   wire n_18291;
+   wire n_18311;
+   wire n_18312;
+   wire n_18313;
+   wire n_18315;
+   wire n_18335;
+   wire n_18337;
+   wire n_18338;
+   wire n_18340;
+   wire n_18341;
+   wire n_18342;
+   wire n_18343;
+   wire n_18344;
+   wire n_18345;
+   wire n_18346;
+   wire n_18347;
+   wire n_18348;
+   wire n_18349;
+   wire n_18350;
+   wire n_18351;
+   wire n_18352;
+   wire n_18353;
+   wire n_18354;
+   wire n_18355;
+   wire n_18357;
+   wire n_18358;
+   wire n_18359;
+   wire n_18361;
+   wire n_18362;
+   wire n_18365;
+   wire n_18369;
+   wire n_18371;
+   wire n_18372;
+   wire n_18375;
+   wire n_18376;
+   wire n_18380;
+   wire n_18381;
+   wire n_18383;
+   wire n_18384;
+   wire n_18386;
+   wire n_18387;
+   wire n_18388;
+   wire n_18389;
+   wire n_18391;
+   wire n_18393;
+   wire n_18395;
+   wire n_18396;
+   wire n_18397;
+   wire n_18402;
+   wire n_18426;
+   wire n_18441;
+   wire n_18471;
+   wire n_18484;
+   wire n_18501;
+   wire n_18502;
+   wire n_18531;
+   wire n_18532;
+   wire n_18533;
+   wire n_18534;
+   wire n_18535;
+   wire n_18536;
+   wire n_18537;
+   wire n_18565;
+   wire n_18566;
+   wire n_18604;
+   wire n_18605;
+   wire n_18606;
+   wire n_18607;
+   wire n_18638;
+   wire n_18639;
+   wire n_18640;
+   wire n_18641;
+   wire n_18642;
+   wire n_18643;
+   wire n_18673;
+   wire n_18674;
+   wire n_18675;
+   wire n_18676;
+   wire n_18743;
+   wire n_18754;
+   wire n_18783;
+   wire n_18785;
+   wire n_18787;
+   wire n_18790;
+   wire n_18817;
+   wire n_18818;
+   wire n_18851;
+   wire n_18855;
+   wire n_18866;
+   wire n_18871;
+   wire n_18897;
+   wire n_18898;
+   wire n_18900;
+   wire n_18910;
+   wire n_18924;
+   wire n_18925;
+   wire n_18983;
+   wire n_18988;
+   wire n_19010;
+   wire n_19011;
+   wire n_19012;
+   wire n_19013;
+   wire n_19043;
+   wire n_19044;
+   wire n_19069;
+   wire n_19070;
+   wire n_19073;
+   wire n_19075;
+   wire n_19103;
+   wire n_19104;
+   wire n_19106;
+   wire n_19107;
+   wire n_19138;
+   wire n_19153;
+   wire n_19154;
+   wire n_19155;
+   wire n_19156;
+   wire n_19157;
+   wire n_19185;
+   wire n_19186;
+   wire n_19187;
+   wire n_19188;
+   wire n_19210;
+   wire n_19227;
+   wire n_19237;
+   wire n_19250;
+   wire n_19262;
+   wire n_19277;
+   wire n_19288;
+   wire n_19294;
+   wire n_19332;
+   wire n_19333;
+   wire n_19338;
+   wire n_19365;
+   wire n_19366;
+   wire n_19398;
+   wire n_19403;
+   wire n_19428;
+   wire n_19436;
+   wire n_19451;
+   wire n_19452;
+   wire n_19479;
+   wire n_19480;
+   wire n_19501;
+   wire n_19503;
+   wire n_19549;
+   wire n_19556;
+   wire n_19562;
+   wire n_19563;
+   wire n_19564;
+   wire n_19565;
+   wire n_19566;
+   wire n_19567;
+   wire n_19568;
+   wire n_19569;
+   wire n_19570;
+   wire n_19571;
+   wire n_19572;
+   wire n_19573;
+   wire n_19574;
+   wire n_19575;
+   wire n_19576;
+   wire n_19577;
+   wire n_19578;
+   wire n_19579;
+   wire n_19580;
+   wire n_19581;
+   wire n_19582;
+   wire n_19583;
+   wire n_19584;
+   wire n_19585;
+   wire n_19586;
+   wire n_19587;
+   wire n_19588;
+   wire n_19589;
+   wire n_19590;
+   wire n_19591;
+   wire n_19592;
+   wire n_19593;
+   wire n_19594;
+   wire n_19595;
+   wire n_19596;
+   wire n_19598;
+   wire n_19600;
+   wire n_19601;
+   wire n_19602;
+   wire n_19603;
+   wire n_19604;
+   wire n_19605;
+   wire n_19606;
+   wire n_19607;
+   wire n_19608;
+   wire n_19609;
+   wire n_19610;
+   wire n_19611;
+   wire n_19612;
+   wire n_19613;
+   wire n_19614;
+   wire n_19615;
+   wire n_19616;
+   wire n_19617;
+   wire n_19618;
+   wire n_19620;
+   wire n_19621;
+   wire n_19622;
+   wire n_19623;
+   wire n_19624;
+   wire n_19625;
+   wire n_19626;
+   wire n_19627;
+   wire n_19628;
+   wire n_19630;
+   wire n_19632;
+   wire n_19633;
+   wire n_19634;
+   wire n_19635;
+   wire n_19636;
+   wire n_19637;
+   wire n_19639;
+   wire n_19640;
+   wire n_19642;
+   wire n_19643;
+   wire n_19645;
+   wire n_19648;
+   wire n_19649;
+   wire n_19650;
+   wire n_19651;
+   wire n_19654;
+   wire n_19656;
+   wire n_19657;
+   wire n_19660;
+   wire n_19661;
+   wire n_19662;
+   wire n_19663;
+   wire n_19664;
+   wire n_19665;
+   wire n_19666;
+   wire n_19667;
+   wire n_19668;
+   wire n_19669;
+   wire n_19670;
+   wire n_19671;
+   wire n_19673;
+   wire n_19675;
+   wire n_19676;
+   wire n_19679;
+   wire n_19681;
+   wire n_19684;
+   wire n_19689;
+   wire n_19691;
+   wire n_19692;
+   wire n_19693;
+   wire n_19694;
+   wire n_19695;
+   wire n_19697;
+   wire n_19700;
+   wire n_19701;
+   wire n_19704;
+   wire n_19706;
+   wire n_19707;
+   wire n_19708;
+   wire n_19709;
+   wire n_19710;
+   wire n_19711;
+   wire n_19713;
+   wire n_19716;
+   wire n_19717;
+   wire n_19720;
+   wire n_19721;
+   wire n_19722;
+   wire n_19724;
+   wire n_19725;
+   wire n_19726;
+   wire n_19729;
+   wire n_19732;
+   wire n_19733;
+   wire n_19735;
+   wire n_19738;
+   wire n_19743;
+   wire n_19744;
+   wire n_19746;
+   wire n_19747;
+   wire n_19748;
+   wire n_19749;
+   wire n_19750;
+   wire n_19751;
+   wire n_19752;
+   wire n_19753;
+   wire n_19758;
+   wire n_19760;
+   wire n_19762;
+   wire n_19763;
+   wire n_19764;
+   wire n_19765;
+   wire n_19768;
+   wire n_19769;
+   wire n_19770;
+   wire n_19771;
+   wire n_19772;
+   wire n_19774;
+   wire n_19776;
+   wire n_19778;
+   wire n_19780;
+   wire n_19782;
+   wire n_19785;
+   wire n_19787;
+   wire n_19788;
+   wire n_19790;
+   wire n_19793;
+   wire n_19794;
+   wire n_19796;
+   wire n_19797;
+   wire n_19799;
+   wire n_19800;
+   wire n_19801;
+   wire n_19802;
+   wire n_19806;
+   wire n_19808;
+   wire n_19809;
+   wire n_19810;
+   wire n_19811;
+   wire n_19817;
+   wire n_19818;
+   wire n_19820;
+   wire n_19821;
+   wire n_19824;
+   wire n_19825;
+   wire n_19826;
+   wire n_19828;
+   wire n_19829;
+   wire n_19830;
+   wire n_19831;
+   wire n_19832;
+   wire n_19835;
+   wire n_19836;
+   wire n_19838;
+   wire n_19839;
+   wire n_19842;
+   wire n_19845;
+   wire n_19846;
+   wire n_19847;
+   wire n_19849;
+   wire n_19850;
+   wire n_19851;
+   wire n_19852;
+   wire n_19856;
+   wire n_19857;
+   wire n_19860;
+   wire n_19861;
+   wire n_19863;
+   wire n_19866;
+   wire n_19867;
+   wire n_19870;
+   wire n_19871;
+   wire n_19872;
+   wire n_19873;
+   wire n_19875;
+   wire n_19876;
+   wire n_19877;
+   wire n_19878;
+   wire n_19879;
+   wire n_19880;
+   wire n_19881;
+   wire n_19882;
+   wire n_19885;
+   wire n_19887;
+   wire n_19889;
+   wire n_19892;
+   wire n_19893;
+   wire n_19894;
+   wire n_19895;
+   wire n_19896;
+   wire n_19897;
+   wire n_19898;
+   wire n_19899;
+   wire n_19900;
+   wire n_19901;
+   wire n_19902;
+   wire n_19903;
+   wire n_19904;
+   wire n_19905;
+   wire n_19906;
+   wire n_19907;
+   wire n_19908;
+   wire n_19909;
+   wire n_19910;
+   wire n_19911;
+   wire n_19912;
+   wire n_19913;
+   wire n_19914;
+   wire n_19915;
+   wire n_19917;
+   wire n_19918;
+   wire n_19919;
+   wire n_19920;
+   wire n_19921;
+   wire n_19922;
+   wire n_19923;
+   wire n_19924;
+   wire n_19926;
+   wire n_19927;
+   wire n_19929;
+   wire n_19930;
+   wire n_19931;
+   wire n_19932;
+   wire n_19933;
+   wire n_19935;
+   wire n_19936;
+   wire n_19940;
+   wire n_19941;
+   wire n_19942;
+   wire n_19943;
+   wire n_19945;
+   wire n_19946;
+   wire n_19953;
+   wire n_19958;
+   wire n_19959;
+   wire n_19960;
+   wire n_19961;
+   wire n_19968;
+   wire n_19971;
+   wire n_19972;
+   wire n_19973;
+   wire n_19974;
+   wire n_19975;
+   wire n_19976;
+   wire n_19977;
+   wire n_19978;
+   wire n_19979;
+   wire n_19980;
+   wire n_19982;
+   wire n_19984;
+   wire n_19988;
+   wire n_19989;
+   wire n_19990;
+   wire n_19991;
+   wire n_19992;
+   wire n_19994;
+   wire n_19995;
+   wire n_19996;
+   wire n_19997;
+   wire n_19999;
+   wire n_20000;
+   wire n_20002;
+   wire n_20003;
+   wire n_20006;
+   wire n_20008;
+   wire n_20009;
+   wire n_20010;
+   wire n_20012;
+   wire n_20013;
+   wire n_20014;
+   wire n_20016;
+   wire n_20017;
+   wire n_20018;
+   wire n_20021;
+   wire n_20022;
+   wire n_20023;
+   wire n_20028;
+   wire n_20029;
+   wire n_20032;
+   wire n_20033;
+   wire n_20034;
+   wire n_20036;
+   wire n_20037;
+   wire n_20038;
+   wire n_20040;
+   wire n_20041;
+   wire n_20045;
+   wire n_20047;
+   wire n_20049;
+   wire n_20050;
+   wire n_20052;
+   wire n_20053;
+   wire n_20054;
+   wire n_20055;
+   wire n_20056;
+   wire n_20059;
+   wire n_20060;
+   wire n_20061;
+   wire n_20065;
+   wire n_20066;
+   wire n_20067;
+   wire n_20068;
+   wire n_20070;
+   wire n_20071;
+   wire n_20073;
+   wire n_20074;
+   wire n_20079;
+   wire n_20081;
+   wire n_20085;
+   wire n_20086;
+   wire n_20087;
+   wire n_20088;
+   wire n_20093;
+   wire n_20097;
+   wire n_20098;
+   wire n_20099;
+   wire n_20100;
+   wire n_20103;
+   wire n_20104;
+   wire n_20106;
+   wire n_20107;
+   wire n_20108;
+   wire n_20109;
+   wire n_20110;
+   wire n_20112;
+   wire n_20115;
+   wire n_20116;
+   wire n_20117;
+   wire n_20118;
+   wire n_20119;
+   wire n_20120;
+   wire n_20122;
+   wire n_20123;
+   wire n_20127;
+   wire n_20128;
+   wire n_20129;
+   wire n_20130;
+   wire n_20135;
+   wire n_20137;
+   wire n_20140;
+   wire n_20143;
+   wire n_20144;
+   wire n_20145;
+   wire n_20146;
+   wire n_20147;
+   wire n_20148;
+   wire n_20149;
+   wire n_20150;
+   wire n_20151;
+   wire n_20152;
+   wire n_20153;
+   wire n_20154;
+   wire n_20156;
+   wire n_20157;
+   wire n_20159;
+   wire n_20160;
+   wire n_20162;
+   wire n_20163;
+   wire n_20164;
+   wire n_20167;
+   wire n_20171;
+   wire n_20176;
+   wire n_20177;
+   wire n_20179;
+   wire n_20180;
+   wire n_20183;
+   wire n_20185;
+   wire n_20186;
+   wire n_20187;
+   wire n_20188;
+   wire n_20190;
+   wire n_20194;
+   wire n_20196;
+   wire n_20197;
+   wire n_20198;
+   wire n_20199;
+   wire n_20200;
+   wire n_20203;
+   wire n_20204;
+   wire n_20205;
+   wire n_20206;
+   wire n_20209;
+   wire n_20210;
+   wire n_20211;
+   wire n_20212;
+   wire n_20213;
+   wire n_20214;
+   wire n_20218;
+   wire n_20219;
+   wire n_20220;
+   wire n_20221;
+   wire n_20224;
+   wire n_20229;
+   wire n_20233;
+   wire n_20240;
+   wire n_20241;
+   wire n_20242;
+   wire n_20245;
+   wire n_20247;
+   wire n_20248;
+   wire n_20249;
+   wire n_20253;
+   wire n_20254;
+   wire n_20256;
+   wire n_20257;
+   wire n_20262;
+   wire n_20264;
+   wire n_20267;
+   wire n_20268;
+   wire n_20269;
+   wire n_20271;
+   wire n_20272;
+   wire n_20275;
+   wire n_20276;
+   wire n_20277;
+   wire n_20279;
+   wire n_20280;
+   wire n_20281;
+   wire n_20282;
+   wire n_20283;
+   wire n_20285;
+   wire n_20286;
+   wire n_20287;
+   wire n_20288;
+   wire n_20289;
+   wire n_20290;
+   wire n_20295;
+   wire n_20296;
+   wire n_20297;
+   wire n_20298;
+   wire n_20299;
+   wire n_20302;
+   wire n_20303;
+   wire n_20305;
+   wire n_20306;
+   wire n_20307;
+   wire n_20314;
+   wire n_20315;
+   wire n_20316;
+   wire n_20317;
+   wire n_20318;
+   wire n_20319;
+   wire n_20320;
+   wire n_20324;
+   wire n_20328;
+   wire n_20329;
+   wire n_20330;
+   wire n_20331;
+   wire n_20332;
+   wire n_20333;
+   wire n_20334;
+   wire n_20335;
+   wire n_20336;
+   wire n_20337;
+   wire n_20339;
+   wire n_20340;
+   wire n_20341;
+   wire n_20342;
+   wire n_20344;
+   wire n_20346;
+   wire n_20347;
+   wire n_20348;
+   wire n_20349;
+   wire n_20350;
+   wire n_20352;
+   wire n_20356;
+   wire n_20357;
+   wire n_20359;
+   wire n_20361;
+   wire n_20362;
+   wire n_20363;
+   wire n_20364;
+   wire n_20365;
+   wire n_20369;
+   wire n_20372;
+   wire n_20373;
+   wire n_20374;
+   wire n_20378;
+   wire n_20380;
+   wire n_20381;
+   wire n_20386;
+   wire n_20389;
+   wire n_20390;
+   wire n_20391;
+   wire n_20392;
+   wire n_20395;
+   wire n_20396;
+   wire n_20397;
+   wire n_20398;
+   wire n_20399;
+   wire n_20400;
+   wire n_20401;
+   wire n_20414;
+   wire n_20415;
+   wire n_20416;
+   wire n_20418;
+   wire n_20419;
+   wire n_20421;
+   wire n_20422;
+   wire n_20423;
+   wire n_20424;
+   wire n_20425;
+   wire n_20427;
+   wire n_20428;
+   wire n_20429;
+   wire n_20432;
+   wire n_20433;
+   wire n_20435;
+   wire n_20436;
+   wire n_20437;
+   wire n_20438;
+   wire n_20439;
+   wire n_20441;
+   wire n_20442;
+   wire n_20443;
+   wire n_20444;
+   wire n_20448;
+   wire n_20452;
+   wire n_20453;
+   wire n_20454;
+   wire n_20455;
+   wire n_20458;
+   wire n_20459;
+   wire n_20460;
+   wire n_20461;
+   wire n_20462;
+   wire n_20463;
+   wire n_20466;
+   wire n_20467;
+   wire n_20468;
+   wire n_20472;
+   wire n_20473;
+   wire n_20474;
+   wire n_20476;
+   wire n_20477;
+   wire n_20481;
+   wire n_20482;
+   wire n_20485;
+   wire n_20487;
+   wire n_20488;
+   wire n_20489;
+   wire n_20490;
+   wire n_20491;
+   wire n_20494;
+   wire n_20495;
+   wire n_20496;
+   wire n_20497;
+   wire n_20498;
+   wire n_20499;
+   wire n_20500;
+   wire n_20503;
+   wire n_20504;
+   wire n_20505;
+   wire n_20509;
+   wire n_20513;
+   wire n_20514;
+   wire n_20515;
+   wire n_20517;
+   wire n_20519;
+   wire n_20520;
+   wire n_20521;
+   wire n_20522;
+   wire n_20524;
+   wire n_20527;
+   wire n_20531;
+   wire n_20532;
+   wire n_20533;
+   wire n_20534;
+   wire n_20536;
+   wire n_20537;
+   wire n_20538;
+   wire n_20539;
+   wire n_20540;
+   wire n_20543;
+   wire n_20546;
+   wire n_20551;
+   wire n_20552;
+   wire n_20553;
+   wire n_20558;
+   wire n_20562;
+   wire n_20563;
+   wire n_20566;
+   wire n_20567;
+   wire n_20569;
+   wire n_20572;
+   wire n_20573;
+   wire n_20575;
+   wire n_20576;
+   wire n_20579;
+   wire n_20580;
+   wire n_20581;
+   wire n_20583;
+   wire n_20585;
+   wire n_20588;
+   wire n_20590;
+   wire n_20592;
+   wire n_20593;
+   wire n_20597;
+   wire n_20598;
+   wire n_20600;
+   wire n_20602;
+   wire n_20604;
+   wire n_20609;
+   wire n_20610;
+   wire n_20614;
+   wire n_20615;
+   wire n_20616;
+   wire n_20617;
+   wire n_20621;
+   wire n_20622;
+   wire n_20623;
+   wire n_20624;
+   wire n_20629;
+   wire n_20630;
+   wire n_20631;
+   wire n_20632;
+   wire n_20637;
+   wire n_20638;
+   wire n_20643;
+   wire n_20644;
+   wire n_20649;
+   wire n_20652;
+   wire n_20653;
+   wire n_20656;
+   wire n_20660;
+   wire n_20662;
+   wire n_20663;
+   wire n_20667;
+   wire n_20669;
+   wire n_20670;
+   wire n_20671;
+   wire n_20672;
+   wire n_20675;
+   wire n_20678;
+   wire n_20679;
+   wire n_20680;
+   wire n_20683;
+   wire n_20685;
+   wire n_20687;
+   wire n_20688;
+   wire n_20689;
+   wire n_20690;
+   wire n_20691;
+   wire n_20693;
+   wire n_20695;
+   wire n_20699;
+   wire n_20704;
+   wire n_20706;
+   wire n_20712;
+   wire n_20714;
+   wire n_20717;
+   wire n_20718;
+   wire n_20721;
+   wire n_20722;
+   wire n_20726;
+   wire n_20729;
+   wire n_20731;
+   wire n_20732;
+   wire n_20733;
+   wire n_20734;
+   wire n_20735;
+   wire n_20736;
+   wire n_20738;
+   wire n_20739;
+   wire n_20743;
+   wire n_20746;
+   wire n_20748;
+   wire n_20749;
+   wire n_20750;
+   wire n_20752;
+   wire n_20756;
+   wire n_20757;
+   wire n_20759;
+   wire n_20760;
+   wire n_20762;
+   wire n_20763;
+   wire n_20764;
+   wire n_20766;
+   wire n_20769;
+   wire n_20770;
+   wire n_20771;
+   wire n_20776;
+   wire n_20777;
+   wire n_20778;
+   wire n_20781;
+   wire n_20785;
+   wire n_20788;
+   wire n_20791;
+   wire n_20795;
+   wire n_20796;
+   wire n_20798;
+   wire n_20799;
+   wire n_20800;
+   wire n_20803;
+   wire n_20806;
+   wire n_20809;
+   wire n_20810;
+   wire n_20812;
+   wire n_20814;
+   wire n_20815;
+   wire n_20816;
+   wire n_20818;
+   wire n_20819;
+   wire n_20820;
+   wire n_20821;
+   wire n_20822;
+   wire n_20823;
+   wire n_20824;
+   wire n_20825;
+   wire n_20827;
+   wire n_20829;
+   wire n_20830;
+   wire n_20831;
+   wire n_20832;
+   wire n_20833;
+   wire n_20835;
+   wire n_20836;
+   wire n_20837;
+   wire n_20838;
+   wire n_20840;
+   wire n_20841;
+   wire n_20844;
+   wire n_20846;
+   wire n_20847;
+   wire n_20848;
+   wire n_20849;
+   wire n_20850;
+   wire n_20851;
+   wire n_20853;
+   wire n_20854;
+   wire n_20855;
+   wire n_20857;
+   wire n_20858;
+   wire n_20859;
+   wire n_20860;
+   wire n_20861;
+   wire n_20862;
+   wire n_20863;
+   wire n_20864;
+   wire n_20865;
+   wire n_20866;
+   wire n_20867;
+   wire n_20869;
+   wire n_20870;
+   wire n_20871;
+   wire n_20872;
+   wire n_20873;
+   wire n_20875;
+   wire n_20876;
+   wire n_20877;
+   wire n_20878;
+   wire n_20879;
+   wire n_20880;
+   wire n_20881;
+   wire n_20882;
+   wire n_20885;
+   wire n_20886;
+   wire n_20887;
+   wire n_20888;
+   wire n_20889;
+   wire n_20890;
+   wire n_20892;
+   wire n_20893;
+   wire n_20894;
+   wire n_20895;
+   wire n_20896;
+   wire n_20897;
+   wire n_20898;
+   wire n_20899;
+   wire n_20901;
+   wire n_20902;
+   wire n_20903;
+   wire n_20905;
+   wire n_20906;
+   wire n_20910;
+   wire n_20912;
+   wire n_20913;
+   wire n_20914;
+   wire n_20916;
+   wire n_20917;
+   wire n_20919;
+   wire n_20920;
+   wire n_20921;
+   wire n_20924;
+   wire n_20927;
+   wire n_20928;
+   wire n_20929;
+   wire n_20930;
+   wire n_20931;
+   wire n_20932;
+   wire n_20933;
+   wire n_20934;
+   wire n_20935;
+   wire n_20936;
+   wire n_20937;
+   wire n_20938;
+   wire n_20939;
+   wire n_20940;
+   wire n_20941;
+   wire n_20942;
+   wire n_20943;
+   wire n_20944;
+   wire n_20945;
+   wire n_20948;
+   wire n_20949;
+   wire n_20950;
+   wire n_20951;
+   wire n_20953;
+   wire n_20954;
+   wire n_20955;
+   wire n_20956;
+   wire n_20957;
+   wire n_20959;
+   wire n_20960;
+   wire n_20961;
+   wire n_20962;
+   wire n_20963;
+   wire n_20964;
+   wire n_20965;
+   wire n_20966;
+   wire n_20968;
+   wire n_20969;
+   wire n_20972;
+   wire n_20973;
+   wire n_20974;
+   wire n_20976;
+   wire n_20977;
+   wire n_20978;
+   wire n_20979;
+   wire n_20981;
+   wire n_20984;
+   wire n_20986;
+   wire n_20987;
+   wire n_20989;
+   wire n_20990;
+   wire n_20991;
+   wire n_20993;
+   wire n_20995;
+   wire n_20996;
+   wire n_20997;
+   wire n_20999;
+   wire n_21000;
+   wire n_21002;
+   wire n_21003;
+   wire n_21004;
+   wire n_21005;
+   wire n_21006;
+   wire n_21007;
+   wire n_21008;
+   wire n_21009;
+   wire n_21010;
+   wire n_21011;
+   wire n_21012;
+   wire n_21013;
+   wire n_21014;
+   wire n_21015;
+   wire n_21016;
+   wire n_21017;
+   wire n_21019;
+   wire n_21020;
+   wire n_21021;
+   wire n_21024;
+   wire n_21025;
+   wire n_21027;
+   wire n_21028;
+   wire n_21029;
+   wire n_21031;
+   wire n_21032;
+   wire n_21033;
+   wire n_21034;
+   wire n_21035;
+   wire n_21038;
+   wire n_21039;
+   wire n_21040;
+   wire n_21041;
+   wire n_21042;
+   wire n_21043;
+   wire n_21044;
+   wire n_21045;
+   wire n_21047;
+   wire n_21048;
+   wire n_21049;
+   wire n_21050;
+   wire n_21052;
+   wire n_21053;
+   wire n_21055;
+   wire n_21056;
+   wire n_21057;
+   wire n_21058;
+   wire n_21059;
+   wire n_21060;
+   wire n_21061;
+   wire n_21062;
+   wire n_21065;
+   wire n_21066;
+   wire n_21067;
+   wire n_21068;
+   wire n_21069;
+   wire n_21070;
+   wire n_21071;
+   wire n_21072;
+   wire n_21073;
+   wire n_21074;
+   wire n_21076;
+   wire n_21077;
+   wire n_21078;
+   wire n_21079;
+   wire n_21080;
+   wire n_21081;
+   wire n_21084;
+   wire n_21085;
+   wire n_21086;
+   wire n_21088;
+   wire n_21089;
+   wire n_21090;
+   wire n_21091;
+   wire n_21092;
+   wire n_21093;
+   wire n_21094;
+   wire n_21095;
+   wire n_21096;
+   wire n_21097;
+   wire n_21098;
+   wire n_21099;
+   wire n_21100;
+   wire n_21101;
+   wire n_21102;
+   wire n_21103;
+   wire n_21105;
+   wire n_21106;
+   wire n_21107;
+   wire n_21108;
+   wire n_21109;
+   wire n_21110;
+   wire n_21111;
+   wire n_21114;
+   wire n_21117;
+   wire n_21118;
+   wire n_21120;
+   wire n_21123;
+   wire n_21124;
+   wire n_21125;
+   wire n_21126;
+   wire n_21127;
+   wire n_21128;
+   wire n_21129;
+   wire n_21130;
+   wire n_21131;
+   wire n_21132;
+   wire n_21134;
+   wire n_21137;
+   wire n_21138;
+   wire n_21139;
+   wire n_21142;
+   wire n_21143;
+   wire n_21145;
+   wire n_21146;
+   wire n_21147;
+   wire n_21149;
+   wire n_21150;
+   wire n_21151;
+   wire n_21152;
+   wire n_21153;
+   wire n_21155;
+   wire n_21157;
+   wire n_21158;
+   wire n_21159;
+   wire n_21161;
+   wire n_21162;
+   wire n_21163;
+   wire n_21164;
+   wire n_21165;
+   wire n_21166;
+   wire n_21167;
+   wire n_21168;
+   wire n_21169;
+   wire n_21170;
+   wire n_21171;
+   wire n_21172;
+   wire n_21173;
+   wire n_21174;
+   wire n_21177;
+   wire n_21178;
+   wire n_21179;
+   wire n_21180;
+   wire n_21181;
+   wire n_21182;
+   wire n_21183;
+   wire n_21184;
+   wire n_21185;
+   wire n_21186;
+   wire n_21187;
+   wire n_21188;
+   wire n_21189;
+   wire n_21190;
+   wire n_21191;
+   wire n_21192;
+   wire n_21193;
+   wire n_21194;
+   wire n_21196;
+   wire n_21197;
+   wire n_21198;
+   wire n_21199;
+   wire n_21200;
+   wire n_21201;
+   wire n_21202;
+   wire n_21204;
+   wire n_21205;
+   wire n_21206;
+   wire n_21207;
+   wire n_21208;
+   wire n_21209;
+   wire n_21210;
+   wire n_21211;
+   wire n_21212;
+   wire n_21213;
+   wire n_21214;
+   wire n_21215;
+   wire n_21216;
+   wire n_21218;
+   wire n_21219;
+   wire n_21220;
+   wire n_21221;
+   wire n_21222;
+   wire n_21223;
+   wire n_21224;
+   wire n_21225;
+   wire n_21226;
+   wire n_21227;
+   wire n_21228;
+   wire n_21229;
+   wire n_21230;
+   wire n_21231;
+   wire n_21232;
+   wire n_21233;
+   wire n_21234;
+   wire n_21236;
+   wire n_21237;
+   wire n_21238;
+   wire n_21239;
+   wire n_21240;
+   wire n_21241;
+   wire n_21242;
+   wire n_21243;
+   wire n_21244;
+   wire n_21245;
+   wire n_21247;
+   wire n_21248;
+   wire n_21249;
+   wire n_21250;
+   wire n_21252;
+   wire n_21253;
+   wire n_21254;
+   wire n_21256;
+   wire n_21258;
+   wire n_21260;
+   wire n_21262;
+   wire n_21263;
+   wire n_21265;
+   wire n_21266;
+   wire n_21268;
+   wire n_21270;
+   wire n_21271;
+   wire n_21272;
+   wire n_21273;
+   wire n_21275;
+   wire n_21276;
+   wire n_21279;
+   wire n_21280;
+   wire n_21281;
+   wire n_21282;
+   wire n_21283;
+   wire n_21285;
+   wire n_21287;
+   wire n_21288;
+   wire n_21289;
+   wire n_21291;
+   wire n_21292;
+   wire n_21293;
+   wire n_21294;
+   wire n_21295;
+   wire n_21297;
+   wire n_21298;
+   wire n_21299;
+   wire n_21300;
+   wire n_21302;
+   wire n_21303;
+   wire n_21304;
+   wire n_21305;
+   wire n_21306;
+   wire n_21307;
+   wire n_21308;
+   wire n_21309;
+   wire n_21311;
+   wire n_21312;
+   wire n_21313;
+   wire n_21314;
+   wire n_21315;
+   wire n_21316;
+   wire n_21317;
+   wire n_21318;
+   wire n_21320;
+   wire n_21321;
+   wire n_21322;
+   wire n_21323;
+   wire n_21324;
+   wire n_21325;
+   wire n_21326;
+   wire n_21327;
+   wire n_21328;
+   wire n_21329;
+   wire n_21330;
+   wire n_21331;
+   wire n_21332;
+   wire n_21333;
+   wire n_21335;
+   wire n_21336;
+   wire n_21337;
+   wire n_21339;
+   wire n_21341;
+   wire n_21342;
+   wire n_21343;
+   wire n_21344;
+   wire n_21345;
+   wire n_21346;
+   wire n_21347;
+   wire n_21348;
+   wire n_21349;
+   wire n_21350;
+   wire n_21352;
+   wire n_21353;
+   wire n_21354;
+   wire n_21356;
+   wire n_21357;
+   wire n_21358;
+   wire n_21359;
+   wire n_21361;
+   wire n_21362;
+   wire n_21363;
+   wire n_21364;
+   wire n_21365;
+   wire n_21366;
+   wire n_21367;
+   wire n_21368;
+   wire n_21369;
+   wire n_21371;
+   wire n_21372;
+   wire n_21373;
+   wire n_21374;
+   wire n_21376;
+   wire n_21377;
+   wire n_21378;
+   wire n_21379;
+   wire n_21380;
+   wire n_21381;
+   wire n_21382;
+   wire n_21383;
+   wire n_21384;
+   wire n_21385;
+   wire n_21386;
+   wire n_21387;
+   wire n_21388;
+   wire n_21393;
+   wire n_21395;
+   wire n_21396;
+   wire n_21397;
+   wire n_21398;
+   wire n_21399;
+   wire n_21400;
+   wire n_21401;
+   wire n_21402;
+   wire n_21403;
+   wire n_21404;
+   wire n_21405;
+   wire n_21406;
+   wire n_21407;
+   wire n_21409;
+   wire n_21410;
+   wire n_21412;
+   wire n_21415;
+   wire n_21416;
+   wire n_21417;
+   wire n_21418;
+   wire n_21420;
+   wire n_21421;
+   wire n_21422;
+   wire n_21423;
+   wire n_21424;
+   wire n_21425;
+   wire n_21426;
+   wire n_21427;
+   wire n_21428;
+   wire n_21429;
+   wire n_21430;
+   wire n_21431;
+   wire n_21432;
+   wire n_21434;
+   wire n_21435;
+   wire n_21436;
+   wire n_21437;
+   wire n_21438;
+   wire n_21439;
+   wire n_21440;
+   wire n_21441;
+   wire n_21442;
+   wire n_21443;
+   wire n_21444;
+   wire n_21445;
+   wire n_21446;
+   wire n_21447;
+   wire n_21448;
+   wire n_21450;
+   wire n_21451;
+   wire n_21453;
+   wire n_21455;
+   wire n_21456;
+   wire n_21458;
+   wire n_21459;
+   wire n_21460;
+   wire n_21461;
+   wire n_21462;
+   wire n_21464;
+   wire n_21465;
+   wire n_21466;
+   wire n_21467;
+   wire n_21469;
+   wire n_21470;
+   wire n_21471;
+   wire n_21472;
+   wire n_21473;
+   wire n_21474;
+   wire n_21475;
+   wire n_21476;
+   wire n_21477;
+   wire n_21478;
+   wire n_21479;
+   wire n_21480;
+   wire n_21482;
+   wire n_21483;
+   wire n_21484;
+   wire n_21486;
+   wire n_21487;
+   wire n_21488;
+   wire n_21489;
+   wire n_21490;
+   wire n_21491;
+   wire n_21492;
+   wire n_21493;
+   wire n_21494;
+   wire n_21495;
+   wire n_21496;
+   wire n_21497;
+   wire n_21498;
+   wire n_21499;
+   wire n_21500;
+   wire n_21501;
+   wire n_21502;
+   wire n_21503;
+   wire n_21504;
+   wire n_21505;
+   wire n_21506;
+   wire n_21508;
+   wire n_21509;
+   wire n_21510;
+   wire n_21511;
+   wire n_21513;
+   wire n_21515;
+   wire n_21516;
+   wire n_21517;
+   wire n_21518;
+   wire n_21519;
+   wire n_21521;
+   wire n_21522;
+   wire n_21523;
+   wire n_21525;
+   wire n_21526;
+   wire n_21527;
+   wire n_21528;
+   wire n_21529;
+   wire n_21530;
+   wire n_21532;
+   wire n_21533;
+   wire n_21534;
+   wire n_21535;
+   wire n_21536;
+   wire n_21537;
+   wire n_21538;
+   wire n_21540;
+   wire n_21541;
+   wire n_21542;
+   wire n_21543;
+   wire n_21544;
+   wire n_21545;
+   wire n_21546;
+   wire n_21547;
+   wire n_21548;
+   wire n_21549;
+   wire n_21550;
+   wire n_21552;
+   wire n_21554;
+   wire n_21555;
+   wire n_21556;
+   wire n_21557;
+   wire n_21558;
+   wire n_21559;
+   wire n_21560;
+   wire n_21561;
+   wire n_21562;
+   wire n_21564;
+   wire n_21565;
+   wire n_21566;
+   wire n_21567;
+   wire n_21568;
+   wire n_21569;
+   wire n_21570;
+   wire n_21571;
+   wire n_21572;
+   wire n_21573;
+   wire n_21574;
+   wire n_21576;
+   wire n_21578;
+   wire n_21579;
+   wire n_21580;
+   wire n_21581;
+   wire n_21582;
+   wire n_21583;
+   wire n_21585;
+   wire n_21586;
+   wire n_21587;
+   wire n_21588;
+   wire n_21589;
+   wire n_21590;
+   wire n_21592;
+   wire n_21594;
+   wire n_21595;
+   wire n_21596;
+   wire n_21597;
+   wire n_21598;
+   wire n_21599;
+   wire n_21600;
+   wire n_21601;
+   wire n_21602;
+   wire n_21603;
+   wire n_21604;
+   wire n_21605;
+   wire n_21606;
+   wire n_21607;
+   wire n_21608;
+   wire n_21609;
+   wire n_21610;
+   wire n_21611;
+   wire n_21612;
+   wire n_21613;
+   wire n_21614;
+   wire n_21616;
+   wire n_21617;
+   wire n_21618;
+   wire n_21619;
+   wire n_21620;
+   wire n_21621;
+   wire n_21622;
+   wire n_21623;
+   wire n_21624;
+   wire n_21625;
+   wire n_21626;
+   wire n_21627;
+   wire n_21628;
+   wire n_21629;
+   wire n_21630;
+   wire n_21631;
+   wire n_21632;
+   wire n_21633;
+   wire n_21634;
+   wire n_21635;
+   wire n_21636;
+   wire n_21637;
+   wire n_21638;
+   wire n_21639;
+   wire n_21640;
+   wire n_21641;
+   wire n_21642;
+   wire n_21643;
+   wire n_21644;
+   wire n_21645;
+   wire n_21646;
+   wire n_21647;
+   wire n_21648;
+   wire n_21650;
+   wire n_21651;
+   wire n_21652;
+   wire n_21653;
+   wire n_21654;
+   wire n_21655;
+   wire n_21656;
+   wire n_21657;
+   wire n_21659;
+   wire n_21660;
+   wire n_21661;
+   wire n_21662;
+   wire n_21663;
+   wire n_21664;
+   wire n_21665;
+   wire n_21666;
+   wire n_21667;
+   wire n_21668;
+   wire n_21669;
+   wire n_21670;
+   wire n_21671;
+   wire n_21672;
+   wire n_21673;
+   wire n_21674;
+   wire n_21675;
+   wire n_21676;
+   wire n_21677;
+   wire n_21678;
+   wire n_21679;
+   wire n_21680;
+   wire n_21681;
+   wire n_21682;
+   wire n_21683;
+   wire n_21684;
+   wire n_21685;
+   wire n_21686;
+   wire n_21687;
+   wire n_21688;
+   wire n_21689;
+   wire n_21690;
+   wire n_21691;
+   wire n_21692;
+   wire n_21693;
+   wire n_21694;
+   wire n_21695;
+   wire n_21696;
+   wire n_21697;
+   wire n_21698;
+   wire n_21699;
+   wire n_21700;
+   wire n_21701;
+   wire n_21702;
+   wire n_21703;
+   wire n_21705;
+   wire n_21706;
+   wire n_21707;
+   wire n_21708;
+   wire n_21709;
+   wire n_21710;
+   wire n_21711;
+   wire n_21712;
+   wire n_21713;
+   wire n_21714;
+   wire n_21715;
+   wire n_21716;
+   wire n_21717;
+   wire n_21718;
+   wire n_21719;
+   wire n_21721;
+   wire n_21722;
+   wire n_21723;
+   wire n_21724;
+   wire n_21725;
+   wire n_21726;
+   wire n_21727;
+   wire n_21728;
+   wire n_21729;
+   wire n_21730;
+   wire n_21731;
+   wire n_21732;
+   wire n_21733;
+   wire n_21734;
+   wire n_21735;
+   wire n_21736;
+   wire n_21737;
+   wire n_21739;
+   wire n_21740;
+   wire n_21742;
+   wire n_21743;
+   wire n_21744;
+   wire n_21745;
+   wire n_21746;
+   wire n_21747;
+   wire n_21749;
+   wire n_21751;
+   wire n_21752;
+   wire n_21753;
+   wire n_21754;
+   wire n_21755;
+   wire n_21757;
+   wire n_21758;
+   wire n_21759;
+   wire n_21760;
+   wire n_21762;
+   wire n_21763;
+   wire n_21764;
+   wire n_21765;
+   wire n_21766;
+   wire n_21767;
+   wire n_21768;
+   wire n_21787;
+   wire n_21788;
+   wire n_21789;
+   wire n_21792;
+   wire n_21793;
+   wire n_21795;
+   wire n_21796;
+   wire n_21797;
+   wire n_21799;
+   wire n_21800;
+   wire n_21801;
+   wire n_21802;
+   wire n_21803;
+   wire n_21804;
+   wire n_21805;
+   wire n_21806;
+   wire n_21807;
+   wire n_21808;
+   wire n_21809;
+   wire n_21810;
+   wire n_21811;
+   wire n_21812;
+   wire n_21813;
+   wire n_21814;
+   wire n_21815;
+   wire n_21817;
+   wire n_21818;
+   wire n_21819;
+   wire n_21821;
+   wire n_21822;
+   wire n_21823;
+   wire n_21824;
+   wire n_21825;
+   wire n_21826;
+   wire n_21827;
+   wire n_21828;
+   wire n_21829;
+   wire n_21831;
+   wire n_21832;
+   wire n_21833;
+   wire n_21834;
+   wire n_21835;
+   wire n_21836;
+   wire n_21838;
+   wire n_21839;
+   wire n_21840;
+   wire n_21841;
+   wire n_21842;
+   wire n_21844;
+   wire n_21845;
+   wire n_21846;
+   wire n_21847;
+   wire n_21848;
+   wire n_21850;
+   wire n_21851;
+   wire n_21852;
+   wire n_21854;
+   wire n_21855;
+   wire n_21856;
+   wire n_21857;
+   wire n_21858;
+   wire n_21859;
+   wire n_21860;
+   wire n_21861;
+   wire n_21862;
+   wire n_21863;
+   wire n_21864;
+   wire n_21865;
+   wire n_21866;
+   wire n_21867;
+   wire n_21868;
+   wire n_21869;
+   wire n_21870;
+   wire n_21871;
+   wire n_21873;
+   wire n_21874;
+   wire n_21876;
+   wire n_21877;
+   wire n_21878;
+   wire n_21879;
+   wire n_21881;
+   wire n_21882;
+   wire n_21883;
+   wire n_21884;
+   wire n_21885;
+   wire n_21887;
+   wire n_21888;
+   wire n_21889;
+   wire n_21890;
+   wire n_21891;
+   wire n_21892;
+   wire n_21893;
+   wire n_21894;
+   wire n_21895;
+   wire n_21897;
+   wire n_21898;
+   wire n_21899;
+   wire n_21900;
+   wire n_21901;
+   wire n_21902;
+   wire n_21903;
+   wire n_21904;
+   wire n_21906;
+   wire n_21907;
+   wire n_21908;
+   wire n_21909;
+   wire n_21910;
+   wire n_21911;
+   wire n_21912;
+   wire n_21913;
+   wire n_21914;
+   wire n_21915;
+   wire n_21916;
+   wire n_21917;
+   wire n_21918;
+   wire n_21920;
+   wire n_21922;
+   wire n_21923;
+   wire n_21924;
+   wire n_21925;
+   wire n_21927;
+   wire n_21928;
+   wire n_21929;
+   wire n_21930;
+   wire n_21931;
+   wire n_21932;
+   wire n_21933;
+   wire n_21934;
+   wire n_21935;
+   wire n_21937;
+   wire n_21938;
+   wire n_21939;
+   wire n_21941;
+   wire n_21942;
+   wire n_21947;
+   wire n_21948;
+   wire n_21949;
+   wire n_21950;
+   wire n_21953;
+   wire n_21954;
+   wire n_21955;
+   wire n_21956;
+   wire n_21957;
+   wire n_21958;
+   wire n_21959;
+   wire n_21960;
+   wire n_21961;
+   wire n_21962;
+   wire n_21963;
+   wire n_21964;
+   wire n_21965;
+   wire n_21966;
+   wire n_21967;
+   wire n_21968;
+   wire n_21969;
+   wire n_21970;
+   wire n_21972;
+   wire n_21973;
+   wire n_21975;
+   wire n_21976;
+   wire n_21978;
+   wire n_21979;
+   wire n_21981;
+   wire n_21982;
+   wire n_21983;
+   wire n_21984;
+   wire n_21985;
+   wire n_21986;
+   wire n_21987;
+   wire n_21988;
+   wire n_21989;
+   wire n_21990;
+   wire n_21991;
+   wire n_21992;
+   wire n_21993;
+   wire n_21994;
+   wire n_21995;
+   wire n_21996;
+   wire n_21998;
+   wire n_21999;
+   wire n_22000;
+   wire n_22003;
+   wire n_22004;
+   wire n_22005;
+   wire n_22008;
+   wire n_22009;
+   wire n_22010;
+   wire n_22011;
+   wire n_22012;
+   wire n_22013;
+   wire n_22015;
+   wire n_22016;
+   wire n_22018;
+   wire n_22019;
+   wire n_22020;
+   wire n_22021;
+   wire n_22022;
+   wire n_22023;
+   wire n_22024;
+   wire n_22026;
+   wire n_22028;
+   wire n_22029;
+   wire n_22030;
+   wire n_22031;
+   wire n_22032;
+   wire n_22033;
+   wire n_22034;
+   wire n_22036;
+   wire n_22037;
+   wire n_22039;
+   wire n_22040;
+   wire n_22041;
+   wire n_22042;
+   wire n_22044;
+   wire n_22046;
+   wire n_22047;
+   wire n_22049;
+   wire n_22050;
+   wire n_22051;
+   wire n_22052;
+   wire n_22053;
+   wire n_22054;
+   wire n_22055;
+   wire n_22056;
+   wire n_22057;
+   wire n_22058;
+   wire n_22059;
+   wire n_22060;
+   wire n_22061;
+   wire n_22062;
+   wire n_22063;
+   wire n_22064;
+   wire n_22065;
+   wire n_22066;
+   wire n_22067;
+   wire n_22068;
+   wire n_22069;
+   wire n_22070;
+   wire n_22071;
+   wire n_22073;
+   wire n_22074;
+   wire n_22080;
+   wire n_22081;
+   wire n_22082;
+   wire n_22084;
+   wire n_22085;
+   wire n_22086;
+   wire n_22087;
+   wire n_22089;
+   wire n_22090;
+   wire n_22091;
+   wire n_22092;
+   wire n_22093;
+   wire n_22094;
+   wire n_22095;
+   wire n_22098;
+   wire n_22099;
+   wire n_22101;
+   wire n_22102;
+   wire n_22103;
+   wire n_22104;
+   wire n_22106;
+   wire n_22107;
+   wire n_22108;
+   wire n_22110;
+   wire n_22112;
+   wire n_22113;
+   wire n_22114;
+   wire n_22115;
+   wire n_22117;
+   wire n_22118;
+   wire n_22119;
+   wire n_22124;
+   wire n_22125;
+   wire n_22126;
+   wire n_22127;
+   wire n_22128;
+   wire n_22130;
+   wire n_22131;
+   wire n_22132;
+   wire n_22133;
+   wire n_22138;
+   wire n_22139;
+   wire n_22140;
+   wire n_22142;
+   wire n_22143;
+   wire n_22144;
+   wire n_22145;
+   wire n_22148;
+   wire n_22150;
+   wire n_22151;
+   wire n_22152;
+   wire n_22154;
+   wire n_22155;
+   wire n_22158;
+   wire n_22161;
+   wire n_22162;
+   wire n_22163;
+   wire n_22167;
+   wire n_22168;
+   wire n_22169;
+   wire n_22171;
+   wire n_22172;
+   wire n_22173;
+   wire n_22175;
+   wire n_22176;
+   wire n_22177;
+   wire n_22178;
+   wire n_22180;
+   wire n_22181;
+   wire n_22182;
+   wire n_22183;
+   wire n_22184;
+   wire n_22185;
+   wire n_22186;
+   wire n_22187;
+   wire n_22188;
+   wire n_22189;
+   wire n_22191;
+   wire n_22192;
+   wire n_22194;
+   wire n_22195;
+   wire n_22197;
+   wire n_22198;
+   wire n_22203;
+   wire n_22204;
+   wire n_22205;
+   wire n_22206;
+   wire n_22207;
+   wire n_22208;
+   wire n_22209;
+   wire n_22210;
+   wire n_22211;
+   wire n_22212;
+   wire n_22213;
+   wire n_22214;
+   wire n_22215;
+   wire n_22218;
+   wire n_22221;
+   wire n_22222;
+   wire n_22223;
+   wire n_22224;
+   wire n_22225;
+   wire n_22226;
+   wire n_22229;
+   wire n_22230;
+   wire n_22232;
+   wire n_22233;
+   wire n_22234;
+   wire n_22235;
+   wire n_22236;
+   wire n_22237;
+   wire n_22239;
+   wire n_22240;
+   wire n_22241;
+   wire n_22242;
+   wire n_22243;
+   wire n_22244;
+   wire n_22245;
+   wire n_22249;
+   wire n_22250;
+   wire n_22251;
+   wire n_22254;
+   wire n_22255;
+   wire n_22256;
+   wire n_22259;
+   wire n_22260;
+   wire n_22261;
+   wire n_22262;
+   wire n_22263;
+   wire n_22264;
+   wire n_22265;
+   wire n_22266;
+   wire n_22267;
+   wire n_22269;
+   wire n_22270;
+   wire n_22271;
+   wire n_22272;
+   wire n_22273;
+   wire n_22274;
+   wire n_22276;
+   wire n_22277;
+   wire n_22278;
+   wire n_22279;
+   wire n_22280;
+   wire n_22281;
+   wire n_22283;
+   wire n_22284;
+   wire n_22285;
+   wire n_22287;
+   wire n_22290;
+   wire n_22292;
+   wire n_22293;
+   wire n_22294;
+   wire n_22296;
+   wire n_22298;
+   wire n_22299;
+   wire n_22300;
+   wire n_22301;
+   wire n_22302;
+   wire n_22303;
+   wire n_22304;
+   wire n_22306;
+   wire n_22307;
+   wire n_22308;
+   wire n_22311;
+   wire n_22314;
+   wire n_22315;
+   wire n_22316;
+   wire n_22317;
+   wire n_22318;
+   wire n_22319;
+   wire n_22320;
+   wire n_22321;
+   wire n_22322;
+   wire n_22324;
+   wire n_22325;
+   wire n_22327;
+   wire n_22328;
+   wire n_22329;
+   wire n_22330;
+   wire n_22333;
+   wire n_22335;
+   wire n_22336;
+   wire n_22338;
+   wire n_22339;
+   wire n_22340;
+   wire n_22341;
+   wire n_22342;
+   wire n_22343;
+   wire n_22344;
+   wire n_22346;
+   wire n_22347;
+   wire n_22348;
+   wire n_22349;
+   wire n_22350;
+   wire n_22351;
+   wire n_22352;
+   wire n_22353;
+   wire n_22354;
+   wire n_22355;
+   wire n_22357;
+   wire n_22358;
+   wire n_22359;
+   wire n_22360;
+   wire n_22361;
+   wire n_22362;
+   wire n_22363;
+   wire n_22364;
+   wire n_22365;
+   wire n_22366;
+   wire n_22367;
+   wire n_22368;
+   wire n_22372;
+   wire n_22374;
+   wire n_22376;
+   wire n_22377;
+   wire n_22378;
+   wire n_22379;
+   wire n_22380;
+   wire n_22381;
+   wire n_22383;
+   wire n_22384;
+   wire n_22385;
+   wire n_22386;
+   wire n_22387;
+   wire n_22388;
+   wire n_22389;
+   wire n_22390;
+   wire n_22391;
+   wire n_22392;
+   wire n_22394;
+   wire n_22395;
+   wire n_22396;
+   wire n_22397;
+   wire n_22398;
+   wire n_22399;
+   wire n_22401;
+   wire n_22402;
+   wire n_22403;
+   wire n_22404;
+   wire n_22406;
+   wire n_22407;
+   wire n_22408;
+   wire n_22409;
+   wire n_22410;
+   wire n_22411;
+   wire n_22413;
+   wire n_22417;
+   wire n_22418;
+   wire n_22421;
+   wire n_22423;
+   wire n_22425;
+   wire n_22428;
+   wire n_22429;
+   wire n_22430;
+   wire n_22431;
+   wire n_22432;
+   wire n_22433;
+   wire n_22436;
+   wire n_22439;
+   wire n_22440;
+   wire n_22441;
+   wire n_22442;
+   wire n_22443;
+   wire n_22444;
+   wire n_22445;
+   wire n_22446;
+   wire n_22447;
+   wire n_22449;
+   wire n_22451;
+   wire n_22452;
+   wire n_22454;
+   wire n_22455;
+   wire n_22456;
+   wire n_22458;
+   wire n_22459;
+   wire n_22461;
+   wire n_22462;
+   wire n_22463;
+   wire n_22464;
+   wire n_22465;
+   wire n_22466;
+   wire n_22468;
+   wire n_22469;
+   wire n_22470;
+   wire n_22473;
+   wire n_22475;
+   wire n_22476;
+   wire n_22477;
+   wire n_22478;
+   wire n_22479;
+   wire n_22480;
+   wire n_22482;
+   wire n_22483;
+   wire n_22484;
+   wire n_22487;
+   wire n_22488;
+   wire n_22489;
+   wire n_22490;
+   wire n_22491;
+   wire n_22492;
+   wire n_22493;
+   wire n_22494;
+   wire n_22495;
+   wire n_22497;
+   wire n_22499;
+   wire n_22503;
+   wire n_22504;
+   wire n_22505;
+   wire n_22506;
+   wire n_22507;
+   wire n_22508;
+   wire n_22510;
+   wire n_22511;
+   wire n_22512;
+   wire n_22513;
+   wire n_22514;
+   wire n_22515;
+   wire n_22516;
+   wire n_22517;
+   wire n_22518;
+   wire n_22522;
+   wire n_22524;
+   wire n_22525;
+   wire n_22527;
+   wire n_22528;
+   wire n_22533;
+   wire n_22534;
+   wire n_22535;
+   wire n_22537;
+   wire n_22538;
+   wire n_22541;
+   wire n_22542;
+   wire n_22543;
+   wire n_22545;
+   wire n_22546;
+   wire n_22547;
+   wire n_22549;
+   wire n_22550;
+   wire n_22553;
+   wire n_22554;
+   wire n_22555;
+   wire n_22556;
+   wire n_22557;
+   wire n_22558;
+   wire n_22559;
+   wire n_22560;
+   wire n_22562;
+   wire n_22563;
+   wire n_22564;
+   wire n_22565;
+   wire n_22566;
+   wire n_22567;
+   wire n_22569;
+   wire n_22570;
+   wire n_22572;
+   wire n_22574;
+   wire n_22575;
+   wire n_22576;
+   wire n_22577;
+   wire n_22578;
+   wire n_22579;
+   wire n_22580;
+   wire n_22582;
+   wire n_22583;
+   wire n_22585;
+   wire n_22586;
+   wire n_22587;
+   wire n_22588;
+   wire n_22590;
+   wire n_22592;
+   wire n_22594;
+   wire n_22595;
+   wire n_22596;
+   wire n_22597;
+   wire n_22598;
+   wire n_22599;
+   wire n_22600;
+   wire n_22601;
+   wire n_22603;
+   wire n_22604;
+   wire n_22605;
+   wire n_22607;
+   wire n_22608;
+   wire n_22609;
+   wire n_22612;
+   wire n_22613;
+   wire n_22614;
+   wire n_22615;
+   wire n_22616;
+   wire n_22618;
+   wire n_22619;
+   wire n_22620;
+   wire n_22624;
+   wire n_22625;
+   wire n_22626;
+   wire n_22627;
+   wire n_22628;
+   wire n_22629;
+   wire n_22631;
+   wire n_22632;
+   wire n_22633;
+   wire n_22634;
+   wire n_22635;
+   wire n_22636;
+   wire n_22637;
+   wire n_22638;
+   wire n_22639;
+   wire n_22640;
+   wire n_22642;
+   wire n_22643;
+   wire n_22644;
+   wire n_22645;
+   wire n_22646;
+   wire n_22647;
+   wire n_22649;
+   wire n_22652;
+   wire n_22653;
+   wire n_22654;
+   wire n_22657;
+   wire n_22658;
+   wire n_22660;
+   wire n_22661;
+   wire n_22662;
+   wire n_22664;
+   wire n_22665;
+   wire n_22667;
+   wire n_22668;
+   wire n_22670;
+   wire n_22671;
+   wire n_22672;
+   wire n_22674;
+   wire n_22675;
+   wire n_22676;
+   wire n_22678;
+   wire n_22679;
+   wire n_22680;
+   wire n_22681;
+   wire n_22682;
+   wire n_22683;
+   wire n_22684;
+   wire n_22685;
+   wire n_22687;
+   wire n_22689;
+   wire n_22690;
+   wire n_22691;
+   wire n_22693;
+   wire n_22694;
+   wire n_22695;
+   wire n_22696;
+   wire n_22697;
+   wire n_22698;
+   wire n_22699;
+   wire n_22700;
+   wire n_22701;
+   wire n_22702;
+   wire n_22703;
+   wire n_22704;
+   wire n_22705;
+   wire n_22706;
+   wire n_22707;
+   wire n_22708;
+   wire n_22709;
+   wire n_22710;
+   wire n_22711;
+   wire n_22712;
+   wire n_22713;
+   wire n_22714;
+   wire n_22715;
+   wire n_22716;
+   wire n_22717;
+   wire n_22718;
+   wire n_22720;
+   wire n_22721;
+   wire n_22722;
+   wire n_22723;
+   wire n_22724;
+   wire n_22726;
+   wire n_22727;
+   wire n_22730;
+   wire n_22732;
+   wire n_22733;
+   wire n_22734;
+   wire n_22735;
+   wire n_22736;
+   wire n_22737;
+   wire n_22738;
+   wire n_22739;
+   wire n_22740;
+   wire n_22741;
+   wire n_22742;
+   wire n_22745;
+   wire n_22749;
+   wire n_22750;
+   wire n_22768;
+   wire n_22769;
+   wire n_22770;
+   wire n_22771;
+   wire n_22772;
+   wire n_22773;
+   wire n_22774;
+   wire n_22775;
+   wire n_22776;
+   wire n_22777;
+   wire n_22778;
+   wire n_22779;
+   wire n_22780;
+   wire n_22781;
+   wire n_22782;
+   wire n_22783;
+   wire n_22784;
+   wire n_22785;
+   wire n_22786;
+   wire n_22787;
+   wire n_22788;
+   wire n_22789;
+   wire n_22790;
+   wire n_22791;
+   wire n_22792;
+   wire n_22793;
+   wire n_22794;
+   wire n_22795;
+   wire n_22796;
+   wire n_22797;
+   wire n_22798;
+   wire n_22799;
+   wire n_22800;
+   wire n_22801;
+   wire n_22802;
+   wire n_22803;
+   wire n_22804;
+   wire n_22805;
+   wire n_22806;
+   wire n_22807;
+   wire n_22808;
+   wire n_22809;
+   wire n_22810;
+   wire n_22811;
+   wire n_22812;
+   wire n_22813;
+   wire n_22814;
+   wire n_22817;
+   wire n_22818;
+   wire n_22819;
+   wire n_22821;
+   wire n_22822;
+   wire n_22823;
+   wire n_22824;
+   wire n_22825;
+   wire n_22826;
+   wire n_22827;
+   wire n_22828;
+   wire n_22829;
+   wire n_22830;
+   wire n_22833;
+   wire n_22834;
+   wire n_22835;
+   wire n_22836;
+   wire n_22837;
+   wire n_22838;
+   wire n_22839;
+   wire n_22840;
+   wire n_22841;
+   wire n_22843;
+   wire n_22844;
+   wire n_22845;
+   wire n_22846;
+   wire n_22847;
+   wire n_22848;
+   wire n_22849;
+   wire n_22852;
+   wire n_22853;
+   wire n_22856;
+   wire n_22858;
+   wire n_22859;
+   wire n_22860;
+   wire n_22861;
+   wire n_22862;
+   wire n_22863;
+   wire n_22864;
+   wire n_22866;
+   wire n_22867;
+   wire n_22868;
+   wire n_22869;
+   wire n_22871;
+   wire n_22872;
+   wire n_22873;
+   wire n_22874;
+   wire n_22876;
+   wire n_22877;
+   wire n_22879;
+   wire n_22880;
+   wire n_22881;
+   wire n_22882;
+   wire n_22885;
+   wire n_22886;
+   wire n_22887;
+   wire n_22888;
+   wire n_22889;
+   wire n_22890;
+   wire n_22892;
+   wire n_22895;
+   wire n_22896;
+   wire n_22897;
+   wire n_22898;
+   wire n_22899;
+   wire n_22900;
+   wire n_22902;
+   wire n_22903;
+   wire n_22904;
+   wire n_22905;
+   wire n_22906;
+   wire n_22908;
+   wire n_22910;
+   wire n_22911;
+   wire n_22912;
+   wire n_22913;
+   wire n_22914;
+   wire n_22915;
+   wire n_22916;
+   wire n_22917;
+   wire n_22918;
+   wire n_22920;
+   wire n_22921;
+   wire n_22922;
+   wire n_22923;
+   wire n_22924;
+   wire n_22925;
+   wire n_22926;
+   wire n_22927;
+   wire n_22928;
+   wire n_22930;
+   wire n_22931;
+   wire n_22933;
+   wire n_22934;
+   wire n_22935;
+   wire n_22937;
+   wire n_22938;
+   wire n_22939;
+   wire n_22940;
+   wire n_22941;
+   wire n_22942;
+   wire n_22944;
+   wire n_22946;
+   wire n_22947;
+   wire n_22948;
+   wire n_22949;
+   wire n_22950;
+   wire n_22951;
+   wire n_22952;
+   wire n_22953;
+   wire n_22954;
+   wire n_22956;
+   wire n_22958;
+   wire n_22959;
+   wire n_22960;
+   wire n_22961;
+   wire n_22962;
+   wire n_22965;
+   wire n_22966;
+   wire n_22968;
+   wire n_22970;
+   wire n_22972;
+   wire n_22973;
+   wire n_22974;
+   wire n_22975;
+   wire n_22976;
+   wire n_22977;
+   wire n_22979;
+   wire n_22981;
+   wire n_22982;
+   wire n_22984;
+   wire n_22987;
+   wire n_22989;
+   wire n_22990;
+   wire n_22992;
+   wire n_22993;
+   wire n_22994;
+   wire n_22995;
+   wire n_22996;
+   wire n_22997;
+   wire n_22998;
+   wire n_22999;
+   wire n_23000;
+   wire n_23001;
+   wire n_23003;
+   wire n_23004;
+   wire n_23005;
+   wire n_23006;
+   wire n_23007;
+   wire n_23008;
+   wire n_23009;
+   wire n_23010;
+   wire n_23012;
+   wire n_23013;
+   wire n_23014;
+   wire n_23016;
+   wire n_23017;
+   wire n_23018;
+   wire n_23019;
+   wire n_23020;
+   wire n_23021;
+   wire n_23022;
+   wire n_23023;
+   wire n_23024;
+   wire n_23025;
+   wire n_23026;
+   wire n_23027;
+   wire n_23028;
+   wire n_23029;
+   wire n_23030;
+   wire n_23031;
+   wire n_23032;
+   wire n_23034;
+   wire n_23036;
+   wire n_23037;
+   wire n_23038;
+   wire n_23039;
+   wire n_23040;
+   wire n_23041;
+   wire n_23042;
+   wire n_23043;
+   wire n_23045;
+   wire n_23046;
+   wire n_23048;
+   wire n_23049;
+   wire n_23051;
+   wire n_23052;
+   wire n_23053;
+   wire n_23054;
+   wire n_23055;
+   wire n_23056;
+   wire n_23057;
+   wire n_23058;
+   wire n_23060;
+   wire n_23061;
+   wire n_23063;
+   wire n_23064;
+   wire n_23066;
+   wire n_23067;
+   wire n_23068;
+   wire n_23069;
+   wire n_23070;
+   wire n_23073;
+   wire n_23074;
+   wire n_23075;
+   wire n_23076;
+   wire n_23078;
+   wire n_23081;
+   wire n_23083;
+   wire n_23084;
+   wire n_23085;
+   wire n_23087;
+   wire n_23088;
+   wire n_23089;
+   wire n_23090;
+   wire n_23091;
+   wire n_23092;
+   wire n_23093;
+   wire n_23094;
+   wire n_23095;
+   wire n_23096;
+   wire n_23097;
+   wire n_23098;
+   wire n_23099;
+   wire n_23100;
+   wire n_23101;
+   wire n_23102;
+   wire n_23104;
+   wire n_23105;
+   wire n_23106;
+   wire n_23107;
+   wire n_23108;
+   wire n_23109;
+   wire n_23110;
+   wire n_23111;
+   wire n_23112;
+   wire n_23113;
+   wire n_23114;
+   wire n_23115;
+   wire n_23116;
+   wire n_23117;
+   wire n_23118;
+   wire n_23119;
+   wire n_23121;
+   wire n_23122;
+   wire n_23123;
+   wire n_23124;
+   wire n_23125;
+   wire n_23126;
+   wire n_23127;
+   wire n_23128;
+   wire n_23130;
+   wire n_23131;
+   wire n_23132;
+   wire n_23133;
+   wire n_23134;
+   wire n_23135;
+   wire n_23136;
+   wire n_23137;
+   wire n_23138;
+   wire n_23139;
+   wire n_23140;
+   wire n_23141;
+   wire n_23142;
+   wire n_23144;
+   wire n_23145;
+   wire n_23146;
+   wire n_23147;
+   wire n_23148;
+   wire n_23149;
+   wire n_23150;
+   wire n_23151;
+   wire n_23153;
+   wire n_23155;
+   wire n_23156;
+   wire n_23157;
+   wire n_23158;
+   wire n_23159;
+   wire n_23161;
+   wire n_23163;
+   wire n_23164;
+   wire n_23168;
+   wire n_23170;
+   wire n_23173;
+   wire n_23174;
+   wire n_23175;
+   wire n_23176;
+   wire n_23177;
+   wire n_23178;
+   wire n_23179;
+   wire n_23180;
+   wire n_23181;
+   wire n_23183;
+   wire n_23184;
+   wire n_23185;
+   wire n_23186;
+   wire n_23187;
+   wire n_23188;
+   wire n_23189;
+   wire n_23190;
+   wire n_23192;
+   wire n_23193;
+   wire n_23195;
+   wire n_23196;
+   wire n_23198;
+   wire n_23199;
+   wire n_23200;
+   wire n_23202;
+   wire n_23203;
+   wire n_23204;
+   wire n_23205;
+   wire n_23207;
+   wire n_23208;
+   wire n_23210;
+   wire n_23211;
+   wire n_23212;
+   wire n_23213;
+   wire n_23214;
+   wire n_23216;
+   wire n_23217;
+   wire n_23218;
+   wire n_23219;
+   wire n_23221;
+   wire n_23222;
+   wire n_23224;
+   wire n_23225;
+   wire n_23226;
+   wire n_23227;
+   wire n_23228;
+   wire n_23230;
+   wire n_23231;
+   wire n_23233;
+   wire n_23235;
+   wire n_23236;
+   wire n_23238;
+   wire n_23239;
+   wire n_23240;
+   wire n_23241;
+   wire n_23242;
+   wire n_23243;
+   wire n_23244;
+   wire n_23245;
+   wire n_23246;
+   wire n_23247;
+   wire n_23248;
+   wire n_23249;
+   wire n_23250;
+   wire n_23251;
+   wire n_23252;
+   wire n_23253;
+   wire n_23254;
+   wire n_23255;
+   wire n_23257;
+   wire n_23260;
+   wire n_23261;
+   wire n_23262;
+   wire n_23264;
+   wire n_23265;
+   wire n_23267;
+   wire n_23268;
+   wire n_23269;
+   wire n_23270;
+   wire n_23271;
+   wire n_23272;
+   wire n_23273;
+   wire n_23274;
+   wire n_23275;
+   wire n_23276;
+   wire n_23277;
+   wire n_23279;
+   wire n_23280;
+   wire n_23282;
+   wire n_23283;
+   wire n_23285;
+   wire n_23286;
+   wire n_23287;
+   wire n_23288;
+   wire n_23289;
+   wire n_23290;
+   wire n_23291;
+   wire n_23299;
+   wire n_23300;
+   wire n_23302;
+   wire n_23303;
+   wire n_23304;
+   wire n_23305;
+   wire n_23306;
+   wire n_23307;
+   wire n_23308;
+   wire n_23310;
+   wire n_23311;
+   wire n_23312;
+   wire n_23313;
+   wire n_23314;
+   wire n_23315;
+   wire n_23316;
+   wire n_23317;
+   wire n_23319;
+   wire n_23320;
+   wire n_23322;
+   wire n_23323;
+   wire n_23324;
+   wire n_23325;
+   wire n_23326;
+   wire n_23327;
+   wire n_23328;
+   wire n_23329;
+   wire n_23330;
+   wire n_23331;
+   wire n_23332;
+   wire n_23333;
+   wire n_23334;
+   wire n_23335;
+   wire n_23336;
+   wire n_23337;
+   wire n_23338;
+   wire n_23339;
+   wire n_23340;
+   wire n_23341;
+   wire n_23342;
+   wire n_23343;
+   wire n_23345;
+   wire n_23346;
+   wire n_23348;
+   wire n_23349;
+   wire n_23350;
+   wire n_23352;
+   wire n_23353;
+   wire n_23354;
+   wire n_23355;
+   wire n_23356;
+   wire n_23357;
+   wire n_23358;
+   wire n_23359;
+   wire n_23360;
+   wire n_23361;
+   wire n_23362;
+   wire n_23363;
+   wire n_23364;
+   wire n_23365;
+   wire n_23366;
+   wire n_23367;
+   wire n_23368;
+   wire n_23369;
+   wire n_23370;
+   wire n_23372;
+   wire n_23373;
+   wire n_23374;
+   wire n_23375;
+   wire n_23377;
+   wire n_23378;
+   wire n_23379;
+   wire n_23380;
+   wire n_23381;
+   wire n_23382;
+   wire n_23383;
+   wire n_23384;
+   wire n_23385;
+   wire n_23387;
+   wire n_23388;
+   wire n_23389;
+   wire n_23390;
+   wire n_23393;
+   wire n_23394;
+   wire n_23395;
+   wire n_23398;
+   wire n_23399;
+   wire n_23400;
+   wire n_23401;
+   wire n_23402;
+   wire n_23403;
+   wire n_23404;
+   wire n_23407;
+   wire n_23408;
+   wire n_23410;
+   wire n_23411;
+   wire n_23412;
+   wire n_23413;
+   wire n_23414;
+   wire n_23415;
+   wire n_23416;
+   wire n_23417;
+   wire n_23418;
+   wire n_23419;
+   wire n_23420;
+   wire n_23421;
+   wire n_23422;
+   wire n_23423;
+   wire n_23425;
+   wire n_23426;
+   wire n_23427;
+   wire n_23428;
+   wire n_23429;
+   wire n_23430;
+   wire n_23431;
+   wire n_23433;
+   wire n_23434;
+   wire n_23435;
+   wire n_23437;
+   wire n_23438;
+   wire n_23439;
+   wire n_23440;
+   wire n_23441;
+   wire n_23442;
+   wire n_23443;
+   wire n_23445;
+   wire n_23446;
+   wire n_23448;
+   wire n_23449;
+   wire n_23450;
+   wire n_23451;
+   wire n_23453;
+   wire n_23454;
+   wire n_23457;
+   wire n_23458;
+   wire n_23460;
+   wire n_23461;
+   wire n_23463;
+   wire n_23464;
+   wire n_23466;
+   wire n_23468;
+   wire n_23470;
+   wire n_23473;
+   wire n_23475;
+   wire n_23476;
+   wire n_23477;
+   wire n_23478;
+   wire n_23479;
+   wire n_23480;
+   wire n_23482;
+   wire n_23484;
+   wire n_23485;
+   wire n_23486;
+   wire n_23489;
+   wire n_23490;
+   wire n_23494;
+   wire n_23496;
+   wire n_23497;
+   wire n_23501;
+   wire n_23502;
+   wire n_23504;
+   wire n_23505;
+   wire n_23507;
+   wire n_23511;
+   wire n_23513;
+   wire n_23514;
+   wire n_23517;
+   wire n_23518;
+   wire n_23519;
+   wire n_23520;
+   wire n_23521;
+   wire n_23522;
+   wire n_23523;
+   wire n_23525;
+   wire n_23527;
+   wire n_23528;
+   wire n_23531;
+   wire n_23533;
+   wire n_23536;
+   wire n_23538;
+   wire n_23541;
+   wire n_23542;
+   wire n_23543;
+   wire n_23549;
+   wire n_23552;
+   wire n_23553;
+   wire n_23556;
+   wire n_23557;
+   wire n_23558;
+   wire n_23559;
+   wire n_23561;
+   wire n_23565;
+   wire n_23566;
+   wire n_23567;
+   wire n_23568;
+   wire n_23569;
+   wire n_23570;
+   wire n_23572;
+   wire n_23574;
+   wire n_23579;
+   wire n_23580;
+   wire n_23581;
+   wire n_23585;
+   wire n_23586;
+   wire n_23587;
+   wire n_23589;
+   wire n_23591;
+   wire n_23592;
+   wire n_23594;
+   wire n_23595;
+   wire n_23596;
+   wire n_23598;
+   wire n_23599;
+   wire n_23601;
+   wire n_23602;
+   wire n_23605;
+   wire n_23606;
+   wire n_23608;
+   wire n_23609;
+   wire n_23612;
+   wire n_23614;
+   wire n_23615;
+   wire n_23617;
+   wire n_23620;
+   wire n_23621;
+   wire n_23623;
+   wire n_23624;
+   wire n_23626;
+   wire n_23627;
+   wire n_23628;
+   wire n_23629;
+   wire n_23630;
+   wire n_23631;
+   wire n_23632;
+   wire n_23635;
+   wire n_23636;
+   wire n_23637;
+   wire n_23638;
+   wire n_23640;
+   wire n_23641;
+   wire n_23643;
+   wire n_23661;
+   wire n_23676;
+   wire n_23687;
+   wire n_23690;
+   wire n_23701;
+   wire n_23718;
+   wire n_23719;
+   wire n_23730;
+   wire n_23737;
+   wire n_23741;
+   wire n_23756;
+   wire n_23757;
+   wire n_23763;
+   wire n_23764;
+   wire n_23767;
+   wire n_23802;
+   wire n_23803;
+   wire n_23804;
+   wire n_23805;
+   wire n_23807;
+   wire n_23810;
+   wire n_23813;
+   wire n_23815;
+   wire n_23816;
+   wire n_23817;
+   wire n_23819;
+   wire n_23821;
+   wire n_23824;
+   wire n_23825;
+   wire n_23826;
+   wire n_23828;
+   wire n_23832;
+   wire n_23833;
+   wire n_23834;
+   wire n_23835;
+   wire n_23837;
+   wire n_23838;
+   wire n_23843;
+   wire n_23844;
+   wire n_23845;
+   wire n_23850;
+   wire n_23851;
+   wire n_23855;
+   wire n_23856;
+   wire n_23857;
+   wire n_23858;
+   wire n_23859;
+   wire n_23860;
+   wire n_23863;
+   wire n_23864;
+   wire n_23866;
+   wire n_23867;
+   wire n_23868;
+   wire n_23869;
+   wire n_23871;
+   wire n_23875;
+   wire n_23876;
+   wire n_23878;
+   wire n_23879;
+   wire n_23881;
+   wire n_23882;
+   wire n_23883;
+   wire n_23885;
+   wire n_23887;
+   wire n_23888;
+   wire n_23889;
+   wire n_23890;
+   wire n_23891;
+   wire n_23892;
+   wire n_23893;
+   wire n_23894;
+   wire n_23896;
+   wire n_23897;
+   wire n_23899;
+   wire n_23900;
+   wire n_23901;
+   wire n_23903;
+   wire n_23904;
+   wire n_23905;
+   wire n_23906;
+   wire n_23907;
+   wire n_23908;
+   wire n_23910;
+   wire n_23912;
+   wire n_23913;
+   wire n_23914;
+   wire n_23916;
+   wire n_23917;
+   wire n_23918;
+   wire n_23921;
+   wire n_23923;
+   wire n_23924;
+   wire n_23926;
+   wire n_23927;
+   wire n_23928;
+   wire n_23929;
+   wire n_23932;
+   wire n_23933;
+   wire n_23934;
+   wire n_23935;
+   wire n_23936;
+   wire n_23937;
+   wire n_23938;
+   wire n_23939;
+   wire n_23940;
+   wire n_23941;
+   wire n_23942;
+   wire n_23943;
+   wire n_23944;
+   wire n_23945;
+   wire n_23946;
+   wire n_23947;
+   wire n_23949;
+   wire n_23951;
+   wire n_23953;
+   wire n_23954;
+   wire n_23955;
+   wire n_23956;
+   wire n_23957;
+   wire n_23958;
+   wire n_23959;
+   wire n_23961;
+   wire n_23962;
+   wire n_23963;
+   wire n_23964;
+   wire n_23965;
+   wire n_23966;
+   wire n_23967;
+   wire n_23996;
+   wire n_23997;
+   wire n_23998;
+   wire n_24001;
+   wire n_24004;
+   wire n_24005;
+   wire n_24006;
+   wire n_24007;
+   wire n_24011;
+   wire n_24012;
+   wire n_24015;
+   wire n_24016;
+   wire n_24017;
+   wire n_24018;
+   wire n_24021;
+   wire n_24022;
+   wire n_24023;
+   wire n_24025;
+   wire n_24026;
+   wire n_24027;
+   wire n_24028;
+   wire n_24029;
+   wire n_24030;
+   wire n_24031;
+   wire n_24032;
+   wire n_24033;
+   wire n_24034;
+   wire n_24035;
+   wire n_24036;
+   wire n_24037;
+   wire n_24038;
+   wire n_24039;
+   wire n_24040;
+   wire n_24041;
+   wire n_24042;
+   wire n_24043;
+   wire n_24044;
+   wire n_24045;
+   wire n_24046;
+   wire n_24047;
+   wire n_24048;
+   wire n_24049;
+   wire n_24050;
+   wire n_24051;
+   wire n_24052;
+   wire n_24053;
+   wire n_24054;
+   wire n_24055;
+   wire n_24056;
+   wire n_24057;
+   wire n_24058;
+   wire n_24059;
+   wire n_24060;
+   wire n_24061;
+   wire n_24062;
+   wire n_24063;
+   wire n_24064;
+   wire n_24065;
+   wire n_24066;
+   wire n_24067;
+   wire n_24068;
+   wire n_24069;
+   wire n_24070;
+   wire n_24071;
+   wire n_24072;
+   wire n_24073;
+   wire n_24074;
+   wire n_24075;
+   wire n_24076;
+   wire n_24077;
+   wire n_24078;
+   wire n_24079;
+   wire n_24080;
+   wire n_24081;
+   wire n_24082;
+   wire n_24083;
+   wire n_24084;
+   wire n_24085;
+   wire n_24086;
+   wire n_24087;
+   wire n_24088;
+   wire n_24089;
+   wire n_24090;
+   wire n_24091;
+   wire n_24092;
+   wire n_24093;
+   wire n_24094;
+   wire n_24095;
+   wire n_24096;
+   wire n_24097;
+   wire n_24098;
+   wire n_24099;
+   wire n_24100;
+   wire n_24101;
+   wire n_24102;
+   wire n_24103;
+   wire n_24104;
+   wire n_24105;
+   wire n_24106;
+   wire n_24107;
+   wire n_24108;
+   wire n_24109;
+   wire n_24110;
+   wire n_24111;
+   wire n_24112;
+   wire n_24113;
+   wire n_24114;
+   wire n_24115;
+   wire n_24116;
+   wire n_24117;
+   wire n_24118;
+   wire n_24119;
+   wire n_24120;
+   wire n_24121;
+   wire n_24122;
+   wire n_24123;
+   wire n_24124;
+   wire n_24125;
+   wire n_24126;
+   wire n_24127;
+   wire n_24128;
+   wire n_24129;
+   wire n_24130;
+   wire n_24131;
+   wire n_24136;
+   wire n_24137;
+   wire n_24138;
+   wire n_24139;
+   wire n_24140;
+   wire n_24141;
+   wire n_24143;
+   wire n_24144;
+   wire n_24145;
+   wire n_24146;
+   wire n_24147;
+   wire n_24149;
+   wire n_24153;
+   wire n_24156;
+   wire n_24157;
+   wire n_24158;
+   wire n_24160;
+   wire n_24161;
+   wire n_24162;
+   wire n_24163;
+   wire n_24164;
+   wire n_24165;
+   wire n_24167;
+   wire n_24168;
+   wire n_24169;
+   wire n_24170;
+   wire n_24171;
+   wire n_24173;
+   wire n_24174;
+   wire n_24175;
+   wire n_24176;
+   wire n_24177;
+   wire n_24178;
+   wire n_24180;
+   wire n_24181;
+   wire n_24182;
+   wire n_24184;
+   wire n_24185;
+   wire n_24186;
+   wire n_24188;
+   wire n_24189;
+   wire n_24190;
+   wire n_24191;
+   wire n_24192;
+   wire n_24193;
+   wire n_24194;
+   wire n_24195;
+   wire n_24197;
+   wire n_24198;
+   wire n_24199;
+   wire n_24200;
+   wire n_24201;
+   wire n_24202;
+   wire n_24203;
+   wire n_24206;
+   wire n_24208;
+   wire n_24209;
+   wire n_24210;
+   wire n_24211;
+   wire n_24214;
+   wire n_24215;
+   wire n_24220;
+   wire n_24221;
+   wire n_24223;
+   wire n_24225;
+   wire n_24227;
+   wire n_24228;
+   wire n_24230;
+   wire n_24231;
+   wire n_24233;
+   wire n_24234;
+   wire n_24235;
+   wire n_24236;
+   wire n_24237;
+   wire n_24238;
+   wire n_24240;
+   wire n_24241;
+   wire n_24242;
+   wire n_24243;
+   wire n_24244;
+   wire n_24246;
+   wire n_24250;
+   wire n_24252;
+   wire n_24254;
+   wire n_24255;
+   wire n_24257;
+   wire n_24259;
+   wire n_24260;
+   wire n_24262;
+   wire n_24263;
+   wire n_24266;
+   wire n_24269;
+   wire n_24272;
+   wire n_24275;
+   wire n_24278;
+   wire n_24279;
+   wire n_24281;
+   wire n_24282;
+   wire n_24283;
+   wire n_24284;
+   wire n_24285;
+   wire n_24287;
+   wire n_24288;
+   wire n_24289;
+   wire n_24290;
+   wire n_24293;
+   wire n_24294;
+   wire n_24295;
+   wire n_24296;
+   wire n_24297;
+   wire n_24299;
+   wire n_24304;
+   wire n_24305;
+   wire n_24308;
+   wire n_24309;
+   wire n_24311;
+   wire n_24317;
+   wire n_24321;
+   wire n_24323;
+   wire n_24326;
+   wire n_24330;
+   wire n_24331;
+   wire n_24332;
+   wire n_24337;
+   wire n_24338;
+   wire n_24341;
+   wire n_24343;
+   wire n_24344;
+   wire n_24346;
+   wire n_24347;
+   wire n_24348;
+   wire n_24349;
+   wire n_24350;
+   wire n_24351;
+   wire n_24352;
+   wire n_24353;
+   wire n_24357;
+   wire n_24358;
+   wire n_24360;
+   wire n_24361;
+   wire n_24363;
+   wire n_24365;
+   wire n_24366;
+   wire n_24368;
+   wire n_24370;
+   wire n_24371;
+   wire n_24374;
+   wire n_24375;
+   wire n_24377;
+   wire n_24378;
+   wire n_24379;
+   wire n_24381;
+   wire n_24385;
+   wire n_24386;
+   wire n_24390;
+   wire n_24391;
+   wire n_24395;
+   wire n_24396;
+   wire n_24397;
+   wire n_24398;
+   wire n_24399;
+   wire n_24400;
+   wire n_24402;
+   wire n_24407;
+   wire n_24408;
+   wire n_24409;
+   wire n_24411;
+   wire n_24412;
+   wire n_24413;
+   wire n_24414;
+   wire n_24415;
+   wire n_24483;
+   wire n_24484;
+   wire n_24485;
+   wire n_24486;
+   wire n_24487;
+   wire n_24488;
+   wire n_24491;
+   wire n_24492;
+   wire n_24495;
+   wire n_24498;
+   wire n_24499;
+   wire n_24501;
+   wire n_24503;
+   wire n_24504;
+   wire n_24505;
+   wire n_24506;
+   wire n_24507;
+   wire n_24508;
+   wire n_24509;
+   wire n_24510;
+   wire n_24511;
+   wire n_24512;
+   wire n_24513;
+   wire n_24514;
+   wire n_24515;
+   wire n_24516;
+   wire n_24517;
+   wire n_24518;
+   wire n_24519;
+   wire n_24520;
+   wire n_24521;
+   wire n_24522;
+   wire n_24523;
+   wire n_24524;
+   wire n_24525;
+   wire n_24526;
+   wire n_24528;
+   wire n_24529;
+   wire n_24531;
+   wire n_24532;
+   wire n_24535;
+   wire n_24536;
+   wire n_24537;
+   wire n_24539;
+   wire n_24540;
+   wire n_24541;
+   wire n_24543;
+   wire n_24544;
+   wire n_24547;
+   wire n_24548;
+   wire n_24549;
+   wire n_24550;
+   wire n_24551;
+   wire n_24552;
+   wire n_24553;
+   wire n_24554;
+   wire n_24555;
+   wire n_24556;
+   wire n_24557;
+   wire n_24558;
+   wire n_24559;
+   wire n_24560;
+   wire n_24561;
+   wire n_24562;
+   wire n_24563;
+   wire n_24565;
+   wire n_24566;
+   wire n_24567;
+   wire n_24568;
+   wire n_24569;
+   wire n_24570;
+   wire n_24571;
+   wire n_24572;
+   wire n_24573;
+   wire n_24574;
+   wire n_24575;
+   wire n_24576;
+   wire n_24577;
+   wire n_24578;
+   wire n_24579;
+   wire n_24581;
+   wire n_24582;
+   wire n_24583;
+   wire n_24584;
+   wire n_24585;
+   wire n_24586;
+   wire n_24587;
+   wire n_24588;
+   wire n_24590;
+   wire n_24591;
+   wire n_24592;
+   wire n_24593;
+   wire n_24594;
+   wire n_24595;
+   wire n_24596;
+   wire n_24597;
+   wire n_24598;
+   wire n_24599;
+   wire n_24600;
+   wire n_24601;
+   wire n_24602;
+   wire n_24603;
+   wire n_24604;
+   wire n_24605;
+   wire n_24606;
+   wire n_24607;
+   wire n_24608;
+   wire n_24609;
+   wire n_24610;
+   wire n_24611;
+   wire n_24612;
+   wire n_24613;
+   wire n_24614;
+   wire n_24615;
+   wire n_24616;
+   wire n_24617;
+   wire n_24619;
+   wire n_24620;
+   wire n_24622;
+   wire n_24623;
+   wire n_24624;
+   wire n_24625;
+   wire n_24626;
+   wire n_24627;
+   wire n_24628;
+   wire n_24629;
+   wire n_24630;
+   wire n_24631;
+   wire n_24632;
+   wire n_24633;
+   wire n_24634;
+   wire n_24635;
+   wire n_24636;
+   wire n_24637;
+   wire n_24638;
+   wire n_24639;
+   wire n_24640;
+   wire n_24641;
+   wire n_24642;
+   wire n_24643;
+   wire n_24645;
+   wire n_24646;
+   wire n_24647;
+   wire n_24648;
+   wire n_24649;
+   wire n_24652;
+   wire n_24653;
+   wire n_24654;
+   wire n_24656;
+   wire n_24659;
+   wire n_24660;
+   wire n_24661;
+   wire n_24662;
+   wire n_24663;
+   wire n_24664;
+   wire n_24665;
+   wire n_24666;
+   wire n_24667;
+   wire n_24670;
+   wire n_24672;
+   wire n_24673;
+   wire n_24674;
+   wire n_24675;
+   wire n_24676;
+   wire n_24681;
+   wire n_24682;
+   wire n_24683;
+   wire n_24684;
+   wire n_24686;
+   wire n_24687;
+   wire n_24688;
+   wire n_24691;
+   wire n_24692;
+   wire n_24693;
+   wire n_24694;
+   wire n_24698;
+   wire n_24699;
+   wire n_24701;
+   wire n_24702;
+   wire n_24703;
+   wire n_24704;
+   wire n_24705;
+   wire n_24706;
+   wire n_24707;
+   wire n_24709;
+   wire n_24711;
+   wire n_24712;
+   wire n_24713;
+   wire n_24714;
+   wire n_24715;
+   wire n_24716;
+   wire n_24717;
+   wire n_24718;
+   wire n_24719;
+   wire n_24720;
+   wire n_24721;
+   wire n_24722;
+   wire n_24724;
+   wire n_24725;
+   wire n_24726;
+   wire n_24727;
+   wire n_24729;
+   wire n_24730;
+   wire n_24731;
+   wire n_24732;
+   wire n_24735;
+   wire n_24737;
+   wire n_24738;
+   wire n_24739;
+   wire n_24741;
+   wire n_24742;
+   wire n_24743;
+   wire n_24744;
+   wire n_24745;
+   wire n_24746;
+   wire n_24747;
+   wire n_24748;
+   wire n_24749;
+   wire n_24750;
+   wire n_24751;
+   wire n_24752;
+   wire n_24753;
+   wire n_24754;
+   wire n_24755;
+   wire n_24756;
+   wire n_24757;
+   wire n_24758;
+   wire n_24759;
+   wire n_24760;
+   wire n_24761;
+   wire n_24762;
+   wire n_24763;
+   wire n_24764;
+   wire n_24765;
+   wire n_24766;
+   wire n_24767;
+   wire n_24768;
+   wire n_24769;
+   wire n_24770;
+   wire n_24771;
+   wire n_24772;
+   wire n_24773;
+   wire n_24774;
+   wire n_24775;
+   wire n_24776;
+   wire n_24777;
+   wire n_24778;
+   wire n_24779;
+   wire n_24780;
+   wire n_24781;
+   wire n_24782;
+   wire n_24783;
+   wire n_24784;
+   wire n_24785;
+   wire n_24786;
+   wire n_24787;
+   wire n_24788;
+   wire n_24789;
+   wire n_24790;
+   wire n_24791;
+   wire n_24792;
+   wire n_24793;
+   wire n_24794;
+   wire n_24795;
+   wire n_24796;
+   wire n_24797;
+   wire n_24798;
+   wire n_24799;
+   wire n_24800;
+   wire n_24802;
+   wire n_24803;
+   wire n_24804;
+   wire n_24805;
+   wire n_24806;
+   wire n_24807;
+   wire n_24808;
+   wire n_24809;
+   wire n_24810;
+   wire n_24811;
+   wire n_24812;
+   wire n_24813;
+   wire n_24814;
+   wire n_24815;
+   wire n_24816;
+   wire n_24817;
+   wire n_24818;
+   wire n_24819;
+   wire n_24820;
+   wire n_24821;
+   wire n_24822;
+   wire n_24823;
+   wire n_24824;
+   wire n_24825;
+   wire n_24826;
+   wire n_24827;
+   wire n_24828;
+   wire n_24829;
+   wire n_24830;
+   wire n_24831;
+   wire n_24832;
+   wire n_24833;
+   wire n_24834;
+   wire n_24835;
+   wire n_24836;
+   wire n_24837;
+   wire n_24838;
+   wire n_24839;
+   wire n_24840;
+   wire n_24841;
+   wire n_24842;
+   wire n_24845;
+   wire n_24846;
+   wire n_24847;
+   wire n_24848;
+   wire n_24849;
+   wire n_24850;
+   wire n_24851;
+   wire n_24852;
+   wire n_24855;
+   wire n_24856;
+   wire n_24876;
+   wire n_24877;
+   wire n_24879;
+   wire n_24882;
+   wire n_24884;
+   wire n_24886;
+   wire n_24887;
+   wire n_24889;
+   wire n_24891;
+   wire n_24892;
+   wire n_24893;
+   wire n_24898;
+   wire n_24899;
+   wire n_24900;
+   wire n_24902;
+   wire n_24903;
+   wire n_24904;
+   wire n_24905;
+   wire n_24906;
+   wire n_24907;
+   wire n_24908;
+   wire n_24909;
+   wire n_24911;
+   wire n_24912;
+   wire n_24913;
+   wire n_24914;
+   wire n_24916;
+   wire n_24917;
+   wire n_24918;
+   wire n_24919;
+   wire n_24920;
+   wire n_24921;
+   wire n_24923;
+   wire n_24924;
+   wire n_24925;
+   wire n_24926;
+   wire n_24927;
+   wire n_24930;
+   wire n_24932;
+   wire n_24933;
+   wire n_24934;
+   wire n_24935;
+   wire n_24937;
+   wire n_24938;
+   wire n_24939;
+   wire n_24941;
+   wire n_24942;
+   wire n_24944;
+   wire n_24945;
+   wire n_24949;
+   wire n_24951;
+   wire n_24952;
+   wire n_24954;
+   wire n_24955;
+   wire n_24956;
+   wire n_24957;
+   wire n_24958;
+   wire n_24959;
+   wire n_24960;
+   wire n_24961;
+   wire n_24962;
+   wire n_24963;
+   wire n_24964;
+   wire n_24965;
+   wire n_24966;
+   wire n_24967;
+   wire n_24968;
+   wire n_24969;
+   wire n_24971;
+   wire n_24972;
+   wire n_24973;
+   wire n_24974;
+   wire n_24977;
+   wire n_24978;
+   wire n_24982;
+   wire n_24983;
+   wire n_24987;
+   wire n_24988;
+   wire n_24989;
+   wire n_24990;
+   wire n_24991;
+   wire n_24992;
+   wire n_24993;
+   wire n_24994;
+   wire n_24997;
+   wire n_24998;
+   wire n_24999;
+   wire n_25000;
+   wire n_25001;
+   wire n_25002;
+   wire n_25004;
+   wire n_25005;
+   wire n_25006;
+   wire n_25007;
+   wire n_25008;
+   wire n_25010;
+   wire n_25012;
+   wire n_25014;
+   wire n_25015;
+   wire n_25016;
+   wire n_25017;
+   wire n_25018;
+   wire n_25019;
+   wire n_25020;
+   wire n_25021;
+   wire n_25023;
+   wire n_25024;
+   wire n_25025;
+   wire n_25027;
+   wire n_25028;
+   wire n_25030;
+   wire n_25031;
+   wire n_25033;
+   wire n_25034;
+   wire n_25035;
+   wire n_25037;
+   wire n_25039;
+   wire n_25041;
+   wire n_25042;
+   wire n_25043;
+   wire n_25044;
+   wire n_25045;
+   wire n_25046;
+   wire n_25047;
+   wire n_25048;
+   wire n_25049;
+   wire n_25050;
+   wire n_25051;
+   wire n_25052;
+   wire n_25053;
+   wire n_25054;
+   wire n_25055;
+   wire n_25059;
+   wire n_25060;
+   wire n_25064;
+   wire n_25065;
+   wire n_25067;
+   wire n_25068;
+   wire n_25069;
+   wire n_25070;
+   wire n_25071;
+   wire n_25072;
+   wire n_25073;
+   wire n_25074;
+   wire n_25075;
+   wire n_25076;
+   wire n_25077;
+   wire n_25078;
+   wire n_25079;
+   wire n_25080;
+   wire n_25081;
+   wire n_25082;
+   wire n_25083;
+   wire n_25084;
+   wire n_25085;
+   wire n_25086;
+   wire n_25087;
+   wire n_25088;
+   wire n_25089;
+   wire n_25090;
+   wire n_25092;
+   wire n_25095;
+   wire n_25096;
+   wire n_25098;
+   wire n_25099;
+   wire n_25100;
+   wire n_25101;
+   wire n_25104;
+   wire n_25105;
+   wire n_25106;
+   wire n_25107;
+   wire n_25109;
+   wire n_25110;
+   wire n_25111;
+   wire n_25112;
+   wire n_25115;
+   wire n_25117;
+   wire n_25119;
+   wire n_25120;
+   wire n_25121;
+   wire n_25122;
+   wire n_25130;
+   wire n_25132;
+   wire n_25133;
+   wire n_25134;
+   wire n_25138;
+   wire n_25139;
+   wire n_25141;
+   wire n_25142;
+   wire n_25143;
+   wire n_25144;
+   wire n_25149;
+   wire n_25150;
+   wire n_25151;
+   wire n_25153;
+   wire n_25154;
+   wire n_25155;
+   wire n_25156;
+   wire n_25157;
+   wire n_25158;
+   wire n_25159;
+   wire n_25160;
+   wire n_25161;
+   wire n_25162;
+   wire n_25163;
+   wire n_25164;
+   wire n_25165;
+   wire n_25166;
+   wire n_25168;
+   wire n_25169;
+   wire n_25170;
+   wire n_25171;
+   wire n_25172;
+   wire n_25173;
+   wire n_25174;
+   wire n_25176;
+   wire n_25177;
+   wire n_25186;
+   wire n_25194;
+   wire n_25196;
+   wire n_25197;
+   wire n_25201;
+   wire n_25204;
+   wire n_25213;
+   wire n_25214;
+   wire n_25215;
+   wire n_25217;
+   wire n_25218;
+   wire n_25219;
+   wire n_25220;
+   wire n_25221;
+   wire n_25224;
+   wire n_25225;
+   wire n_25226;
+   wire n_25227;
+   wire n_25228;
+   wire n_25230;
+   wire n_25234;
+   wire n_25236;
+   wire n_25239;
+   wire n_25240;
+   wire n_25241;
+   wire n_25243;
+   wire n_25249;
+   wire n_25252;
+   wire n_25254;
+   wire n_25262;
+   wire n_25286;
+   wire n_25291;
+   wire n_25302;
+   wire n_25303;
+   wire n_25308;
+   wire n_25309;
+   wire n_25312;
+   wire n_25317;
+   wire n_25318;
+   wire n_25327;
+   wire n_25328;
+   wire n_25329;
+   wire n_25330;
+   wire n_25331;
+   wire n_25332;
+   wire n_25334;
+   wire n_25335;
+   wire n_25341;
+   wire n_25342;
+   wire n_25352;
+   wire n_25353;
+   wire n_25356;
+   wire n_25358;
+   wire n_25360;
+   wire n_25369;
+   wire n_25375;
+   wire n_25376;
+   wire n_25379;
+   wire n_25380;
+   wire n_25381;
+   wire n_25382;
+   wire n_25383;
+   wire n_25384;
+   wire n_25385;
+   wire n_25386;
+   wire n_25387;
+   wire n_25388;
+   wire n_25389;
+   wire n_25390;
+   wire n_25391;
+   wire n_25392;
+   wire n_25393;
+   wire n_25394;
+   wire n_25395;
+   wire n_25396;
+   wire n_25397;
+   wire n_25401;
+   wire n_25402;
+   wire n_25404;
+   wire n_25405;
+   wire n_25413;
+   wire n_25416;
+   wire n_25417;
+   wire n_25418;
+   wire n_25419;
+   wire n_25420;
+   wire n_25421;
+   wire n_25422;
+   wire n_25423;
+   wire n_25424;
+   wire n_25425;
+   wire n_25426;
+   wire n_25427;
+   wire n_25428;
+   wire n_25429;
+   wire n_25430;
+   wire n_25431;
+   wire n_25432;
+   wire n_25433;
+   wire n_25435;
+   wire n_25436;
+   wire n_25437;
+   wire n_25438;
+   wire n_25440;
+   wire n_25441;
+   wire n_25443;
+   wire n_25444;
+   wire n_25445;
+   wire n_25446;
+   wire n_25447;
+   wire n_25448;
+   wire n_25451;
+   wire n_25452;
+   wire n_25453;
+   wire n_25454;
+   wire n_25455;
+   wire n_25456;
+   wire n_25457;
+   wire n_25458;
+   wire n_25460;
+   wire n_25461;
+   wire n_25463;
+   wire n_25464;
+   wire n_25466;
+   wire n_25467;
+   wire n_25468;
+   wire n_25473;
+   wire n_25474;
+   wire n_25475;
+   wire n_25476;
+   wire n_25477;
+   wire n_25479;
+   wire n_25481;
+   wire n_25483;
+   wire n_25484;
+   wire n_25485;
+   wire n_25486;
+   wire n_25487;
+   wire n_25488;
+   wire n_25489;
+   wire n_25490;
+   wire n_25491;
+   wire n_25492;
+   wire n_25493;
+   wire n_25494;
+   wire n_25503;
+   wire n_25507;
+   wire n_25508;
+   wire n_25509;
+   wire n_25510;
+   wire n_25511;
+   wire n_25512;
+   wire n_25513;
+   wire n_25514;
+   wire n_25525;
+   wire n_25526;
+   wire n_25528;
+   wire n_25531;
+   wire n_25532;
+   wire n_25533;
+   wire n_25534;
+   wire n_25535;
+   wire n_25536;
+   wire n_25537;
+   wire n_25538;
+   wire n_25539;
+   wire n_25540;
+   wire n_25541;
+   wire n_25542;
+   wire n_25543;
+   wire n_25544;
+   wire n_25547;
+   wire n_25548;
+   wire n_25549;
+   wire n_25553;
+   wire n_25554;
+   wire n_25555;
+   wire n_25556;
+   wire n_25557;
+   wire n_25559;
+   wire n_25560;
+   wire n_25561;
+   wire n_25562;
+   wire n_25563;
+   wire n_25564;
+   wire n_25565;
+   wire n_25567;
+   wire n_25568;
+   wire n_25570;
+   wire n_25571;
+   wire n_25572;
+   wire n_25573;
+   wire n_25574;
+   wire n_25575;
+   wire n_25576;
+   wire n_25577;
+   wire n_25579;
+   wire n_25580;
+   wire n_25581;
+   wire n_25582;
+   wire n_25583;
+   wire n_25585;
+   wire n_25586;
+   wire n_25587;
+   wire n_25589;
+   wire n_25590;
+   wire n_25592;
+   wire n_25593;
+   wire n_25594;
+   wire n_25595;
+   wire n_25596;
+   wire n_25597;
+   wire n_25598;
+   wire n_25601;
+   wire n_25602;
+   wire n_25603;
+   wire n_25604;
+   wire n_25605;
+   wire n_25607;
+   wire n_25608;
+   wire n_25609;
+   wire n_25610;
+   wire n_25611;
+   wire n_25612;
+   wire n_25614;
+   wire n_25615;
+   wire n_25617;
+   wire n_25618;
+   wire n_25620;
+   wire n_25621;
+   wire n_25622;
+   wire n_25623;
+   wire n_25624;
+   wire n_25625;
+   wire n_25626;
+   wire n_25627;
+   wire n_25628;
+   wire n_25629;
+   wire n_25630;
+   wire n_25631;
+   wire n_25632;
+   wire n_25633;
+   wire n_25634;
+   wire n_25635;
+   wire n_25636;
+   wire n_25637;
+   wire n_25638;
+   wire n_25639;
+   wire n_25640;
+   wire n_25641;
+   wire n_25642;
+   wire n_25643;
+   wire n_25644;
+   wire n_25645;
+   wire n_25646;
+   wire n_25647;
+   wire n_25648;
+   wire n_25649;
+   wire n_25650;
+   wire n_25651;
+   wire n_25652;
+   wire n_25653;
+   wire n_25655;
+   wire n_25662;
+   wire n_25670;
+   wire n_25673;
+   wire n_25674;
+   wire n_25676;
+   wire n_25680;
+   wire n_25687;
+   wire n_25688;
+   wire n_25689;
+   wire n_25690;
+   wire n_25691;
+   wire n_25694;
+   wire n_25696;
+   wire n_25697;
+   wire n_25699;
+   wire n_25700;
+   wire n_25701;
+   wire n_25703;
+   wire n_25709;
+   wire n_25710;
+   wire n_25711;
+   wire n_25712;
+   wire n_25713;
+   wire n_25714;
+   wire n_25719;
+   wire n_25726;
+   wire n_25727;
+   wire n_25728;
+   wire n_25731;
+   wire n_25732;
+   wire n_25733;
+   wire n_25734;
+   wire n_25735;
+   wire n_25736;
+   wire n_25737;
+   wire n_25738;
+   wire n_25739;
+   wire n_25740;
+   wire n_25746;
+   wire n_25747;
+   wire n_25749;
+   wire n_25751;
+   wire n_25753;
+   wire n_25754;
+   wire n_25755;
+   wire n_25756;
+   wire n_25757;
+   wire n_25758;
+   wire n_25759;
+   wire n_25760;
+   wire n_25761;
+   wire n_25762;
+   wire n_25763;
+   wire n_25764;
+   wire n_25765;
+   wire n_25766;
+   wire n_25769;
+   wire n_25774;
+   wire n_25775;
+   wire n_25780;
+   wire n_25781;
+   wire n_25782;
+   wire n_25783;
+   wire n_25784;
+   wire n_25786;
+   wire n_25787;
+   wire n_25788;
+   wire n_25789;
+   wire n_25790;
+   wire n_25792;
+   wire n_25794;
+   wire n_25801;
+   wire n_25802;
+   wire n_25806;
+   wire n_25807;
+   wire n_25808;
+   wire n_25809;
+   wire n_25811;
+   wire n_25813;
+   wire n_25817;
+   wire n_25818;
+   wire n_25819;
+   wire n_25820;
+   wire n_25821;
+   wire n_25822;
+   wire n_25823;
+   wire n_25825;
+   wire n_25826;
+   wire n_25828;
+   wire n_25829;
+   wire n_25831;
+   wire n_25832;
+   wire n_25833;
+   wire n_25834;
+   wire n_25835;
+   wire n_25841;
+   wire n_25849;
+   wire n_25850;
+   wire n_25851;
+   wire n_25852;
+   wire n_25853;
+   wire n_25854;
+   wire n_25855;
+   wire n_25856;
+   wire n_25857;
+   wire n_25858;
+   wire n_25859;
+   wire n_25860;
+   wire n_25861;
+   wire n_25862;
+   wire n_25865;
+   wire n_25866;
+   wire n_25867;
+   wire n_25870;
+   wire n_25875;
+   wire n_25877;
+   wire n_25886;
+   wire n_25888;
+   wire n_25890;
+   wire n_25901;
+   wire n_25905;
+   wire n_25908;
+   wire n_25910;
+   wire n_25911;
+   wire n_25912;
+   wire n_25919;
+   wire n_25920;
+   wire n_25921;
+   wire n_25924;
+   wire n_25925;
+   wire n_25930;
+   wire n_25935;
+   wire n_25936;
+   wire n_25955;
+   wire n_25959;
+   wire n_25964;
+   wire n_25965;
+   wire n_25966;
+   wire n_25969;
+   wire n_25972;
+   wire n_25979;
+   wire n_25981;
+   wire n_25982;
+   wire n_25983;
+   wire n_25987;
+   wire n_25989;
+   wire n_25992;
+   wire n_25994;
+   wire n_25995;
+   wire n_25998;
+   wire n_26000;
+   wire n_26001;
+   wire n_26002;
+   wire n_26003;
+   wire n_26009;
+   wire n_26015;
+   wire n_26016;
+   wire n_26018;
+   wire n_26020;
+   wire n_26021;
+   wire n_26028;
+   wire n_26040;
+   wire n_26042;
+   wire n_26044;
+   wire n_26046;
+   wire n_26058;
+   wire n_26068;
+   wire n_26075;
+   wire n_26076;
+   wire n_26103;
+   wire n_26109;
+   wire n_26112;
+   wire n_26117;
+   wire n_26127;
+   wire n_26130;
+   wire n_26145;
+   wire n_26166;
+   wire n_26167;
+   wire n_26200;
+   wire n_26207;
+   wire n_26213;
+   wire n_26214;
+   wire n_26217;
+   wire n_26219;
+   wire n_26228;
+   wire n_26282;
+   wire n_26285;
+   wire n_26314;
+   wire n_26316;
+   wire n_26377;
+   wire n_26390;
+   wire n_26400;
+   wire n_26401;
+   wire n_26403;
+   wire n_26405;
+   wire n_26406;
+   wire n_26407;
+   wire n_26408;
+   wire n_26413;
+   wire n_26420;
+   wire n_26435;
+   wire n_26436;
+   wire n_26437;
+   wire n_26438;
+   wire n_26493;
+   wire n_26500;
+   wire n_26501;
+   wire n_26508;
+   wire n_26536;
+   wire n_26537;
+   wire n_26538;
+   wire n_26564;
+   wire n_26571;
+   wire n_26580;
+   wire n_26590;
+   wire n_26592;
+   wire n_26597;
+   wire n_26598;
+   wire n_26602;
+   wire n_26603;
+   wire n_26604;
+   wire n_26620;
+   wire n_26630;
+   wire n_26633;
+   wire n_26638;
+   wire n_26639;
+   wire n_26653;
+   wire n_26656;
+   wire n_26658;
+   wire n_26661;
+   wire n_26669;
+   wire n_26684;
+   wire n_26688;
+   wire n_26698;
+   wire n_26701;
+   wire n_26703;
+   wire n_26704;
+   wire n_26705;
+   wire n_26706;
+   wire n_26707;
+   wire n_26708;
+   wire n_26710;
+   wire n_26711;
+   wire n_26712;
+   wire n_26713;
+   wire n_26716;
+   wire n_26718;
+   wire n_26724;
+   wire n_26731;
+   wire n_26747;
+   wire n_26751;
+   wire n_26752;
+   wire n_26754;
+   wire n_26755;
+   wire n_26761;
+   wire n_26763;
+   wire n_26765;
+   wire n_26777;
+   wire n_26788;
+   wire n_26792;
+   wire n_26800;
+   wire n_26805;
+   wire n_26806;
+   wire n_26902;
+   wire n_26912;
+   wire n_26914;
+   wire n_26916;
+   wire n_26917;
+   wire n_26919;
+   wire n_26920;
+   wire n_26921;
+   wire n_26922;
+   wire n_26923;
+   wire n_26926;
+   wire n_26940;
+   wire n_26943;
+   wire n_26944;
+   wire n_26949;
+   wire n_26950;
+   wire n_26951;
+   wire n_26954;
+   wire n_26955;
+   wire n_26957;
+   wire n_26959;
+   wire n_26961;
+   wire n_26964;
+   wire n_26979;
+   wire n_26981;
+   wire n_26983;
+   wire n_26991;
+   wire n_27010;
+   wire n_27018;
+   wire n_27023;
+   wire n_27025;
+   wire n_27030;
+   wire n_27034;
+   wire n_27038;
+   wire n_27055;
+   wire n_27058;
+   wire n_27073;
+   wire n_27078;
+   wire n_27080;
+   wire n_27084;
+   wire n_27087;
+   wire n_27107;
+   wire n_27108;
+   wire n_27116;
+   wire n_27130;
+   wire n_27133;
+   wire n_27140;
+   wire n_27142;
+   wire n_27144;
+   wire n_27158;
+   wire n_27166;
+   wire n_27178;
+   wire n_27183;
+   wire n_27191;
+   wire n_27192;
+   wire n_27202;
+   wire n_27204;
+   wire n_27207;
+   wire n_27217;
+   wire n_27229;
+   wire n_27234;
+   wire n_27248;
+   wire n_27250;
+   wire n_27284;
+   wire n_27288;
+   wire n_27294;
+   wire n_27301;
+   wire n_27303;
+   wire n_27305;
+   wire n_27339;
+   wire n_27341;
+   wire n_27343;
+   wire n_27347;
+   wire n_27350;
+   wire n_27352;
+   wire n_27357;
+   wire n_27359;
+   wire n_27408;
+   wire n_27437;
+   wire n_27498;
+   wire n_27510;
+   wire n_27511;
+   wire n_27512;
+   wire n_27516;
+   wire n_27548;
+   wire n_27590;
+   wire n_27591;
+   wire n_27614;
+   wire n_27616;
+   wire n_27628;
+   wire n_27630;
+   wire n_27635;
+   wire n_27641;
+   wire n_27649;
+   wire n_27650;
+   wire n_27655;
+   wire n_27656;
+   wire n_27667;
+   wire n_27674;
+   wire n_27677;
+   wire n_27716;
+   wire n_27717;
+   wire n_27724;
+   wire n_27725;
+   wire n_27739;
+   wire n_27740;
+   wire n_27744;
+   wire n_27748;
+   wire n_27752;
+   wire n_27759;
+   wire n_27761;
+   wire n_27799;
+   wire n_27802;
+   wire n_27803;
+   wire n_27812;
+   wire n_27813;
+   wire n_27818;
+   wire n_27821;
+   wire n_27826;
+   wire n_27831;
+   wire n_27832;
+   wire n_27834;
+   wire n_27835;
+   wire n_27838;
+   wire n_27840;
+   wire n_27841;
+   wire n_27842;
+   wire n_27843;
+   wire n_27845;
+   wire n_27847;
+   wire n_27849;
+   wire n_27850;
+   wire n_27853;
+   wire n_27857;
+   wire n_27861;
+   wire n_27865;
+   wire n_27871;
+   wire n_27875;
+   wire n_27878;
+   wire n_27880;
+   wire n_27881;
+   wire n_27885;
+   wire n_27886;
+   wire n_27893;
+   wire n_27902;
+   wire n_27906;
+   wire n_27907;
+   wire n_27908;
+   wire n_27910;
+   wire n_27912;
+   wire n_27915;
+   wire n_27916;
+   wire n_27919;
+   wire n_27920;
+   wire n_27922;
+   wire n_27923;
+   wire n_27926;
+   wire n_27930;
+   wire n_27931;
+   wire n_27937;
+   wire n_27956;
+   wire n_27971;
+   wire n_27977;
+   wire n_27978;
+   wire n_27979;
+   wire n_27980;
+   wire n_27982;
+   wire n_27983;
+   wire n_27984;
+   wire n_28015;
+   wire n_28016;
+   wire n_28024;
+   wire n_28025;
+   wire n_28028;
+   wire n_28033;
+   wire n_28038;
+   wire n_28051;
+   wire n_28056;
+   wire n_28062;
+   wire n_28085;
+   wire n_28089;
+   wire n_28092;
+   wire n_28093;
+   wire n_28100;
+   wire n_28103;
+   wire n_28104;
+   wire n_28105;
+   wire n_28109;
+   wire n_28119;
+   wire n_28129;
+   wire n_28135;
+   wire n_28136;
+   wire n_28139;
+   wire n_28140;
+   wire n_28142;
+   wire n_28143;
+   wire n_28155;
+   wire n_28181;
+   wire n_28182;
+   wire n_28183;
+   wire n_28185;
+   wire n_28189;
+   wire n_28192;
+   wire n_28220;
+   wire n_28224;
+   wire n_28229;
+   wire n_28230;
+   wire n_28231;
+   wire n_28233;
+   wire n_28257;
+   wire n_28266;
+   wire n_28267;
+   wire n_28269;
+   wire n_28271;
+   wire n_28274;
+   wire n_28275;
+   wire n_28282;
+   wire n_28285;
+   wire n_28307;
+   wire n_28312;
+   wire n_28317;
+   wire n_28318;
+   wire n_28323;
+   wire n_28327;
+   wire n_28343;
+   wire n_28353;
+   wire n_28360;
+   wire n_28364;
+   wire n_28367;
+   wire n_28368;
+   wire n_28369;
+   wire n_28370;
+   wire n_28373;
+   wire n_28377;
+   wire n_28383;
+   wire n_28385;
+   wire n_28387;
+   wire n_28405;
+   wire n_28417;
+   wire n_28422;
+   wire n_28443;
+   wire n_28448;
+   wire n_28450;
+   wire n_28467;
+   wire n_28469;
+   wire n_28471;
+   wire n_28478;
+   wire n_28480;
+   wire n_28481;
+   wire n_28490;
+   wire n_28491;
+   wire n_28492;
+   wire n_28494;
+   wire n_28495;
+   wire n_28500;
+   wire n_28507;
+   wire n_28516;
+   wire n_28519;
+   wire n_28522;
+   wire n_28532;
+   wire n_28537;
+   wire n_28545;
+   wire n_28555;
+   wire n_28556;
+   wire n_28560;
+   wire n_28562;
+   wire n_28564;
+   wire n_28566;
+   wire n_28568;
+   wire n_28576;
+   wire n_28580;
+   wire n_28582;
+   wire n_28584;
+   wire n_28595;
+   wire n_28596;
+   wire n_28605;
+   wire n_28607;
+   wire n_28613;
+   wire n_28615;
+   wire n_28616;
+   wire n_28617;
+   wire n_28618;
+   wire n_28619;
+   wire n_28634;
+   wire n_28636;
+   wire n_28638;
+   wire n_28646;
+   wire n_28652;
+   wire n_28656;
+   wire n_28657;
+   wire n_28659;
+   wire n_28661;
+   wire n_28663;
+   wire n_28665;
+   wire n_28666;
+   wire n_28668;
+   wire n_28669;
+   wire n_28670;
+   wire n_28671;
+   wire n_28672;
+   wire n_28673;
+   wire n_28674;
+   wire n_28675;
+   wire n_28676;
+   wire n_28677;
+   wire n_28679;
+   wire n_28680;
+   wire n_28681;
+   wire n_28682;
+   wire n_28683;
+   wire n_28684;
+   wire n_28685;
+   wire n_28687;
+   wire n_28688;
+   wire n_28689;
+   wire n_28692;
+   wire n_28693;
+   wire n_28694;
+   wire n_28696;
+   wire n_28698;
+   wire n_28699;
+   wire n_28702;
+   wire n_28703;
+   wire n_28704;
+   wire n_28707;
+   wire n_28708;
+   wire n_28710;
+   wire n_28711;
+   wire n_28712;
+   wire n_28713;
+   wire n_28714;
+   wire n_28715;
+   wire n_28716;
+   wire n_28717;
+   wire n_28718;
+   wire n_28719;
+   wire n_28720;
+   wire n_28721;
+   wire n_28722;
+   wire n_28723;
+   wire n_28724;
+   wire n_28725;
+   wire n_28726;
+   wire n_28728;
+   wire n_28729;
+   wire n_28730;
+   wire n_28731;
+   wire n_28732;
+   wire n_28733;
+   wire n_28734;
+   wire n_28736;
+   wire n_28737;
+   wire n_28738;
+   wire n_28739;
+   wire n_28740;
+   wire n_28741;
+   wire n_28742;
+   wire n_28743;
+   wire n_28744;
+   wire n_28746;
+   wire n_28747;
+   wire n_28748;
+   wire n_28749;
+   wire n_28750;
+   wire n_28751;
+   wire n_28752;
+   wire n_28753;
+   wire n_28754;
+   wire n_28755;
+   wire n_28756;
+   wire n_28757;
+   wire n_28758;
+   wire n_28759;
+   wire n_28760;
+   wire n_28761;
+   wire n_28762;
+   wire n_28764;
+   wire n_28765;
+   wire n_28768;
+   wire n_28769;
+   wire n_28770;
+   wire n_28771;
+   wire n_28775;
+   wire n_28776;
+   wire n_28781;
+   wire n_28785;
+   wire n_28788;
+   wire n_28795;
+   wire n_28796;
+   wire n_28798;
+   wire n_28801;
+   wire n_28802;
+   wire n_28803;
+   wire n_28804;
+   wire n_28806;
+   wire n_28808;
+   wire n_28809;
+   wire n_28810;
+   wire n_28811;
+   wire n_28813;
+   wire n_28814;
+   wire n_28816;
+   wire n_28817;
+   wire n_28818;
+   wire n_28819;
+   wire n_28820;
+   wire n_28821;
+   wire n_28823;
+   wire n_28826;
+   wire n_28827;
+   wire n_28828;
+   wire n_28829;
+   wire n_28830;
+   wire n_28831;
+   wire n_28834;
+   wire n_28835;
+   wire n_28836;
+   wire n_28838;
+   wire n_28839;
+   wire n_28840;
+   wire n_28841;
+   wire n_28842;
+   wire n_28843;
+   wire n_28844;
+   wire n_28845;
+   wire n_28846;
+   wire n_28848;
+   wire n_28849;
+   wire n_28850;
+   wire n_28851;
+   wire n_28852;
+   wire n_28853;
+   wire n_28854;
+   wire n_28855;
+   wire n_28856;
+   wire n_28857;
+   wire n_28858;
+   wire n_28859;
+   wire n_28865;
+   wire n_28866;
+   wire n_28868;
+   wire n_28869;
+   wire n_28871;
+   wire n_28885;
+   wire n_28887;
+   wire n_28888;
+   wire n_28895;
+   wire n_28905;
+   wire n_29012;
+   wire n_29013;
+   wire n_29015;
+   wire n_29016;
+   wire n_29033;
+   wire n_29042;
+   wire n_29188;
+   wire n_29234;
+   wire n_29254;
+   wire n_29261;
+   wire n_29276;
+   wire n_29283;
+   wire n_29284;
+   wire n_29290;
+   wire n_29299;
+   wire n_29300;
+   wire n_29301;
+   wire n_29302;
+   wire n_29303;
+   wire n_29305;
+   wire n_29307;
+   wire n_29308;
+   wire n_29309;
+   wire n_29310;
+   wire n_29314;
+   wire n_29318;
+   wire n_29319;
+   wire n_29320;
+   wire n_29321;
+   wire n_29322;
+   wire n_29323;
+   wire n_29324;
+   wire n_29325;
+   wire n_29326;
+   wire n_29327;
+   wire n_29328;
+   wire n_29329;
+   wire n_29330;
+   wire n_29331;
+   wire n_29332;
+   wire n_29333;
+   wire n_29334;
+   wire n_29335;
+   wire n_29336;
+   wire n_29338;
+   wire n_29339;
+   wire n_29340;
+   wire n_29342;
+   wire n_29345;
+   wire n_29346;
+   wire n_29347;
+   wire n_29349;
+   wire n_29351;
+   wire n_29354;
+   wire n_29355;
+   wire n_29356;
+   wire n_29357;
+   wire n_29361;
+   wire n_29362;
+   wire n_29367;
+   wire n_29372;
+   wire n_29373;
+   wire n_29375;
+   wire n_29376;
+   wire n_29377;
+   wire n_29378;
+   wire n_29382;
+   wire n_29384;
+   wire n_29385;
+   wire n_29386;
+   wire n_29387;
+   wire n_29388;
+   wire n_29389;
+   wire n_29390;
+   wire n_29391;
+   wire n_29394;
+   wire n_29395;
+   wire n_29396;
+   wire n_29397;
+   wire n_29398;
+   wire n_29399;
+   wire n_29400;
+   wire n_29402;
+   wire n_29403;
+   wire n_29404;
+   wire n_29405;
+   wire n_29406;
+   wire n_29407;
+   wire n_29408;
+   wire n_29409;
+   wire n_29410;
+   wire n_29411;
+   wire n_29412;
+   wire n_29413;
+   wire n_29415;
+   wire n_29416;
+   wire n_29417;
+   wire n_29418;
+   wire n_29419;
+   wire n_29420;
+   wire n_29421;
+   wire n_29422;
+   wire n_29423;
+   wire n_29426;
+   wire n_29427;
+   wire n_29428;
+   wire n_29433;
+   wire n_29437;
+   wire n_29444;
+   wire n_29446;
+   wire n_29447;
+   wire n_29448;
+   wire n_29450;
+   wire n_29451;
+   wire n_29452;
+   wire n_29453;
+   wire n_29454;
+   wire n_29456;
+   wire n_29457;
+   wire n_29458;
+   wire n_29459;
+   wire n_29460;
+   wire n_29463;
+   wire n_29464;
+   wire n_29465;
+   wire n_29467;
+   wire n_29468;
+   wire n_29472;
+   wire n_29474;
+   wire n_29476;
+   wire n_29477;
+   wire n_29478;
+   wire n_29479;
+   wire n_29480;
+   wire n_29481;
+   wire n_29482;
+   wire n_29483;
+   wire n_29484;
+   wire n_29485;
+   wire n_29486;
+   wire n_29487;
+   wire n_29488;
+   wire n_29505;
+   wire n_29506;
+   wire n_29507;
+   wire n_29509;
+   wire n_29510;
+   wire n_29511;
+   wire n_29512;
+   wire n_29513;
+   wire n_29515;
+   wire n_29516;
+   wire n_29517;
+   wire n_29521;
+   wire n_29523;
+   wire n_29524;
+   wire n_29533;
+   wire n_29535;
+   wire n_29539;
+   wire n_29544;
+   wire n_29546;
+   wire n_29548;
+   wire n_29549;
+   wire n_29550;
+   wire n_29553;
+   wire n_29556;
+   wire n_29564;
+   wire n_29567;
+   wire n_29569;
+   wire n_29572;
+   wire n_29575;
+   wire n_29577;
+   wire n_29582;
+   wire n_29589;
+   wire n_29590;
+   wire n_29591;
+   wire n_29592;
+   wire n_29593;
+   wire n_29594;
+   wire n_29595;
+   wire n_29597;
+   wire n_29598;
+   wire n_29599;
+   wire n_29600;
+   wire n_29603;
+   wire n_29604;
+   wire n_29606;
+   wire n_29608;
+   wire n_29610;
+   wire n_29611;
+   wire n_29613;
+   wire n_29614;
+   wire n_29615;
+   wire n_29616;
+   wire n_29618;
+   wire n_29619;
+   wire n_29620;
+   wire n_29621;
+   wire n_29622;
+   wire n_29624;
+   wire n_29625;
+   wire n_29626;
+   wire n_29627;
+   wire n_29628;
+   wire n_29629;
+   wire n_29630;
+   wire n_29632;
+   wire n_29633;
+   wire n_29634;
+   wire n_29635;
+   wire n_29636;
+   wire n_29637;
+   wire n_29638;
+   wire n_29639;
+   wire n_29640;
+   wire n_29641;
+   wire n_29642;
+   wire n_29643;
+   wire n_29644;
+   wire n_29645;
+   wire n_29646;
+   wire n_29647;
+   wire n_29648;
+   wire n_29650;
+   wire n_29653;
+   wire n_29655;
+   wire n_29656;
+   wire n_29657;
+   wire n_29658;
+   wire n_29659;
+   wire n_29660;
+   wire n_29661;
+   wire n_29662;
+   wire n_29664;
+   wire n_29665;
+   wire n_29666;
+   wire n_29667;
+   wire n_29668;
+   wire n_29669;
+   wire n_29670;
+   wire n_29672;
+   wire n_29673;
+   wire n_29674;
+   wire n_29675;
+   wire n_29676;
+   wire n_29677;
+   wire n_29678;
+   wire n_29679;
+   wire n_29680;
+   wire n_29681;
+   wire n_29689;
+   wire n_29691;
+   wire n_29693;
+   wire n_29696;
+   wire n_29697;
+   wire n_29698;
+   wire n_29699;
+   wire n_29700;
+   wire n_29701;
+   wire n_29702;
+   wire n_29706;
+   wire n_29707;
+   wire n_29708;
+   wire n_29709;
+   wire n_29711;
+   wire n_29712;
+   wire n_29713;
+   wire n_29714;
+   wire n_29716;
+   wire n_29717;
+   wire n_29718;
+   wire n_29719;
+   wire n_29720;
+   wire n_29721;
+   wire n_29723;
+   wire n_29724;
+   wire n_29725;
+   wire n_29726;
+   wire n_29727;
+   wire n_29729;
+   wire n_29730;
+   wire n_29731;
+   wire n_29732;
+   wire n_29733;
+   wire n_29737;
+   wire n_29745;
+   wire n_29751;
+   wire n_29754;
+   wire n_29758;
+   wire n_29759;
+   wire n_29760;
+   wire n_29761;
+   wire n_29762;
+   wire n_29764;
+   wire n_29765;
+   wire n_29767;
+   wire n_29768;
+   wire n_29769;
+   wire n_29770;
+   wire n_29771;
+   wire n_29774;
+   wire n_29775;
+   wire n_29776;
+   wire n_29777;
+   wire n_29779;
+   wire n_29782;
+   wire n_29783;
+   wire n_29784;
+   wire n_29785;
+   wire n_29786;
+   wire n_29787;
+   wire n_29788;
+   wire n_29790;
+   wire n_29792;
+   wire n_29793;
+   wire n_29795;
+   wire n_29796;
+   wire n_29798;
+   wire n_29799;
+   wire n_29802;
+   wire n_29806;
+   wire n_29812;
+   wire n_29828;
+   wire n_29832;
+   wire n_29833;
+   wire n_29834;
+   wire n_29835;
+   wire n_29836;
+   wire n_29837;
+   wire n_29838;
+   wire n_29839;
+   wire n_29840;
+   wire n_29841;
+   wire n_29842;
+   wire n_29843;
+   wire n_29844;
+   wire n_29845;
+   wire n_29846;
+   wire n_29847;
+   wire n_29848;
+   wire n_29849;
+   wire n_29850;
+   wire n_29851;
+   wire n_29852;
+   wire n_29853;
+   wire n_29854;
+   wire n_29855;
+   wire n_29856;
+   wire n_29857;
+   wire n_29858;
+   wire n_29859;
+   wire n_29860;
+   wire n_29861;
+   wire n_29862;
+   wire n_29863;
+   wire n_29864;
+   wire n_29865;
+   wire n_29866;
+   wire n_29867;
+   wire n_29875;
+   wire n_29876;
+   wire n_29877;
+   wire n_29878;
+   wire n_29879;
+   wire n_29880;
+   wire n_29881;
+   wire n_29883;
+   wire n_29884;
+   wire n_29885;
+   wire n_29886;
+   wire n_29887;
+   wire n_29888;
+   wire n_29889;
+   wire n_29890;
+   wire n_29891;
+   wire n_29892;
+   wire n_29893;
+   wire n_29894;
+   wire n_29895;
+   wire n_29896;
+   wire n_29897;
+   wire n_29898;
+   wire n_29899;
+   wire n_29900;
+   wire n_29901;
+   wire n_29902;
+   wire n_29903;
+   wire n_29904;
+   wire n_29905;
+   wire n_29906;
+   wire n_29907;
+   wire n_29908;
+   wire n_29909;
+   wire n_29910;
+   wire n_29911;
+   wire n_29912;
+   wire n_29913;
+   wire n_29914;
+   wire n_29915;
+   wire n_29916;
+   wire n_29917;
+   wire n_29918;
+   wire n_29919;
+   wire n_29920;
+   wire n_29921;
+   wire n_29922;
+   wire n_29923;
+   wire n_29924;
+   wire n_29925;
+   wire n_29926;
+   wire n_29927;
+   wire n_29928;
+   wire n_29929;
+   wire n_29930;
+   wire n_29931;
+   wire n_29932;
+   wire n_29933;
+   wire n_29934;
+   wire n_29935;
+   wire n_29936;
+   wire n_29937;
+   wire n_29938;
+   wire n_29939;
+   wire n_29940;
+   wire n_29941;
+   wire n_29942;
+   wire n_29943;
+   wire n_29944;
+   wire n_29945;
+   wire n_29946;
+   wire n_29947;
+   wire n_29948;
+   wire n_29949;
+   wire n_29950;
+   wire n_29951;
+   wire n_29952;
+   wire n_29953;
+   wire n_29954;
+   wire n_29955;
+   wire n_29956;
+   wire n_29957;
+   wire n_29958;
+   wire n_29959;
+   wire n_29960;
+   wire n_29961;
+   wire n_29962;
+   wire n_29963;
+   wire n_29964;
+   wire n_29965;
+   wire n_29966;
+   wire n_29968;
+   wire n_29969;
+   wire n_29970;
+   wire n_29971;
+   wire n_29972;
+   wire n_29973;
+   wire n_29974;
+   wire n_29975;
+   wire n_29976;
+   wire n_29977;
+   wire n_29978;
+   wire n_29979;
+   wire n_29980;
+   wire n_29981;
+   wire n_29982;
+   wire n_29983;
+   wire n_29984;
+   wire n_29985;
+   wire n_29986;
+   wire n_29987;
+   wire n_29988;
+   wire n_29989;
+   wire n_29990;
+   wire n_29991;
+   wire n_29992;
+   wire n_29993;
+   wire n_29994;
+   wire n_29995;
+   wire n_29996;
+   wire n_29997;
+   wire n_29998;
+   wire n_29999;
+   wire n_30000;
+   wire n_30001;
+   wire n_30002;
+   wire n_30003;
+   wire n_30004;
+   wire n_30005;
+   wire n_30006;
+   wire n_30007;
+   wire n_30008;
+   wire n_30009;
+   wire n_30010;
+   wire n_30011;
+   wire n_30012;
+   wire n_30013;
+   wire n_30014;
+   wire n_30015;
+   wire n_30016;
+   wire n_30017;
+   wire n_30018;
+   wire n_30019;
+   wire n_30020;
+   wire n_30021;
+   wire n_30022;
+   wire n_30023;
+   wire n_30024;
+   wire n_30025;
+   wire n_30026;
+   wire n_30027;
+   wire n_30028;
+   wire n_30029;
+   wire n_30030;
+   wire n_30031;
+   wire n_30032;
+   wire n_30033;
+   wire n_30034;
+   wire n_30035;
+   wire n_30036;
+   wire n_30037;
+   wire n_30038;
+   wire n_30040;
+   wire n_30041;
+   wire n_30042;
+   wire n_30044;
+   wire n_30065;
+   wire n_30066;
+   wire n_30067;
+   wire n_30068;
+   wire n_30069;
+   wire n_30070;
+   wire n_30071;
+   wire n_30072;
+   wire n_30073;
+   wire n_30074;
+   wire n_30075;
+   wire n_30076;
+   wire n_30077;
+   wire n_30079;
+   wire n_30080;
+   wire n_30081;
+   wire n_30082;
+   wire n_30083;
+   wire n_30085;
+   wire n_30086;
+   wire n_30087;
+   wire n_30088;
+   wire n_30089;
+   wire n_30090;
+   wire n_30091;
+   wire n_30092;
+   wire n_30093;
+   wire n_30094;
+   wire n_30095;
+   wire n_30096;
+   wire n_30097;
+   wire n_30098;
+   wire n_30099;
+   wire n_30100;
+   wire n_30101;
+   wire n_30102;
+   wire n_30103;
+   wire n_30104;
+   wire n_30105;
+   wire n_30106;
+   wire n_30107;
+   wire n_30108;
+   wire n_30109;
+   wire n_30110;
+   wire n_30111;
+   wire n_30112;
+   wire n_30113;
+   wire n_30114;
+   wire n_30115;
+   wire n_30116;
+   wire n_30117;
+   wire n_30118;
+   wire n_30120;
+   wire n_30121;
+   wire n_30123;
+   wire n_30124;
+   wire n_30125;
+   wire n_30126;
+   wire n_30127;
+   wire n_30128;
+   wire n_30129;
+   wire n_30130;
+   wire n_30131;
+   wire n_30133;
+   wire n_30134;
+   wire n_30135;
+   wire n_30136;
+   wire n_30137;
+   wire n_30138;
+   wire n_30139;
+   wire n_30140;
+   wire n_30141;
+   wire n_30142;
+   wire n_30144;
+   wire n_30145;
+   wire n_30146;
+   wire n_30147;
+   wire n_30148;
+   wire n_30149;
+   wire n_30150;
+   wire n_30151;
+   wire n_30152;
+   wire n_30153;
+   wire n_30154;
+   wire n_30155;
+   wire n_30156;
+   wire n_30157;
+   wire n_30158;
+   wire n_30159;
+   wire n_30160;
+   wire n_30161;
+   wire n_30162;
+   wire n_30163;
+   wire n_30164;
+   wire n_30165;
+   wire n_30166;
+   wire n_30167;
+   wire n_30168;
+   wire n_30169;
+   wire n_30170;
+   wire n_30171;
+   wire n_30172;
+   wire n_30173;
+   wire n_30174;
+   wire n_30175;
+   wire n_30176;
+   wire n_30177;
+   wire n_30178;
+   wire n_30179;
+   wire n_30180;
+   wire n_30181;
+   wire n_30182;
+   wire n_30183;
+   wire n_30184;
+   wire n_30185;
+   wire n_30186;
+   wire n_30187;
+   wire n_30188;
+   wire n_30189;
+   wire n_30190;
+   wire n_30191;
+   wire n_30192;
+   wire n_30193;
+   wire n_30194;
+   wire n_30195;
+   wire n_30196;
+   wire n_30197;
+   wire n_30198;
+   wire n_30199;
+   wire n_30200;
+   wire n_30201;
+   wire n_30202;
+   wire n_30203;
+   wire n_30204;
+   wire n_30205;
+   wire n_30206;
+   wire n_30207;
+   wire n_30208;
+   wire n_30209;
+   wire n_30211;
+   wire n_30212;
+   wire n_30213;
+   wire n_30214;
+   wire n_30215;
+   wire n_30216;
+   wire n_30217;
+   wire n_30218;
+   wire n_30219;
+   wire n_30220;
+   wire n_30221;
+   wire n_30222;
+   wire n_30223;
+   wire n_30224;
+   wire n_30225;
+   wire n_30226;
+   wire n_30227;
+   wire n_30228;
+   wire n_30229;
+   wire n_30230;
+   wire n_30231;
+   wire n_30232;
+   wire n_30233;
+   wire n_30234;
+   wire n_30235;
+   wire n_30236;
+   wire n_30237;
+   wire n_30238;
+   wire n_30239;
+   wire n_30240;
+   wire n_30243;
+   wire n_30244;
+   wire n_30245;
+   wire n_30246;
+   wire n_30247;
+   wire n_30248;
+   wire n_30249;
+   wire n_30250;
+   wire n_30251;
+   wire n_30252;
+   wire n_30253;
+   wire n_30254;
+   wire n_30255;
+   wire n_30256;
+   wire n_30257;
+   wire n_30258;
+   wire n_30259;
+   wire n_30260;
+   wire n_30261;
+   wire n_30262;
+   wire n_30263;
+   wire n_30264;
+   wire n_30265;
+   wire n_30266;
+   wire n_30267;
+   wire n_30268;
+   wire n_30269;
+   wire n_30270;
+   wire n_30271;
+   wire n_30272;
+   wire n_30273;
+   wire n_30274;
+   wire n_30275;
+   wire n_30276;
+   wire n_30278;
+   wire n_30279;
+   wire n_30280;
+   wire n_30281;
+   wire n_30282;
+   wire n_30283;
+   wire n_30284;
+   wire n_30285;
+   wire n_30286;
+   wire n_30287;
+   wire n_30288;
+   wire n_30289;
+   wire n_30290;
+   wire n_30291;
+   wire n_30292;
+   wire n_30293;
+   wire n_30294;
+   wire n_30295;
+   wire n_30297;
+   wire n_30298;
+   wire n_30299;
+   wire n_30300;
+   wire n_30301;
+   wire n_30302;
+   wire n_30303;
+   wire n_30304;
+   wire n_30305;
+   wire n_30306;
+   wire n_30307;
+   wire n_30308;
+   wire n_30309;
+   wire n_30310;
+   wire n_30311;
+   wire n_30312;
+   wire n_30313;
+   wire n_30314;
+   wire n_30315;
+   wire n_30316;
+   wire n_30317;
+   wire n_30318;
+   wire n_30319;
+   wire n_30320;
+   wire n_30321;
+   wire n_30322;
+   wire n_30323;
+   wire n_30324;
+   wire n_30325;
+   wire n_30326;
+   wire n_30327;
+   wire n_30328;
+   wire n_30329;
+   wire n_30330;
+   wire n_30331;
+   wire n_30332;
+   wire n_30333;
+   wire n_30334;
+   wire n_30336;
+   wire n_30337;
+   wire n_30338;
+   wire n_30339;
+   wire n_30340;
+   wire n_30341;
+   wire n_30342;
+   wire n_30343;
+   wire n_30344;
+   wire n_30345;
+   wire n_30346;
+   wire n_30347;
+   wire n_30348;
+   wire n_30349;
+   wire n_30350;
+   wire n_30351;
+   wire n_30352;
+   wire n_30353;
+   wire n_30354;
+   wire n_30355;
+   wire n_30356;
+   wire n_30357;
+   wire n_30358;
+   wire n_30359;
+   wire n_30360;
+   wire n_30361;
+   wire n_30362;
+   wire n_30363;
+   wire n_30364;
+   wire n_30365;
+   wire n_30366;
+   wire n_30367;
+   wire n_30368;
+   wire n_30369;
+   wire n_30370;
+   wire n_30371;
+   wire n_30372;
+   wire n_30373;
+   wire n_30374;
+   wire n_30375;
+   wire n_30376;
+   wire n_30377;
+   wire n_30378;
+   wire n_30379;
+   wire n_30380;
+   wire n_30381;
+   wire n_30382;
+   wire n_30383;
+   wire n_30384;
+   wire n_30385;
+   wire n_30386;
+   wire n_30387;
+   wire n_30388;
+   wire n_30389;
+   wire n_30390;
+   wire n_30391;
+   wire n_30392;
+   wire n_30393;
+   wire n_30394;
+   wire n_30395;
+   wire n_30396;
+   wire n_30397;
+   wire n_30398;
+   wire n_30399;
+   wire n_30400;
+   wire n_30401;
+   wire n_30402;
+   wire n_30403;
+   wire n_30404;
+   wire n_30405;
+   wire n_30406;
+   wire n_30407;
+   wire n_30408;
+   wire n_30409;
+   wire n_30410;
+   wire n_30411;
+   wire n_30412;
+   wire n_30413;
+   wire n_30414;
+   wire n_30415;
+   wire n_30416;
+   wire n_30417;
+   wire n_30418;
+   wire n_30419;
+   wire n_30420;
+   wire n_30421;
+   wire n_30422;
+   wire n_30423;
+   wire n_30424;
+   wire n_30425;
+   wire n_30426;
+   wire n_30427;
+   wire n_30428;
+   wire n_30429;
+   wire n_30430;
+   wire n_30431;
+   wire n_30432;
+   wire n_30433;
+   wire n_30434;
+   wire n_30435;
+   wire n_30436;
+   wire n_30437;
+   wire n_30438;
+   wire n_30439;
+   wire n_30440;
+   wire n_30441;
+   wire n_30443;
+   wire n_30444;
+   wire n_30445;
+   wire n_30446;
+   wire n_30447;
+   wire n_30448;
+   wire n_30449;
+   wire n_30450;
+   wire n_30451;
+   wire n_30452;
+   wire n_30453;
+   wire n_30454;
+   wire n_30455;
+   wire n_30456;
+   wire n_30457;
+   wire n_30458;
+   wire n_30459;
+   wire n_30460;
+   wire n_30461;
+   wire n_30462;
+   wire n_30463;
+   wire n_30464;
+   wire n_30465;
+   wire n_30466;
+   wire n_30467;
+   wire n_30468;
+   wire n_30469;
+   wire n_30470;
+   wire n_30471;
+   wire n_30472;
+   wire n_30473;
+   wire n_30474;
+   wire n_30475;
+   wire n_30476;
+   wire n_30477;
+   wire n_30478;
+   wire n_30479;
+   wire n_30480;
+   wire n_30481;
+   wire n_30482;
+   wire n_30483;
+   wire n_30484;
+   wire n_30485;
+   wire n_30486;
+   wire n_30487;
+   wire n_30488;
+   wire n_30489;
+   wire n_30490;
+   wire n_30491;
+   wire n_30492;
+   wire n_30493;
+   wire n_30494;
+   wire n_30495;
+   wire n_30496;
+   wire n_30497;
+   wire n_30498;
+   wire n_30499;
+   wire n_30500;
+   wire n_30501;
+   wire n_30502;
+   wire n_30503;
+   wire n_30504;
+   wire n_30505;
+   wire n_30506;
+   wire n_30507;
+   wire n_30508;
+   wire n_30509;
+   wire n_30510;
+   wire n_30511;
+   wire n_30512;
+   wire n_30513;
+   wire n_30514;
+   wire n_30515;
+   wire n_30516;
+   wire n_30517;
+   wire n_30518;
+   wire n_30519;
+   wire n_30520;
+   wire n_30521;
+   wire n_30522;
+   wire n_30523;
+   wire n_30524;
+   wire n_30525;
+   wire n_30529;
+   wire n_30530;
+   wire n_30531;
+   wire n_30532;
+   wire n_30533;
+   wire n_30534;
+   wire n_30535;
+   wire n_30536;
+   wire n_30537;
+   wire n_30538;
+   wire n_30539;
+   wire n_30540;
+   wire n_30541;
+   wire n_30542;
+   wire n_30543;
+   wire n_30544;
+   wire n_30545;
+   wire n_30546;
+   wire n_30547;
+   wire n_30548;
+   wire n_30549;
+   wire n_30550;
+   wire n_30551;
+   wire n_30552;
+   wire n_30553;
+   wire n_30554;
+   wire n_30555;
+   wire n_30556;
+   wire n_30557;
+   wire n_30580;
+   wire n_30581;
+   wire n_30582;
+   wire n_30583;
+   wire n_30584;
+   wire n_30585;
+   wire n_30586;
+   wire n_30587;
+   wire n_30588;
+   wire n_30589;
+   wire n_30590;
+   wire n_30591;
+   wire n_30592;
+   wire n_30593;
+   wire n_30594;
+   wire n_30595;
+   wire n_30596;
+   wire n_30597;
+   wire n_30598;
+   wire n_30599;
+   wire n_30600;
+   wire n_30601;
+   wire n_30602;
+   wire n_30603;
+   wire n_30604;
+   wire n_30605;
+   wire n_30606;
+   wire n_30607;
+   wire n_30608;
+   wire n_30609;
+   wire n_30610;
+   wire n_30611;
+   wire n_30612;
+   wire n_30613;
+   wire n_30614;
+   wire n_30615;
+   wire n_30616;
+   wire n_30617;
+   wire n_30618;
+   wire n_30619;
+   wire n_30620;
+   wire n_30621;
+   wire n_30622;
+   wire n_30623;
+   wire n_30624;
+   wire n_30625;
+   wire n_30626;
+   wire n_30627;
+   wire n_30628;
+   wire n_30629;
+   wire n_30630;
+   wire n_30631;
+   wire n_30632;
+   wire n_30633;
+   wire n_30634;
+   wire n_30635;
+   wire n_30636;
+   wire n_30637;
+   wire n_30638;
+   wire n_30639;
+   wire n_30640;
+   wire n_30641;
+   wire n_30642;
+   wire n_30643;
+   wire n_30644;
+   wire n_30645;
+   wire n_30646;
+   wire n_30647;
+   wire n_30648;
+   wire n_30649;
+   wire n_30650;
+   wire n_30651;
+   wire n_30652;
+   wire n_30664;
+   wire n_30675;
+   wire n_30685;
+   wire n_30689;
+   wire n_30701;
+   wire n_30703;
+   wire n_30707;
+   wire n_30708;
+   wire n_30712;
+   wire n_30713;
+   wire n_30714;
+   wire n_30715;
+   wire n_30716;
+   wire n_30717;
+   wire n_30718;
+   wire n_30726;
+   wire n_30762;
+   wire n_30763;
+   wire n_30765;
+   wire n_30766;
+   wire n_30767;
+   wire n_30768;
+   wire n_30769;
+   wire n_30771;
+   wire n_30772;
+   wire n_30778;
+   wire n_30781;
+   wire n_30785;
+   wire n_30798;
+   wire n_30804;
+   wire n_30817;
+   wire n_30818;
+   wire n_30822;
+   wire n_30825;
+   wire n_30829;
+   wire n_30830;
+   wire n_30833;
+   wire n_30836;
+   wire n_30837;
+   wire n_30838;
+   wire n_30844;
+   wire n_30846;
+   wire n_30848;
+   wire n_30850;
+   wire n_30871;
+   wire n_30872;
+   wire n_30873;
+   wire n_30874;
+   wire n_30875;
+   wire n_30876;
+   wire n_30877;
+   wire n_30878;
+   wire n_30879;
+   wire n_30880;
+   wire n_30881;
+   wire n_30882;
+   wire n_30883;
+   wire n_30884;
+   wire n_30885;
+   wire n_30886;
+   wire n_30887;
+   wire n_30888;
+   wire n_30889;
+   wire n_30890;
+   wire n_30891;
+   wire n_30893;
+   wire n_30894;
+   wire n_30895;
+   wire n_30899;
+   wire n_30900;
+   wire n_30901;
+   wire n_30902;
+   wire n_30903;
+   wire n_30904;
+   wire n_30905;
+   wire n_30906;
+   wire n_30907;
+   wire n_30909;
+   wire n_30910;
+   wire n_30915;
+   wire n_30917;
+   wire n_30919;
+   wire n_30920;
+   wire n_30921;
+   wire n_30922;
+   wire n_30923;
+   wire n_30924;
+   wire n_30925;
+   wire n_30926;
+   wire n_30931;
+   wire n_30932;
+   wire n_30933;
+   wire n_30934;
+   wire n_30935;
+   wire n_30936;
+   wire n_30939;
+   wire n_30942;
+   wire n_30943;
+   wire n_30945;
+   wire n_30947;
+   wire n_30948;
+   wire n_30949;
+   wire n_30950;
+   wire n_30951;
+   wire n_30952;
+   wire n_30953;
+   wire n_30954;
+   wire n_30955;
+   wire n_30956;
+   wire n_30957;
+   wire n_30958;
+   wire n_30959;
+   wire n_30961;
+   wire n_30962;
+   wire n_30963;
+   wire n_30964;
+   wire n_30965;
+   wire n_30966;
+   wire n_30967;
+   wire n_30968;
+   wire n_30969;
+   wire n_30970;
+   wire n_30971;
+   wire n_30977;
+   wire n_30988;
+   wire n_30992;
+   wire n_31007;
+   wire n_31014;
+   wire n_31021;
+   wire n_31035;
+   wire n_31037;
+   wire n_31041;
+   wire n_31042;
+   wire n_31043;
+   wire n_31048;
+   wire n_31062;
+   wire n_31065;
+   wire n_31066;
+   wire n_31067;
+   wire n_31076;
+   wire n_31081;
+   wire n_31082;
+   wire n_31084;
+   wire n_31111;
+   wire n_31112;
+   wire n_31114;
+   wire n_31116;
+   wire n_31117;
+   wire n_31118;
+   wire n_31120;
+   wire n_31124;
+   wire n_31125;
+   wire n_31127;
+   wire n_31128;
+   wire n_31129;
+   wire n_31131;
+   wire n_31132;
+   wire n_31133;
+   wire n_31135;
+   wire n_31136;
+   wire n_31143;
+   wire n_31146;
+   wire n_31152;
+   wire n_31178;
+   wire n_31180;
+   wire n_31181;
+   wire n_31182;
+   wire n_31183;
+   wire n_31184;
+   wire n_31185;
+   wire n_31186;
+   wire n_31187;
+   wire n_31188;
+   wire n_31190;
+   wire n_31191;
+   wire n_31194;
+   wire n_31195;
+   wire n_31196;
+   wire n_31197;
+   wire n_31198;
+   wire n_31199;
+   wire n_31202;
+   wire n_31212;
+   wire n_31215;
+   wire n_31217;
+   wire n_31218;
+   wire n_31220;
+   wire n_31222;
+   wire n_31223;
+   wire n_31226;
+   wire n_31228;
+   wire n_31229;
+   wire n_31231;
+   wire n_31232;
+   wire n_31233;
+   wire n_31234;
+   wire n_31236;
+   wire n_31240;
+   wire n_31241;
+   wire n_31243;
+   wire n_31244;
+   wire n_31248;
+   wire n_31259;
+   wire n_31268;
+   wire n_31269;
+   wire n_31270;
+   wire n_31271;
+   wire n_31272;
+   wire n_31273;
+   wire n_31274;
+   wire n_31275;
+   wire n_31277;
+   wire n_31278;
+   wire n_31279;
+   wire n_31280;
+   wire n_31281;
+   wire n_31282;
+   wire n_31283;
+   wire n_31284;
+   wire n_31285;
+   wire n_31286;
+   wire n_31289;
+   wire n_31290;
+   wire n_31291;
+   wire n_31292;
+   wire n_31293;
+   wire n_31294;
+   wire n_31295;
+   wire n_31296;
+   wire n_31297;
+   wire n_31298;
+   wire n_31299;
+   wire n_31300;
+   wire n_31302;
+   wire n_31303;
+   wire n_31304;
+   wire n_31307;
+   wire n_31309;
+   wire n_31310;
+   wire n_31311;
+   wire n_31313;
+   wire n_31314;
+   wire n_31315;
+   wire n_31316;
+   wire n_31317;
+   wire n_31318;
+   wire n_31320;
+   wire n_31321;
+   wire n_31323;
+   wire n_31324;
+   wire n_31325;
+   wire n_31326;
+   wire n_31327;
+   wire n_31328;
+   wire n_31329;
+   wire n_31331;
+   wire n_31333;
+   wire n_31334;
+   wire n_31336;
+   wire n_31337;
+   wire n_31340;
+   wire n_31344;
+   wire n_31351;
+   wire n_31354;
+   wire n_31362;
+   wire n_31364;
+   wire n_31367;
+   wire n_31372;
+   wire n_31373;
+   wire n_31374;
+   wire n_31375;
+   wire n_31376;
+   wire n_31377;
+   wire n_31378;
+   wire n_31380;
+   wire n_31382;
+   wire n_31383;
+   wire n_31388;
+   wire n_31389;
+   wire n_31391;
+   wire n_31392;
+   wire n_31393;
+   wire n_31396;
+   wire n_31398;
+   wire n_31399;
+   wire n_31400;
+   wire n_31404;
+   wire n_31405;
+   wire n_31407;
+   wire n_31409;
+   wire n_31410;
+   wire n_31411;
+   wire n_31412;
+   wire n_31414;
+   wire n_31415;
+   wire n_31416;
+   wire n_31417;
+   wire n_31418;
+   wire n_31419;
+   wire n_31420;
+   wire n_31421;
+   wire n_31422;
+   wire n_31423;
+   wire n_31424;
+   wire n_31425;
+   wire n_31426;
+   wire n_31427;
+   wire n_31428;
+   wire n_31429;
+   wire n_31430;
+   wire n_31431;
+   wire n_31432;
+   wire n_31433;
+   wire n_31434;
+   wire n_31435;
+   wire n_31436;
+   wire n_31437;
+   wire n_31438;
+   wire n_31439;
+   wire n_31440;
+   wire n_31441;
+   wire n_31442;
+   wire n_31443;
+   wire n_31444;
+   wire n_31445;
+   wire n_31446;
+   wire n_31447;
+   wire n_31448;
+   wire n_31449;
+   wire n_31450;
+   wire n_31451;
+   wire n_31452;
+   wire n_31453;
+   wire n_31454;
+   wire n_31455;
+   wire n_31456;
+   wire n_31457;
+   wire n_31458;
+   wire n_31459;
+   wire n_31460;
+   wire n_31461;
+   wire n_31462;
+   wire n_31463;
+   wire n_31464;
+   wire n_31465;
+   wire n_31466;
+   wire n_31467;
+   wire n_31468;
+   wire n_31469;
+   wire n_31470;
+   wire n_31471;
+   wire n_31472;
+   wire n_31473;
+   wire n_31474;
+   wire n_31475;
+   wire n_31476;
+   wire n_31477;
+   wire n_31478;
+   wire n_31479;
+   wire n_31480;
+   wire n_31481;
+   wire n_31482;
+   wire n_31483;
+   wire n_31484;
+   wire n_31485;
+   wire n_31486;
+   wire n_31487;
+   wire n_31488;
+   wire n_31489;
+   wire n_31490;
+   wire n_31491;
+   wire n_31492;
+   wire n_31493;
+   wire n_31494;
+   wire n_31495;
+   wire n_31496;
+   wire n_31497;
+   wire n_31498;
+   wire n_31499;
+   wire n_31500;
+   wire n_31501;
+   wire n_31502;
+   wire n_31503;
+   wire n_31504;
+   wire n_31505;
+   wire n_31506;
+   wire n_31507;
+   wire n_31508;
+   wire n_31509;
+   wire n_31510;
+   wire n_31511;
+   wire n_31512;
+   wire n_31513;
+   wire n_31514;
+   wire n_31515;
+   wire n_31516;
+   wire n_31517;
+   wire n_31518;
+   wire n_31519;
+   wire n_31520;
+   wire n_31521;
+   wire n_31522;
+   wire n_31523;
+   wire n_31524;
+   wire n_31525;
+   wire n_31526;
+   wire n_31527;
+   wire n_31528;
+   wire n_31529;
+   wire n_31530;
+   wire n_31531;
+   wire n_31532;
+   wire n_31533;
+   wire n_31534;
+   wire n_31535;
+   wire n_31536;
+   wire n_31537;
+   wire n_31538;
+   wire n_31539;
+   wire n_31540;
+   wire n_31541;
+   wire n_31542;
+   wire n_31543;
+   wire n_31544;
+   wire n_31545;
+   wire n_31546;
+   wire n_31547;
+   wire n_31548;
+   wire n_31549;
+   wire n_31550;
+   wire n_31551;
+   wire n_31552;
+   wire n_31553;
+   wire n_31554;
+   wire n_31555;
+   wire n_31556;
+   wire n_31557;
+   wire n_31558;
+   wire n_31559;
+   wire n_31560;
+   wire n_31561;
+   wire n_31562;
+   wire n_31563;
+   wire n_31564;
+   wire n_31565;
+   wire n_31566;
+   wire n_31567;
+   wire n_31568;
+   wire n_31569;
+   wire n_31570;
+   wire n_31571;
+   wire n_31572;
+   wire n_31573;
+   wire n_31574;
+   wire n_31575;
+   wire n_31576;
+   wire n_31577;
+   wire n_31578;
+   wire n_31579;
+   wire n_31580;
+   wire n_31581;
+   wire n_31582;
+   wire n_31583;
+   wire n_31584;
+   wire n_31585;
+   wire n_31586;
+   wire n_31587;
+   wire n_31588;
+   wire n_31589;
+   wire n_31590;
+   wire n_31591;
+   wire n_31592;
+   wire n_31593;
+   wire n_31594;
+   wire n_31595;
+   wire n_31596;
+   wire n_31597;
+   wire n_31598;
+   wire n_31599;
+   wire n_31600;
+   wire n_31601;
+   wire n_31602;
+   wire n_31603;
+   wire n_31604;
+   wire n_31605;
+   wire n_31606;
+   wire n_31607;
+   wire n_31608;
+   wire n_31609;
+   wire n_31611;
+   wire n_31612;
+   wire n_31613;
+   wire n_31614;
+   wire n_31615;
+   wire n_31617;
+   wire n_31618;
+   wire n_31619;
+   wire n_31620;
+   wire n_31621;
+   wire n_31622;
+   wire n_31623;
+   wire n_31624;
+   wire n_31625;
+   wire n_31626;
+   wire n_31627;
+   wire n_31628;
+   wire n_31629;
+   wire n_31630;
+   wire n_31631;
+   wire n_31632;
+   wire n_31633;
+   wire n_31634;
+   wire n_31635;
+   wire n_31636;
+   wire n_31637;
+   wire n_31638;
+   wire n_31639;
+   wire n_31640;
+   wire n_31641;
+   wire n_31644;
+   wire n_31645;
+   wire n_31646;
+   wire n_31647;
+   wire n_31649;
+   wire n_31650;
+   wire n_31651;
+   wire n_31652;
+   wire n_31653;
+   wire n_31654;
+   wire n_31655;
+   wire n_31656;
+   wire n_31657;
+   wire n_31658;
+   wire n_31659;
+   wire n_31660;
+   wire n_31661;
+   wire n_31662;
+   wire n_31663;
+   wire n_31664;
+   wire n_31665;
+   wire n_31666;
+   wire n_31667;
+   wire n_31668;
+   wire n_31669;
+   wire n_31670;
+   wire n_31671;
+   wire n_31672;
+   wire n_31673;
+   wire n_31674;
+   wire n_31676;
+   wire n_31677;
+   wire n_31678;
+   wire n_31680;
+   wire n_31682;
+   wire n_31683;
+   wire n_31684;
+   wire n_31685;
+   wire n_31686;
+   wire n_31690;
+   wire n_31691;
+   wire n_31693;
+   wire n_31694;
+   wire n_31695;
+   wire n_31696;
+   wire n_31697;
+   wire n_31698;
+   wire n_31699;
+   wire n_31700;
+   wire n_31701;
+   wire n_31702;
+   wire n_31703;
+   wire n_31704;
+   wire n_31705;
+   wire n_31707;
+   wire n_31708;
+   wire n_31709;
+   wire n_31710;
+   wire n_31711;
+   wire n_31712;
+   wire n_31713;
+   wire n_31714;
+   wire n_31715;
+   wire n_31716;
+   wire n_31717;
+   wire n_31718;
+   wire n_31719;
+   wire n_31720;
+   wire n_31721;
+   wire n_31722;
+   wire n_31723;
+   wire n_31724;
+   wire n_31725;
+   wire n_31726;
+   wire n_31727;
+   wire n_31728;
+   wire n_31729;
+   wire n_31730;
+   wire n_31731;
+   wire n_31732;
+   wire n_31733;
+   wire n_31734;
+   wire n_31735;
+   wire n_31736;
+   wire n_31737;
+   wire n_31738;
+   wire n_31739;
+   wire n_34508;
+   wire n_34512;
+   wire n_34513;
+   wire n_34518;
+   wire n_34662;
+   wire n_34663;
+   wire n_34664;
+   wire n_34665;
+   wire n_34666;
+   wire n_34671;
+   wire n_34672;
+   wire n_34679;
+   wire n_34681;
+   wire n_34684;
+   wire n_34696;
+   wire n_34698;
+   wire n_34700;
+   wire n_34706;
+   wire n_34707;
+   wire n_34713;
+   wire n_34715;
+   wire n_34716;
+   wire n_34720;
+   wire n_34724;
+   wire n_34726;
+   wire n_34727;
+   wire n_34728;
+   wire n_34730;
+   wire n_34734;
+   wire n_34738;
+   wire n_34740;
+   wire n_34741;
+   wire n_34774;
+   wire n_34776;
+   wire n_34777;
+   wire n_34778;
+   wire n_34786;
+   wire n_34788;
+   wire n_34789;
+   wire n_34790;
+   wire n_34799;
+   wire n_34805;
+   wire n_34808;
+   wire n_34809;
+   wire n_34811;
+   wire n_34812;
+   wire n_34822;
+   wire n_34852;
+   wire n_34873;
+   wire n_34874;
+   wire n_34908;
+   wire n_34910;
+   wire n_34921;
+   wire n_34923;
+   wire n_34924;
+   wire n_34925;
+   wire n_34926;
+   wire n_34927;
+   wire n_34928;
+   wire n_34929;
+   wire n_34930;
+   wire n_34931;
+   wire n_34932;
+   wire n_34933;
+   wire n_34934;
+   wire n_34935;
+   wire n_34940;
+   wire n_34941;
+   wire n_34942;
+   wire n_34952;
+   wire n_34953;
+   wire n_34963;
+   wire n_34964;
+   wire n_34965;
+   wire n_34971;
+   wire n_34974;
+   wire n_34975;
+   wire n_34980;
+   wire n_34984;
+   wire n_34988;
+   wire n_34989;
+   wire n_34990;
+   wire n_34992;
+   wire n_34993;
+   wire n_34995;
+   wire n_34996;
+   wire n_35001;
+   wire n_35003;
+   wire n_35004;
+   wire n_35014;
+   wire n_35015;
+   wire n_35016;
+   wire n_35017;
+   wire n_35019;
+   wire n_35020;
+   wire n_35022;
+   wire n_35024;
+   wire n_35028;
+   wire n_35031;
+   wire n_35033;
+   wire n_35036;
+   wire n_35037;
+   wire n_35038;
+   wire n_35039;
+   wire n_35040;
+   wire n_35041;
+   wire n_35042;
+   wire n_35043;
+   wire n_35047;
+   wire n_35050;
+   wire n_35051;
+   wire n_35053;
+   wire n_35054;
+   wire n_35055;
+   wire n_35056;
+   wire n_35057;
+   wire n_35059;
+   wire n_35069;
+   wire n_35085;
+   wire n_35086;
+   wire n_35100;
+   wire n_35107;
+   wire n_35108;
+   wire n_35109;
+   wire n_35114;
+   wire n_35121;
+   wire n_35122;
+   wire n_35125;
+   wire n_35127;
+   wire n_35128;
+   wire n_35131;
+   wire n_35132;
+   wire n_35137;
+   wire n_35138;
+   wire n_35142;
+   wire n_35144;
+   wire n_35145;
+   wire n_35146;
+   wire n_35152;
+   wire n_35154;
+   wire n_35155;
+   wire n_35156;
+   wire n_35157;
+   wire n_35159;
+   wire n_35172;
+   wire n_35181;
+   wire n_35189;
+   wire n_35192;
+   wire n_35194;
+   wire n_35208;
+   wire n_35214;
+   wire n_35219;
+   wire n_35223;
+   wire n_35229;
+   wire n_35231;
+   wire n_35235;
+   wire n_35236;
+   wire n_35237;
+   wire n_35242;
+   wire n_35257;
+   wire n_35269;
+   wire n_35270;
+   wire n_35271;
+   wire n_35272;
+   wire n_35293;
+   wire n_35298;
+   wire n_35301;
+   wire n_35307;
+   wire n_35309;
+   wire n_35310;
+   wire n_35311;
+   wire n_35312;
+   wire n_35313;
+   wire n_35314;
+   wire n_35315;
+   wire n_35316;
+   wire n_35317;
+   wire n_35318;
+   wire n_35319;
+   wire n_35320;
+   wire n_35321;
+   wire n_35322;
+   wire n_35325;
+   wire n_35326;
+   wire n_35327;
+   wire n_35328;
+   wire n_35329;
+   wire n_35330;
+   wire n_35331;
+   wire n_35332;
+   wire n_35333;
+   wire n_35334;
+   wire n_35335;
+   wire n_35336;
+   wire n_35338;
+   wire n_35339;
+   wire n_35341;
+   wire n_35342;
+   wire n_35343;
+   wire n_35344;
+   wire n_35345;
+   wire n_35346;
+   wire n_35347;
+   wire n_35348;
+   wire n_35349;
+   wire n_35350;
+   wire n_35351;
+   wire n_35352;
+   wire n_35353;
+   wire n_35354;
+   wire n_35355;
+   wire n_35356;
+   wire n_35357;
+   wire n_35358;
+   wire n_35359;
+   wire n_35360;
+   wire n_35361;
+   wire n_35362;
+   wire n_35363;
+   wire n_35364;
+   wire n_35365;
+   wire n_35366;
+   wire n_35367;
+   wire n_35369;
+   wire n_35370;
+   wire n_35371;
+   wire n_35378;
+   wire n_35380;
+   wire n_35383;
+   wire n_35386;
+   wire n_35396;
+   wire n_35398;
+   wire n_35414;
+   wire n_35440;
+   wire n_35443;
+   wire n_35445;
+   wire n_35446;
+   wire n_35447;
+   wire n_35458;
+   wire n_35459;
+   wire n_35467;
+   wire n_35469;
+   wire n_35471;
+   wire n_35473;
+   wire n_35476;
+   wire n_35477;
+   wire n_35479;
+   wire n_35494;
+   wire n_35497;
+   wire n_35499;
+   wire n_35500;
+   wire n_35503;
+   wire n_35504;
+   wire n_35505;
+   wire n_35508;
+   wire n_35510;
+   wire n_35511;
+   wire n_35512;
+   wire n_35513;
+   wire n_35514;
+   wire n_35515;
+   wire n_35517;
+   wire n_35518;
+   wire n_35519;
+   wire n_35520;
+   wire n_35524;
+   wire n_35525;
+   wire n_35526;
+   wire n_35527;
+   wire n_35528;
+   wire n_35530;
+   wire n_35531;
+   wire n_35532;
+   wire n_35535;
+   wire n_35537;
+   wire n_35542;
+   wire n_35543;
+   wire n_35548;
+   wire n_35551;
+   wire n_35552;
+   wire n_35553;
+   wire n_35554;
+   wire n_35556;
+   wire n_35557;
+   wire n_35561;
+   wire n_35562;
+   wire n_35563;
+   wire n_35564;
+   wire n_35565;
+   wire n_35575;
+   wire n_35576;
+   wire n_35577;
+   wire n_35578;
+   wire n_35580;
+   wire n_35581;
+   wire n_35582;
+   wire n_35583;
+   wire n_35584;
+   wire n_35585;
+   wire n_35586;
+   wire n_35587;
+   wire n_35588;
+   wire n_35589;
+   wire n_35590;
+   wire n_35592;
+   wire n_35593;
+   wire n_35594;
+   wire n_35595;
+   wire n_35596;
+   wire n_35597;
+   wire n_35598;
+   wire n_35600;
+   wire n_35601;
+   wire n_35602;
+   wire n_35603;
+   wire n_35604;
+   wire n_35605;
+   wire n_35607;
+   wire n_35609;
+   wire n_35610;
+   wire n_35611;
+   wire n_35612;
+   wire n_35613;
+   wire n_35615;
+   wire n_35616;
+   wire n_35617;
+   wire n_35618;
+   wire n_35619;
+   wire n_35620;
+   wire n_35621;
+   wire n_35623;
+   wire n_35624;
+   wire n_35628;
+   wire n_35629;
+   wire n_35630;
+   wire n_35633;
+   wire n_35634;
+   wire n_35635;
+   wire n_35636;
+   wire n_35637;
+   wire n_35639;
+   wire n_35641;
+   wire n_35642;
+   wire n_35643;
+   wire n_35644;
+   wire n_35645;
+   wire n_35646;
+   wire n_35647;
+   wire n_35648;
+   wire n_35649;
+   wire n_35650;
+   wire n_35654;
+   wire n_35655;
+   wire n_35656;
+   wire n_35657;
+   wire n_35658;
+   wire n_35659;
+   wire n_35660;
+   wire n_35661;
+   wire n_35662;
+   wire n_35663;
+   wire n_35664;
+   wire n_35666;
+   wire n_35667;
+   wire n_35668;
+   wire n_35672;
+   wire n_35673;
+   wire n_35675;
+   wire n_35676;
+   wire n_35677;
+   wire n_35678;
+   wire n_35679;
+   wire n_35680;
+   wire n_35682;
+   wire n_35683;
+   wire n_35684;
+   wire n_35685;
+   wire n_35686;
+   wire n_35687;
+   wire n_35689;
+   wire n_35690;
+   wire n_35691;
+   wire n_35692;
+   wire n_35693;
+   wire n_35695;
+   wire n_35696;
+   wire n_35697;
+   wire n_35698;
+   wire n_35701;
+   wire n_35702;
+   wire n_35703;
+   wire n_35706;
+   wire n_35709;
+   wire n_35710;
+   wire n_35711;
+   wire n_35712;
+   wire n_35715;
+   wire n_35716;
+   wire n_35717;
+   wire n_35718;
+   wire n_35719;
+   wire n_35720;
+   wire n_35722;
+   wire n_35723;
+   wire n_35727;
+   wire n_35728;
+   wire n_35729;
+   wire n_35730;
+   wire n_35732;
+   wire n_35733;
+   wire n_35735;
+   wire n_35736;
+   wire n_35738;
+   wire n_35740;
+   wire n_35741;
+   wire n_35742;
+   wire n_35745;
+   wire n_35747;
+   wire n_35751;
+   wire n_35752;
+   wire n_35753;
+   wire n_35757;
+   wire n_35758;
+   wire n_35764;
+   wire n_35765;
+   wire n_35766;
+   wire n_35767;
+   wire n_35768;
+   wire n_35769;
+   wire n_35770;
+   wire n_35771;
+   wire n_35772;
+   wire n_35773;
+   wire n_35774;
+   wire n_35776;
+   wire n_35777;
+   wire n_35778;
+   wire n_35779;
+   wire n_35780;
+   wire n_35781;
+   wire n_35782;
+   wire n_35783;
+   wire n_35784;
+   wire n_35785;
+   wire n_35789;
+   wire n_35790;
+   wire n_35791;
+   wire n_35793;
+   wire n_35795;
+   wire n_35797;
+   wire n_35798;
+   wire n_35801;
+   wire n_35806;
+   wire n_35807;
+   wire n_35808;
+   wire n_35812;
+   wire n_35817;
+   wire n_35819;
+   wire n_35826;
+   wire n_35827;
+   wire n_35829;
+   wire n_35831;
+   wire n_35832;
+   wire n_35833;
+   wire n_35834;
+   wire n_35835;
+   wire n_35837;
+   wire n_35839;
+   wire n_35840;
+   wire n_35843;
+   wire n_35844;
+   wire n_35845;
+   wire n_35846;
+   wire n_35847;
+   wire n_35848;
+   wire n_35849;
+   wire n_35854;
+   wire n_35855;
+   wire n_35856;
+   wire n_35857;
+   wire n_35858;
+   wire n_35860;
+   wire n_35861;
+   wire n_35862;
+   wire n_35864;
+   wire n_35865;
+   wire n_35866;
+   wire n_35867;
+   wire n_35868;
+   wire n_35869;
+   wire n_35871;
+   wire n_35872;
+   wire n_35874;
+   wire n_35875;
+   wire n_35876;
+   wire n_35877;
+   wire n_35878;
+   wire n_35879;
+   wire n_35880;
+   wire n_35881;
+   wire n_35882;
+   wire n_35885;
+   wire n_35886;
+   wire n_35887;
+   wire n_35889;
+   wire n_35890;
+   wire n_35891;
+   wire n_35892;
+   wire n_35893;
+   wire n_35894;
+   wire n_35895;
+   wire n_35896;
+   wire n_35897;
+   wire n_35898;
+   wire n_35900;
+   wire n_35901;
+   wire n_35904;
+   wire n_35905;
+   wire n_35907;
+   wire n_35909;
+   wire n_35914;
+   wire n_35915;
+   wire n_35918;
+   wire n_35919;
+   wire n_35920;
+   wire n_35923;
+   wire n_35924;
+   wire n_35925;
+   wire n_35927;
+   wire n_35929;
+   wire n_35933;
+   wire n_35935;
+   wire n_35938;
+   wire n_35939;
+   wire n_35941;
+   wire n_35942;
+   wire n_35944;
+   wire n_35946;
+   wire n_35948;
+   wire n_35949;
+   wire n_35951;
+   wire n_35952;
+   wire n_35953;
+   wire n_35955;
+   wire n_35956;
+   wire n_35959;
+   wire n_35960;
+   wire n_35961;
+   wire n_35963;
+   wire n_35965;
+   wire n_35966;
+   wire n_35968;
+   wire n_35969;
+   wire n_35972;
+   wire n_35974;
+   wire n_35976;
+   wire n_35977;
+   wire n_35978;
+   wire n_35980;
+   wire n_35981;
+   wire n_35982;
+   wire n_35983;
+   wire n_35985;
+   wire n_35987;
+   wire n_35989;
+   wire n_35990;
+   wire n_35991;
+   wire n_35992;
+   wire n_35993;
+   wire n_35994;
+   wire n_35995;
+   wire n_35998;
+   wire n_35999;
+   wire n_36009;
+   wire n_36022;
+   wire n_36028;
+   wire n_36035;
+   wire n_36040;
+   wire n_36042;
+   wire n_36049;
+   wire n_36052;
+   wire n_36053;
+   wire n_36055;
+   wire n_36057;
+   wire n_36059;
+   wire n_36065;
+   wire n_36066;
+   wire n_36067;
+   wire n_36068;
+   wire n_36077;
+   wire n_36081;
+   wire n_36083;
+   wire n_36091;
+   wire n_36092;
+   wire n_36093;
+   wire n_36094;
+   wire n_36095;
+   wire n_36096;
+   wire n_36097;
+   wire n_36098;
+   wire n_36108;
+   wire n_36109;
+   wire n_36110;
+   wire n_36115;
+   wire n_36116;
+   wire n_36117;
+   wire n_36118;
+   wire n_36119;
+   wire n_36121;
+   wire n_36145;
+   wire n_36165;
+   wire n_36172;
+   wire n_36173;
+   wire n_36174;
+   wire n_36175;
+   wire n_36176;
+   wire n_36177;
+   wire n_36189;
+   wire n_36191;
+   wire n_36192;
+   wire n_36212;
+   wire n_36215;
+   wire n_36216;
+   wire n_36217;
+   wire n_36218;
+   wire n_36219;
+   wire n_36220;
+   wire n_36221;
+   wire n_36222;
+   wire n_36223;
+   wire n_36224;
+   wire n_36232;
+   wire n_36233;
+   wire n_36234;
+   wire n_36236;
+   wire n_36237;
+   wire n_36238;
+   wire n_36239;
+   wire n_36240;
+   wire n_36241;
+   wire n_36243;
+   wire n_36244;
+   wire n_36245;
+   wire n_36246;
+   wire n_36247;
+   wire n_36248;
+   wire n_36249;
+   wire n_36250;
+   wire n_36251;
+   wire n_36252;
+   wire n_36253;
+   wire n_36254;
+   wire n_36255;
+   wire n_36256;
+   wire n_36257;
+   wire n_36258;
+   wire n_36260;
+   wire n_36261;
+   wire n_36262;
+   wire n_36263;
+   wire n_36264;
+   wire n_36265;
+   wire n_36266;
+   wire n_36267;
+   wire n_36268;
+   wire n_36269;
+   wire n_36270;
+   wire n_36271;
+   wire n_36272;
+   wire n_36306;
+   wire n_36310;
+   wire n_36311;
+   wire n_36312;
+   wire n_36313;
+   wire n_36314;
+   wire n_36315;
+   wire n_36316;
+   wire n_36317;
+   wire n_36320;
+   wire n_36322;
+   wire n_36323;
+   wire n_36333;
+   wire n_36334;
+   wire n_36335;
+   wire n_36341;
+   wire n_36342;
+   wire n_36343;
+   wire n_36354;
+   wire n_36361;
+   wire n_36363;
+   wire n_36365;
+   wire n_36369;
+   wire n_36376;
+   wire n_36377;
+   wire n_36378;
+   wire n_36381;
+   wire n_36384;
+   wire n_36387;
+   wire n_36388;
+   wire n_36389;
+   wire n_36390;
+   wire n_36391;
+   wire n_36392;
+   wire n_36393;
+   wire n_36394;
+   wire n_36395;
+   wire n_36396;
+   wire n_36398;
+   wire n_36401;
+   wire n_36409;
+   wire n_36412;
+   wire n_36413;
+   wire n_36414;
+   wire n_36416;
+   wire n_36417;
+   wire n_36418;
+   wire n_36420;
+   wire n_36423;
+   wire n_36427;
+   wire n_36430;
+   wire n_36432;
+   wire n_36435;
+   wire n_36437;
+   wire n_36440;
+   wire n_36441;
+   wire n_36442;
+   wire n_36443;
+   wire n_36444;
+   wire n_36446;
+   wire n_36454;
+   wire n_36455;
+   wire n_36470;
+   wire n_36471;
+   wire n_36473;
+   wire n_36474;
+   wire n_36476;
+   wire n_36488;
+   wire n_36489;
+   wire n_36490;
+   wire n_36491;
+   wire n_36554;
+   wire n_36555;
+   wire n_36558;
+   wire n_36586;
+   wire n_36587;
+   wire n_36631;
+   wire n_36659;
+   wire n_36668;
+   wire n_36724;
+   wire n_36749;
+   wire n_36814;
+   wire n_36818;
+   wire n_36828;
+   wire n_36944;
+   wire n_37003;
+   wire n_37059;
+   wire n_37127;
+   wire n_37134;
+   wire n_37171;
+   wire n_37275;
+   wire n_37374;
+   wire n_37407;
+   wire n_37409;
+   wire n_37411;
+   wire n_37413;
+   wire n_37433;
+   wire n_37434;
+   wire n_37438;
+   wire n_37444;
+   wire n_37445;
+   wire n_37450;
+   wire n_37451;
+   wire n_37459;
+   wire n_37489;
+   wire n_37490;
+   wire n_37491;
+   wire n_37500;
+   wire n_37508;
+   wire n_37509;
+   wire n_37510;
+   wire n_37511;
+   wire n_37512;
+   wire n_37520;
+   wire n_37521;
+   wire n_37531;
+   wire n_37532;
+   wire n_37536;
+   wire n_37538;
+   wire n_37542;
+   wire n_37543;
+   wire n_37546;
+   wire n_37552;
+   wire n_37553;
+   wire n_37561;
+   wire n_37563;
+   wire n_37564;
+   wire n_37568;
+   wire n_37569;
+   wire n_37570;
+   wire n_37571;
+   wire n_37572;
+   wire n_37573;
+   wire n_37574;
+   wire n_37575;
+   wire n_37576;
+   wire n_37577;
+   wire n_37579;
+   wire n_37585;
+   wire n_37586;
+   wire n_37587;
+   wire n_37589;
+   wire n_37590;
+   wire n_37591;
+   wire n_37598;
+   wire n_37599;
+   wire n_37600;
+   wire n_37601;
+   wire n_37602;
+   wire n_37604;
+   wire n_37605;
+   wire n_37607;
+   wire n_37613;
+   wire n_37614;
+   wire n_37622;
+   wire n_37623;
+   wire n_37626;
+   wire n_37645;
+   wire n_37691;
+   wire n_37702;
+   wire n_37704;
+   wire n_37706;
+   wire n_37707;
+   wire n_37743;
+   wire n_37760;
+   wire n_37767;
+   wire n_37769;
+   wire n_37800;
+   wire n_37802;
+   wire n_37825;
+   wire n_37871;
+   wire n_37889;
+   wire n_37912;
+   wire n_37913;
+   wire n_37938;
+   wire n_37942;
+   wire n_37943;
+   wire n_37944;
+   wire n_37949;
+   wire n_37979;
+   wire n_37982;
+   wire n_37989;
+   wire n_37993;
+   wire n_37994;
+   wire n_37995;
+   wire n_37996;
+   wire n_38004;
+   wire n_38034;
+   wire n_38038;
+   wire n_38039;
+   wire n_38041;
+   wire n_38042;
+   wire n_38043;
+   wire n_38044;
+   wire n_38046;
+   wire n_38047;
+   wire n_38048;
+   wire n_38049;
+   wire n_38054;
+   wire n_38055;
+   wire n_38065;
+   wire n_38066;
+   wire n_38079;
+   wire n_38081;
+   wire n_38083;
+   wire n_38084;
+   wire n_38085;
+   wire n_38086;
+   wire n_38089;
+   wire n_38092;
+   wire n_38093;
+   wire n_38094;
+   wire n_38114;
+   wire n_38115;
+   wire n_38117;
+   wire n_38120;
+   wire n_38121;
+   wire n_38122;
+   wire n_38139;
+   wire n_38164;
+   wire n_38170;
+   wire n_38172;
+   wire n_38173;
+   wire n_38191;
+   wire n_38198;
+   wire n_38229;
+   wire n_38239;
+   wire n_38242;
+   wire n_38243;
+   wire n_38266;
+   wire n_38268;
+   wire n_38290;
+   wire n_38292;
+   wire n_38300;
+   wire n_38315;
+   wire n_38324;
+   wire n_38327;
+   wire n_38334;
+   wire n_38335;
+   wire n_38339;
+   wire n_38347;
+   wire n_38349;
+   wire n_38354;
+   wire n_38361;
+   wire n_38366;
+   wire n_38367;
+   wire n_38382;
+   wire n_38387;
+   wire n_38388;
+   wire n_38395;
+   wire n_38399;
+   wire n_38408;
+   wire n_38439;
+   wire n_38450;
+   wire n_38451;
+   wire n_38453;
+   wire n_38454;
+   wire n_38456;
+   wire n_38457;
+   wire n_38458;
+   wire n_38470;
+   wire n_38472;
+   wire n_38474;
+   wire n_38475;
+   wire n_38476;
+   wire n_38477;
+   wire n_38500;
+   wire n_38501;
+   wire n_38773;
+   wire n_38781;
+   wire n_38782;
+   wire n_38788;
+   wire n_38798;
+   wire n_38801;
+   wire n_38803;
+   wire n_38805;
+   wire n_38806;
+   wire n_38807;
+   wire n_38819;
+   wire n_38823;
+   wire n_38872;
+   wire n_38873;
+   wire n_38878;
+   wire n_38881;
+   wire n_38882;
+   wire n_38883;
+   wire n_38885;
+   wire n_38888;
+   wire n_38889;
+   wire n_38894;
+   wire n_38895;
+   wire n_38899;
+   wire n_38902;
+   wire n_38907;
+   wire n_38960;
+   wire n_38967;
+   wire n_38975;
+   wire n_38994;
+   wire n_39007;
+   wire n_39008;
+   wire n_39077;
+   wire n_39110;
+   wire n_39117;
+   wire n_39141;
+   wire n_39146;
+   wire n_39151;
+   wire n_39152;
+   wire n_39162;
+   wire n_39165;
+   wire n_39166;
+   wire n_39210;
+   wire n_39233;
+   wire n_39238;
+   wire n_39240;
+   wire n_39243;
+   wire n_39244;
+   wire n_39286;
+   wire n_39512;
+   wire n_39513;
+   wire n_39515;
+   wire n_39532;
+   wire n_39533;
+   wire n_39535;
+   wire n_39587;
+   wire n_39590;
+   wire n_39595;
+   wire n_39598;
+   wire n_39599;
+   wire n_39600;
+   wire n_39610;
+   wire n_39613;
+   wire n_39617;
+   wire n_39622;
+   wire n_39637;
+   wire n_39639;
+   wire n_39642;
+   wire n_39654;
+   wire n_39655;
+   wire n_39656;
+   wire n_39661;
+   wire n_39662;
+   wire n_39663;
+   wire n_39666;
+   wire n_39671;
+   wire n_39672;
+   wire n_39715;
+   wire n_39716;
+   wire n_39717;
+   wire n_39718;
+   wire n_39720;
+   wire n_39721;
+   wire n_39722;
+   wire n_39723;
+   wire n_39724;
+   wire n_39725;
+   wire n_39726;
+   wire n_39727;
+   wire n_39728;
+   wire n_39729;
+   wire n_39740;
+   wire n_39741;
+   wire n_39743;
+   wire n_39748;
+   wire n_39751;
+   wire n_39804;
+   wire n_39805;
+   wire n_39809;
+   wire n_39810;
+   wire n_39840;
+   wire n_39847;
+   wire n_39867;
+   wire n_39883;
+   wire n_39894;
+   wire n_39895;
+   wire n_39900;
+   wire n_39903;
+   wire n_39904;
+   wire n_39907;
+   wire n_39909;
+   wire n_39911;
+   wire n_39916;
+   wire n_39921;
+   wire n_39922;
+   wire n_39930;
+   wire n_39931;
+   wire n_39934;
+   wire n_39936;
+   wire n_39937;
+   wire n_39941;
+   wire n_39942;
+   wire n_39944;
+   wire n_39946;
+   wire n_39952;
+   wire n_39957;
+   wire n_39961;
+   wire n_39962;
+   wire n_39964;
+   wire n_39965;
+   wire n_39966;
+   wire n_39968;
+   wire n_39969;
+   wire n_39970;
+   wire n_39971;
+   wire n_39972;
+   wire n_39991;
+   wire n_39993;
+   wire n_39995;
+   wire n_40006;
+   wire n_40007;
+   wire n_40008;
+   wire n_40009;
+   wire n_40011;
+   wire n_40013;
+   wire n_40014;
+   wire n_40015;
+   wire n_40018;
+   wire n_40019;
+   wire n_40021;
+   wire n_40023;
+   wire n_40024;
+   wire n_40039;
+   wire n_40052;
+   wire n_40056;
+   wire n_40060;
+   wire n_40066;
+   wire n_40070;
+   wire n_40072;
+   wire n_40073;
+   wire n_40128;
+   wire n_40131;
+   wire n_40134;
+   wire n_40135;
+   wire n_40146;
+   wire n_40149;
+   wire n_40154;
+   wire n_40155;
+   wire n_40156;
+   wire n_40181;
+   wire n_40184;
+   wire n_40424;
+   wire n_40426;
+   wire n_40428;
+   wire n_40430;
+   wire n_40432;
+   wire n_40433;
+   wire n_40434;
+   wire n_40435;
+   wire n_40438;
+   wire n_40441;
+   wire n_40442;
+   wire n_40443;
+   wire n_40444;
+   wire n_40445;
+   wire n_40447;
+   wire n_40448;
+   wire n_40449;
+   wire n_40452;
+   wire n_40453;
+   wire n_40534;
+   wire n_40536;
+   wire n_40537;
+   wire n_40540;
+   wire n_40542;
+   wire n_40546;
+   wire n_40564;
+   wire n_40565;
+   wire n_40626;
+   wire n_40629;
+   wire n_40630;
+   wire n_40634;
+   wire n_40635;
+   wire n_40637;
+   wire n_40638;
+   wire n_40643;
+   wire n_40645;
+   wire n_40646;
+   wire n_40647;
+   wire n_40655;
+   wire n_40660;
+   wire n_40679;
+   wire n_40688;
+   wire n_40691;
+   wire n_40712;
+   wire n_40732;
+   wire n_40737;
+   wire n_40825;
+   wire n_40828;
+   wire n_40830;
+   wire n_40841;
+   wire n_40843;
+   wire n_40850;
+   wire n_40851;
+   wire n_40894;
+   wire n_40919;
+   wire n_41015;
+   wire n_41034;
+   wire n_41047;
+   wire n_41105;
+   wire n_41118;
+   wire n_41122;
+   wire n_41125;
+   wire n_41127;
+   wire n_41132;
+   wire n_41134;
+   wire n_41135;
+   wire n_41155;
+   wire n_41156;
+   wire n_41170;
+   wire n_41171;
+   wire n_41199;
+   wire n_41202;
+   wire n_41208;
+   wire n_41210;
+   wire n_41212;
+   wire n_41213;
+   wire n_41214;
+   wire n_41216;
+   wire n_41315;
+   wire n_41317;
+   wire n_41318;
+   wire n_41319;
+   wire n_41320;
+   wire n_41321;
+   wire n_41418;
+   wire n_41420;
+   wire n_41421;
+   wire n_41606;
+   wire n_41607;
+   wire n_41649;
+   wire n_41659;
+   wire n_41660;
+   wire n_41663;
+   wire n_41664;
+   wire n_41665;
+   wire n_41671;
+   wire n_41672;
+   wire n_41676;
+   wire n_41677;
+   wire n_41693;
+   wire n_41730;
+   wire n_41733;
+   wire n_41737;
+   wire n_41790;
+   wire n_41812;
+   wire n_41817;
+   wire n_41818;
+   wire n_41821;
+   wire n_41823;
+   wire n_41843;
+   wire n_41856;
+   wire n_41953;
+   wire n_41955;
+   wire n_41956;
+   wire n_41959;
+   wire n_41964;
+   wire n_41965;
+   wire n_41966;
+   wire n_41968;
+   wire n_41969;
+   wire n_41971;
+   wire n_41972;
+   wire n_42216;
+   wire n_42332;
+   wire n_42333;
+   wire n_42335;
+   wire n_42336;
+   wire n_42338;
+   wire n_42339;
+   wire n_42340;
+   wire n_42341;
+   wire n_42342;
+   wire n_42343;
+   wire n_42345;
+   wire n_42354;
+   wire n_42357;
+   wire n_42362;
+   wire n_42363;
+   wire n_42366;
+   wire n_42368;
+   wire n_42369;
+   wire n_42370;
+   wire n_42405;
+   wire n_42406;
+   wire n_42408;
+   wire n_42410;
+   wire n_42411;
+   wire n_42412;
+   wire n_42418;
+   wire n_42563;
+   wire n_42565;
+   wire n_42566;
+   wire n_42572;
+   wire n_42596;
+   wire n_42603;
+   wire n_42604;
+   wire n_42606;
+   wire n_42607;
+   wire n_42608;
+   wire n_42610;
+   wire n_42613;
+   wire n_42629;
+   wire n_42630;
+   wire n_42631;
+   wire n_42633;
+   wire n_42634;
+   wire n_42640;
+   wire n_42641;
+   wire n_42644;
+   wire n_42646;
+   wire n_42649;
+   wire n_42650;
+   wire n_42655;
+   wire n_42656;
+   wire n_42672;
+   wire n_42676;
+   wire n_42682;
+   wire n_42685;
+   wire n_42691;
+   wire n_42698;
+   wire n_42700;
+   wire n_42701;
+   wire n_42704;
+   wire n_42705;
+   wire n_42715;
+   wire n_42716;
+   wire n_42719;
+   wire n_42720;
+   wire n_42726;
+   wire n_42728;
+   wire n_42745;
+   wire n_42760;
+   wire n_42763;
+   wire n_42764;
+   wire n_42770;
+   wire n_42773;
+   wire n_42775;
+   wire n_42776;
+   wire n_42781;
+   wire n_42782;
+   wire n_42784;
+   wire n_42801;
+   wire n_42815;
+   wire n_42832;
+   wire n_42838;
+   wire n_42852;
+   wire n_42853;
+   wire n_42856;
+   wire n_42887;
+   wire n_42889;
+   wire n_42891;
+   wire n_42892;
+   wire n_43083;
+   wire n_43085;
+   wire n_43086;
+   wire n_43087;
+   wire n_43088;
+   wire n_43089;
+   wire n_43096;
+   wire n_43099;
+   wire n_43108;
+   wire n_43113;
+   wire n_43127;
+   wire n_43128;
+   wire n_43129;
+   wire n_43131;
+   wire n_43132;
+   wire n_43133;
+   wire n_43134;
+   wire n_43147;
+   wire n_43152;
+   wire n_43154;
+   wire n_43178;
+   wire n_43186;
+   wire n_43191;
+   wire n_43195;
+   wire n_43205;
+   wire n_43209;
+   wire n_43215;
+   wire n_43221;
+   wire n_43226;
+   wire n_43227;
+   wire n_43229;
+   wire n_43230;
+   wire n_43231;
+   wire n_43238;
+   wire n_43242;
+   wire n_43245;
+   wire n_43246;
+   wire n_43266;
+   wire n_43268;
+   wire n_43274;
+   wire n_43276;
+   wire n_43363;
+   wire n_43372;
+   wire n_43376;
+   wire n_43378;
+   wire n_43384;
+   wire n_43389;
+   wire n_43391;
+   wire n_43395;
+   wire n_43498;
+   wire n_43502;
+   wire n_43517;
+   wire n_43535;
+   wire n_43537;
+   wire n_43540;
+   wire n_43541;
+   wire n_43546;
+   wire n_43547;
+   wire n_43548;
+   wire n_43775;
+   wire n_43776;
+   wire n_43777;
+   wire n_43914;
+   wire n_43916;
+   wire n_43918;
+   wire n_43919;
+   wire n_43925;
+   wire n_43930;
+   wire n_43933;
+   wire n_43935;
+   wire n_43936;
+   wire n_43941;
+   wire n_43947;
+   wire n_43977;
+   wire n_43995;
+   wire n_43997;
+   wire n_44002;
+   wire n_44012;
+   wire n_44029;
+   wire n_44031;
+   wire n_44059;
+   wire n_44060;
+   wire n_44061;
+   wire n_44063;
+   wire n_44064;
+   wire n_44080;
+   wire n_44082;
+   wire n_44083;
+   wire n_44380;
+   wire n_44385;
+   wire n_44387;
+   wire n_44391;
+   wire n_44392;
+   wire n_44393;
+   wire n_44394;
+   wire n_44395;
+   wire n_44396;
+   wire n_44397;
+   wire n_44401;
+   wire n_44403;
+   wire n_44406;
+   wire n_44408;
+   wire n_44409;
+   wire n_44411;
+   wire n_44420;
+   wire n_44422;
+   wire n_44447;
+   wire n_44449;
+   wire n_44452;
+   wire n_44456;
+   wire n_44457;
+   wire n_44458;
+   wire n_44460;
+   wire n_44461;
+   wire n_44462;
+   wire n_44463;
+   wire n_44464;
+   wire n_44466;
+   wire n_44469;
+   wire n_44475;
+   wire n_44476;
+   wire n_44477;
+   wire n_44478;
+   wire n_44479;
+   wire n_44546;
+   wire n_44550;
+   wire n_44551;
+   wire n_44553;
+   wire n_44554;
+   wire n_44556;
+   wire n_44557;
+   wire n_44899;
+   wire n_44900;
+   wire n_44903;
+   wire n_44905;
+   wire n_44961;
+   wire n_44962;
+   wire n_44963;
+   wire n_44964;
+   wire n_44965;
+   wire n_44967;
+   wire n_44968;
+   wire n_44969;
+   wire n_44975;
+   wire n_44977;
+   wire n_44978;
+   wire n_44992;
+   wire n_45028;
+   wire n_45029;
+   wire n_45033;
+   wire n_45037;
+   wire n_45038;
+   wire n_45040;
+   wire n_45041;
+   wire n_45042;
+   wire n_45046;
+   wire n_45047;
+   wire n_45049;
+   wire n_45050;
+   wire n_45051;
+   wire n_45053;
+   wire n_45300;
+   wire n_45303;
+   wire n_45305;
+   wire n_45308;
+   wire n_45447;
+   wire n_45451;
+   wire n_45516;
+   wire n_45525;
+   wire n_45527;
+   wire n_45528;
+   wire n_45530;
+   wire n_45534;
+   wire n_45535;
+   wire n_45536;
+   wire n_45543;
+   wire n_45811;
+   wire n_45812;
+   wire n_45813;
+   wire n_45815;
+   wire n_45817;
+   wire n_45822;
+   wire n_45836;
+   wire n_45837;
+   wire n_45838;
+   wire n_45840;
+   wire n_45841;
+   wire n_45897;
+   wire n_45911;
+   wire n_45919;
+   wire n_45921;
+   wire n_45928;
+   wire n_45929;
+   wire n_45933;
+   wire n_45937;
+   wire n_45938;
+   wire n_46199;
+   wire n_46205;
+   wire n_46208;
+   wire n_46209;
+   wire n_46210;
+   wire n_46212;
+   wire n_46213;
+   wire n_46220;
+   wire n_46253;
+   wire n_46257;
+   wire n_46259;
+   wire n_46260;
+   wire n_46261;
+   wire n_46270;
+   wire n_46271;
+   wire n_46272;
+   wire n_46273;
+   wire n_46274;
+   wire n_46287;
+   wire n_46290;
+   wire n_46291;
+   wire n_46292;
+   wire n_46293;
+   wire n_46294;
+   wire n_46295;
+   wire n_46296;
+   wire n_46297;
+   wire n_46299;
+   wire n_46313;
+   wire n_46315;
+   wire n_46317;
+   wire n_46319;
+   wire n_46325;
+   wire n_46326;
+   wire n_46327;
+   wire n_46328;
+   wire n_46329;
+   wire n_46333;
+   wire n_46334;
+   wire n_46335;
+   wire n_46349;
+   wire n_46350;
+   wire n_46358;
+   wire n_46359;
+   wire n_46372;
+   wire n_46373;
+   wire n_46376;
+   wire n_46379;
+   wire n_46639;
+   wire n_46644;
+   wire n_46646;
+   wire n_46707;
+   wire n_46724;
+   wire n_46726;
+   wire n_46727;
+   wire n_46730;
+   wire n_46732;
+   wire n_46740;
+   wire n_46948;
+   wire n_46949;
+   wire n_46963;
+   wire n_46968;
+   wire n_47000;
+   wire n_47034;
+   wire n_47037;
+   wire n_47042;
+   wire n_47048;
+   wire n_47051;
+   wire n_47052;
+   wire n_47057;
+   wire n_47060;
+   wire n_47061;
+   wire n_47064;
+   wire n_47068;
+   wire n_47069;
+   wire n_47070;
+   wire n_47216;
+   wire n_47218;
+   wire n_47532;
+   wire n_47591;
+   wire n_47592;
+   wire n_47594;
+   wire n_47595;
+   wire n_47598;
+   wire n_47674;
+   wire n_47714;
+   wire n_47723;
+   wire n_47725;
+   wire n_47726;
+   wire n_47728;
+   wire n_47729;
+   wire n_47731;
+   wire n_47734;
+   wire n_47735;
+   wire n_47736;
+   wire n_47737;
+   wire n_47738;
+   wire n_47743;
+   wire n_47753;
+   wire n_47754;
+   wire n_47757;
+   wire n_47758;
+   wire n_47759;
+   wire n_47760;
+   wire n_47763;
+   wire n_47765;
+   wire n_47766;
+   wire n_47768;
+   wire n_47769;
+   wire n_47786;
+   wire n_47787;
+   wire n_47788;
+   wire n_47794;
+   wire n_47796;
+   wire n_47797;
+   wire n_47798;
+   wire n_47799;
+   wire n_47800;
+   wire n_47802;
+   wire n_47808;
+   wire n_47819;
+   wire n_47824;
+   wire n_47825;
+   wire n_47826;
+   wire n_47832;
+   wire n_48240;
+   wire n_48245;
+   wire n_48246;
+   wire n_48251;
+   wire n_48252;
+   wire n_48254;
+   wire n_48255;
+   wire n_48308;
+   wire n_48309;
+   wire n_48310;
+   wire n_48345;
+   wire n_48408;
+   wire n_48435;
+   wire n_48439;
+   wire n_48458;
+   wire n_48459;
+   wire n_48469;
+   wire n_48470;
+   wire n_48479;
+   wire n_48482;
+   wire n_48483;
+   wire n_48501;
+   wire n_48502;
+   wire n_48506;
+   wire n_48507;
+   wire n_48510;
+   wire n_48511;
+   wire n_48521;
+   wire n_48523;
+   wire n_48524;
+   wire n_48526;
+   wire n_48530;
+   wire n_48531;
+   wire n_48533;
+   wire n_48534;
+   wire n_48537;
+   wire n_48538;
+   wire n_48933;
+   wire n_48934;
+   wire n_48936;
+   wire n_48939;
+   wire n_48943;
+   wire n_48944;
+   wire n_48951;
+   wire n_48952;
+   wire n_48954;
+   wire n_48955;
+   wire n_48956;
+   wire n_48957;
+   wire n_48960;
+   wire n_49001;
+   wire n_49003;
+   wire n_49006;
+   wire n_49061;
+   wire n_49079;
+   wire n_49081;
+   wire n_49082;
+   wire n_49084;
+   wire n_49085;
+   wire n_49086;
+   wire n_49088;
+   wire n_49089;
+   wire n_49285;
+   wire n_49286;
+   wire n_49289;
+   wire n_49428;
+   wire n_49432;
+   wire n_49436;
+   wire n_49437;
+   wire n_49438;
+   wire n_49441;
+   wire n_49445;
+   wire n_49446;
+   wire n_49506;
+   wire n_49507;
+   wire n_49511;
+   wire n_49512;
+   wire n_49513;
+   wire n_49524;
+   wire n_49533;
+   wire n_49538;
+   wire n_49539;
+   wire n_49540;
+   wire n_49541;
+   wire n_49544;
+   wire n_49547;
+   wire n_49552;
+   wire n_49554;
+   wire n_49555;
+   wire n_49557;
+   wire n_50012;
+   wire n_50013;
+   wire n_50014;
+   wire n_50030;
+   wire n_50032;
+   wire n_50033;
+   wire n_50035;
+   wire n_50037;
+   wire n_50045;
+   wire n_50046;
+   wire n_50048;
+   wire n_50070;
+   wire n_50076;
+   wire n_50099;
+   wire n_50101;
+   wire n_50104;
+   wire n_50119;
+   wire n_50243;
+   wire n_50252;
+   wire n_50265;
+   wire n_50522;
+   wire n_50523;
+   wire n_50528;
+   wire n_50543;
+   wire n_50544;
+   wire n_50547;
+   wire n_50552;
+   wire n_50555;
+   wire n_50559;
+   wire n_50560;
+   wire n_50561;
+   wire n_50567;
+   wire n_50569;
+   wire n_50578;
+   wire n_50579;
+   wire n_50585;
+   wire n_50586;
+   wire n_51091;
+   wire n_51093;
+   wire n_51095;
+   wire n_51101;
+   wire n_51114;
+   wire n_51478;
+   wire n_51481;
+   wire n_51483;
+   wire n_51484;
+   wire n_51568;
+   wire n_51570;
+   wire n_52570;
+   wire n_53228;
+   wire n_53229;
+   wire n_53231;
+   wire n_53238;
+   wire n_53273;
+   wire n_53275;
+   wire n_53283;
+   wire n_53284;
+   wire n_53287;
+   wire n_53295;
+   wire n_53299;
+   wire n_53319;
+   wire n_53320;
+   wire n_53321;
+   wire n_53322;
+   wire n_53323;
+   wire n_53333;
+   wire n_53336;
+   wire n_53340;
+   wire n_53341;
+   wire n_53347;
+   wire n_53350;
+   wire n_53351;
+   wire n_53352;
+   wire n_53353;
+   wire n_53354;
+   wire n_53355;
+   wire n_53357;
+   wire n_53359;
+   wire n_53360;
+   wire n_53361;
+   wire n_53362;
+   wire n_53366;
+   wire n_53367;
+   wire n_53368;
+   wire n_53369;
+   wire n_53385;
+   wire n_53386;
+   wire n_53422;
+   wire n_53423;
+   wire n_53424;
+   wire n_53437;
+   wire n_53438;
+   wire n_53439;
+   wire n_53485;
+   wire n_53506;
+   wire n_53508;
+   wire n_53509;
+   wire n_53510;
+   wire n_53525;
+   wire n_53530;
+   wire n_53531;
+   wire n_53536;
+   wire n_53538;
+   wire n_53540;
+   wire n_53545;
+   wire n_53547;
+   wire n_53552;
+   wire n_53555;
+   wire n_53556;
+   wire n_53557;
+   wire n_53567;
+   wire n_53569;
+   wire n_53570;
+   wire n_53571;
+   wire n_53578;
+   wire n_53581;
+   wire n_53582;
+   wire n_53590;
+   wire n_53591;
+   wire n_53592;
+   wire n_53593;
+   wire n_53594;
+   wire n_53595;
+   wire n_53599;
+   wire n_53600;
+   wire n_53601;
+   wire n_53604;
+   wire n_53612;
+   wire n_53613;
+   wire n_53629;
+   wire n_53631;
+   wire n_53632;
+   wire n_53641;
+   wire n_53642;
+   wire n_53643;
+   wire n_53647;
+   wire n_53648;
+   wire n_53649;
+   wire n_53655;
+   wire n_53659;
+   wire n_53661;
+   wire n_53662;
+   wire n_53693;
+   wire n_53695;
+   wire n_53701;
+   wire n_53702;
+   wire n_53704;
+   wire n_53707;
+   wire n_53716;
+   wire n_53719;
+   wire n_53726;
+   wire n_53728;
+   wire n_53731;
+   wire n_53737;
+   wire n_53738;
+   wire n_53753;
+   wire n_53755;
+   wire n_53768;
+   wire n_53769;
+   wire n_53772;
+   wire n_53775;
+   wire n_53776;
+   wire n_53787;
+   wire n_53793;
+   wire n_53812;
+   wire n_53817;
+   wire n_53829;
+   wire n_53836;
+   wire n_53842;
+   wire n_53845;
+   wire n_53846;
+   wire n_53847;
+   wire n_53848;
+   wire n_53849;
+   wire n_53852;
+   wire n_53856;
+   wire n_53867;
+   wire n_53869;
+   wire n_53872;
+   wire n_53873;
+   wire n_53880;
+   wire n_53881;
+   wire n_53886;
+   wire n_53887;
+   wire n_53889;
+   wire n_53890;
+   wire n_53892;
+   wire n_53893;
+   wire n_53894;
+   wire n_53935;
+   wire n_53936;
+   wire n_53937;
+   wire n_53942;
+   wire n_53943;
+   wire n_53957;
+   wire n_53958;
+   wire n_53959;
+   wire n_53960;
+   wire n_53963;
+   wire n_53967;
+   wire n_53969;
+   wire n_53970;
+   wire n_53972;
+   wire n_53973;
+   wire n_53974;
+   wire n_53975;
+   wire n_53983;
+   wire n_53994;
+   wire n_53998;
+   wire n_54005;
+   wire n_54009;
+   wire n_54010;
+   wire n_54011;
+   wire n_54012;
+   wire n_54015;
+   wire n_54020;
+   wire n_54030;
+   wire n_54033;
+   wire n_54034;
+   wire n_54037;
+   wire n_54041;
+   wire n_54042;
+   wire n_54046;
+   wire n_54048;
+   wire n_54050;
+   wire n_54051;
+   wire n_54052;
+   wire n_54054;
+   wire n_54065;
+   wire n_54078;
+   wire n_54079;
+   wire n_54080;
+   wire n_54081;
+   wire n_54082;
+   wire n_54086;
+   wire n_54087;
+   wire n_54093;
+   wire n_54101;
+   wire n_54102;
+   wire n_54105;
+   wire n_54109;
+   wire n_54110;
+   wire n_54111;
+   wire n_54121;
+   wire n_54123;
+   wire n_54173;
+   wire n_54181;
+   wire n_54185;
+   wire n_54187;
+   wire n_54205;
+   wire n_54207;
+   wire n_54209;
+   wire n_54210;
+   wire n_54215;
+   wire n_54218;
+   wire n_54266;
+   wire n_54267;
+   wire n_54268;
+   wire n_54271;
+   wire n_54294;
+   wire n_54295;
+   wire n_54309;
+   wire n_54311;
+   wire n_54318;
+   wire n_54321;
+   wire n_54322;
+   wire n_54323;
+   wire n_54325;
+   wire n_54330;
+   wire n_54332;
+   wire n_54337;
+   wire n_54338;
+   wire n_54341;
+   wire n_54342;
+   wire n_54343;
+   wire n_54344;
+   wire n_54345;
+   wire n_54348;
+   wire n_54352;
+   wire n_54353;
+   wire n_54354;
+   wire n_54355;
+   wire n_54356;
+   wire n_54364;
+   wire n_54365;
+   wire n_54373;
+   wire n_54376;
+   wire n_54377;
+   wire n_54378;
+   wire n_54385;
+   wire n_54400;
+   wire n_54401;
+   wire n_54402;
+   wire n_54405;
+   wire n_54406;
+   wire n_54410;
+   wire n_54411;
+   wire n_54412;
+   wire n_54413;
+   wire n_54419;
+   wire n_54420;
+   wire n_54421;
+   wire n_54422;
+   wire n_54423;
+   wire n_54424;
+   wire n_54429;
+   wire n_54430;
+   wire n_54431;
+   wire n_54432;
+   wire n_54433;
+   wire n_54434;
+   wire n_54435;
+   wire n_54436;
+   wire n_54437;
+   wire n_54438;
+   wire n_54439;
+   wire n_54440;
+   wire n_54441;
+   wire n_54444;
+   wire n_54445;
+   wire n_54449;
+   wire n_54450;
+   wire n_54454;
+   wire n_54455;
+   wire n_54456;
+   wire n_54458;
+   wire n_54459;
+   wire n_54463;
+   wire n_54464;
+   wire n_54474;
+   wire n_54476;
+   wire n_54477;
+   wire n_54480;
+   wire n_54492;
+   wire n_54494;
+   wire n_54513;
+   wire n_54518;
+   wire n_54527;
+   wire n_54530;
+   wire n_54532;
+   wire n_54533;
+   wire n_54534;
+   wire n_54535;
+   wire n_54538;
+   wire n_54539;
+   wire n_54545;
+   wire n_54546;
+   wire n_54547;
+   wire n_54548;
+   wire n_54549;
+   wire n_54550;
+   wire n_54554;
+   wire n_54555;
+   wire n_54556;
+   wire n_54557;
+   wire n_54558;
+   wire n_54559;
+   wire n_54560;
+   wire n_54562;
+   wire n_54563;
+   wire n_54564;
+   wire n_54566;
+   wire n_54567;
+   wire n_54568;
+   wire n_54569;
+   wire n_54570;
+   wire n_54573;
+   wire n_54574;
+   wire n_54575;
+   wire n_54577;
+   wire n_54578;
+   wire n_54579;
+   wire n_54580;
+   wire n_54586;
+   wire n_54587;
+   wire n_54589;
+   wire n_54590;
+   wire n_54591;
+   wire n_54618;
+   wire n_54619;
+   wire n_54620;
+   wire n_54672;
+   wire n_54675;
+   wire n_54681;
+   wire n_54682;
+   wire n_54683;
+   wire n_54691;
+   wire n_54692;
+   wire n_54695;
+   wire n_54698;
+   wire n_54700;
+   wire n_54701;
+   wire n_54702;
+   wire n_54703;
+   wire n_54754;
+   wire n_54757;
+   wire n_54758;
+   wire n_54759;
+   wire n_54760;
+   wire n_54802;
+   wire n_54803;
+   wire n_54862;
+   wire n_54865;
+   wire n_54867;
+   wire n_54921;
+   wire n_54922;
+   wire n_54928;
+   wire n_54935;
+   wire n_54937;
+   wire n_54938;
+   wire n_54954;
+   wire n_55001;
+   wire n_55011;
+   wire n_55015;
+   wire n_55019;
+   wire n_55023;
+   wire n_55026;
+   wire n_55029;
+   wire n_55030;
+   wire n_55031;
+   wire n_55032;
+   wire n_55036;
+   wire n_55039;
+   wire n_55040;
+   wire n_55041;
+   wire n_55043;
+   wire n_55045;
+   wire n_55050;
+   wire n_55051;
+   wire n_55056;
+   wire n_55067;
+   wire n_55068;
+   wire n_55070;
+   wire n_55071;
+   wire n_55072;
+   wire n_55073;
+   wire n_55083;
+   wire n_55086;
+   wire n_55088;
+   wire n_55089;
+   wire n_55090;
+   wire n_55093;
+   wire n_55094;
+   wire n_55097;
+   wire n_55099;
+   wire n_55100;
+   wire n_55106;
+   wire n_55108;
+   wire n_55109;
+   wire n_55110;
+   wire n_55115;
+   wire n_55119;
+   wire n_55123;
+   wire n_55125;
+   wire n_55132;
+   wire n_55135;
+   wire n_55137;
+   wire n_55139;
+   wire n_55141;
+   wire n_55144;
+   wire n_55164;
+   wire n_55165;
+   wire n_55166;
+   wire n_55171;
+   wire n_55179;
+   wire n_55180;
+   wire n_55181;
+   wire n_55185;
+   wire n_55186;
+   wire n_55187;
+   wire n_55188;
+   wire n_55189;
+   wire n_55190;
+   wire n_55191;
+   wire n_55192;
+   wire n_55193;
+   wire n_55198;
+   wire n_55205;
+   wire n_55207;
+   wire n_55208;
+   wire n_55237;
+   wire n_55241;
+   wire n_55246;
+   wire n_55248;
+   wire n_55249;
+   wire n_55250;
+   wire n_55253;
+   wire n_55254;
+   wire n_55262;
+   wire n_55263;
+   wire n_55265;
+   wire n_55267;
+   wire n_55268;
+   wire n_55269;
+   wire n_55283;
+   wire n_55285;
+   wire n_55286;
+   wire n_55288;
+   wire n_55296;
+   wire n_55297;
+   wire n_55299;
+   wire n_55300;
+   wire n_55310;
+   wire n_55323;
+   wire n_55337;
+   wire n_55346;
+   wire n_55347;
+   wire n_55349;
+   wire n_55355;
+   wire n_55356;
+   wire n_55357;
+   wire n_55361;
+   wire n_55365;
+   wire n_55367;
+   wire n_55368;
+   wire n_55369;
+   wire n_55372;
+   wire n_55373;
+   wire n_55377;
+   wire n_55379;
+   wire n_55381;
+   wire n_55382;
+   wire n_55383;
+   wire n_55384;
+   wire n_55386;
+   wire n_55387;
+   wire n_55388;
+   wire n_55389;
+   wire n_55394;
+   wire n_55395;
+   wire n_55396;
+   wire n_55424;
+   wire n_55429;
+   wire n_55431;
+   wire n_55432;
+   wire n_55470;
+   wire n_55471;
+   wire n_55472;
+   wire n_55475;
+   wire n_55477;
+   wire n_55478;
+   wire n_55480;
+   wire n_55484;
+   wire n_55485;
+   wire n_55488;
+   wire n_55489;
+   wire n_55490;
+   wire n_55491;
+   wire n_55495;
+   wire n_55496;
+   wire n_55497;
+   wire n_55500;
+   wire n_55504;
+   wire n_55507;
+   wire n_55509;
+   wire n_55512;
+   wire n_55513;
+   wire n_55514;
+   wire n_55516;
+   wire n_55520;
+   wire n_55521;
+   wire n_55522;
+   wire n_55525;
+   wire n_55529;
+   wire n_55532;
+   wire n_55533;
+   wire n_55534;
+   wire n_55539;
+   wire n_55540;
+   wire n_55541;
+   wire n_55544;
+   wire n_55546;
+   wire n_55548;
+   wire n_55551;
+   wire n_55552;
+   wire n_55554;
+   wire n_55558;
+   wire n_55587;
+   wire n_55588;
+   wire n_55589;
+   wire n_55592;
+   wire n_55595;
+   wire n_55601;
+   wire n_55602;
+   wire n_55603;
+   wire n_55612;
+   wire n_55613;
+   wire n_55614;
+   wire n_55624;
+   wire n_55634;
+   wire n_55637;
+   wire n_55640;
+   wire n_55641;
+   wire n_55643;
+   wire n_55645;
+   wire n_55646;
+   wire n_55659;
+   wire n_55660;
+   wire n_55662;
+   wire n_55690;
+   wire n_55691;
+   wire n_55692;
+   wire n_55693;
+   wire n_55694;
+   wire n_55695;
+   wire n_55698;
+   wire n_55699;
+   wire n_55700;
+   wire n_55703;
+   wire n_55704;
+   wire n_55705;
+   wire n_55707;
+   wire n_55708;
+   wire n_55709;
+   wire n_55710;
+   wire n_55713;
+   wire n_55717;
+   wire n_55718;
+   wire n_55719;
+   wire n_55726;
+   wire n_55727;
+   wire n_55732;
+   wire n_55738;
+   wire n_55739;
+   wire n_55746;
+   wire n_55747;
+   wire n_55760;
+   wire n_55761;
+   wire n_55774;
+   wire n_55781;
+   wire n_55782;
+   wire n_55788;
+   wire n_55789;
+   wire n_55790;
+   wire n_55794;
+   wire n_55796;
+   wire n_55804;
+   wire n_55808;
+   wire n_55811;
+   wire n_55812;
+   wire n_55814;
+   wire n_55816;
+   wire n_55817;
+   wire n_55821;
+   wire n_55822;
+   wire n_55824;
+   wire n_55826;
+   wire n_55827;
+   wire n_55864;
+   wire n_55867;
+   wire n_55868;
+   wire n_55869;
+   wire n_55871;
+   wire n_55872;
+   wire n_55877;
+   wire n_55878;
+   wire n_55879;
+   wire n_55880;
+   wire n_55882;
+   wire n_55897;
+   wire n_55909;
+   wire n_55910;
+   wire n_55911;
+   wire n_55912;
+   wire n_55913;
+   wire n_55918;
+   wire n_55919;
+   wire n_55920;
+   wire n_55921;
+   wire n_55922;
+   wire n_55923;
+   wire n_55924;
+   wire n_55926;
+   wire n_55927;
+   wire n_55928;
+   wire n_55929;
+   wire n_55933;
+   wire n_55934;
+   wire n_55935;
+   wire n_55936;
+   wire n_55939;
+   wire n_55950;
+   wire n_55952;
+   wire n_55953;
+   wire n_55954;
+   wire n_55956;
+   wire n_55961;
+   wire n_55963;
+   wire n_55964;
+   wire n_56029;
+   wire n_56030;
+   wire n_56031;
+   wire n_56032;
+   wire n_56033;
+   wire n_56034;
+   wire n_56082;
+   wire n_56083;
+   wire n_56084;
+   wire n_56085;
+   wire n_56086;
+   wire n_56099;
+   wire n_56100;
+   wire n_56102;
+   wire n_56105;
+   wire n_56106;
+   wire n_56107;
+   wire n_56108;
+   wire n_56109;
+   wire n_56113;
+   wire n_56114;
+   wire n_56115;
+   wire n_56116;
+   wire n_56126;
+   wire n_56127;
+   wire n_56131;
+   wire n_56159;
+   wire n_56166;
+   wire n_56168;
+   wire n_56169;
+   wire n_56170;
+   wire n_56174;
+   wire n_56181;
+   wire n_56182;
+   wire n_56183;
+   wire n_56185;
+   wire n_56186;
+   wire n_56187;
+   wire n_56202;
+   wire n_56226;
+   wire n_56232;
+   wire n_56243;
+   wire n_56252;
+   wire n_56257;
+   wire n_56260;
+   wire n_56261;
+   wire n_56262;
+   wire n_56263;
+   wire n_56264;
+   wire n_56265;
+   wire n_56266;
+   wire n_56268;
+   wire n_56278;
+   wire n_56279;
+   wire n_56280;
+   wire n_56284;
+   wire n_56285;
+   wire n_56359;
+   wire n_56366;
+   wire n_56367;
+   wire n_56368;
+   wire n_56405;
+   wire n_56406;
+   wire n_56407;
+   wire n_56411;
+   wire n_56412;
+   wire n_56415;
+   wire n_56422;
+   wire n_56428;
+   wire n_56429;
+   wire n_56488;
+   wire n_56490;
+   wire n_56492;
+   wire n_56495;
+   wire n_56497;
+   wire n_56499;
+   wire n_56502;
+   wire n_56503;
+   wire n_56505;
+   wire n_56506;
+   wire n_56508;
+   wire n_56511;
+   wire n_56517;
+   wire n_56519;
+   wire n_56523;
+   wire n_56524;
+   wire n_56544;
+   wire n_56546;
+   wire n_56547;
+   wire n_56548;
+   wire n_56551;
+   wire n_56553;
+   wire n_56555;
+   wire n_56558;
+   wire n_56570;
+   wire n_56572;
+   wire n_56573;
+   wire n_56574;
+   wire n_56575;
+   wire n_56588;
+   wire n_56591;
+   wire n_56592;
+   wire n_56594;
+   wire n_56598;
+   wire n_56601;
+   wire n_56606;
+   wire n_56607;
+   wire n_56608;
+   wire n_56619;
+   wire n_56622;
+   wire n_56623;
+   wire n_56624;
+   wire n_56625;
+   wire n_56653;
+   wire n_56659;
+   wire n_56660;
+   wire n_56661;
+   wire n_56662;
+   wire n_56663;
+   wire n_56666;
+   wire n_56673;
+   wire n_56674;
+   wire n_56675;
+   wire n_56682;
+   wire n_56686;
+   wire n_56691;
+   wire n_56693;
+   wire n_56694;
+   wire n_56698;
+   wire n_56701;
+   wire n_56702;
+   wire n_56722;
+   wire n_56735;
+   wire n_56744;
+   wire n_56745;
+   wire n_56746;
+   wire n_56749;
+   wire n_56750;
+   wire n_56751;
+   wire n_56753;
+   wire n_56756;
+   wire n_56762;
+   wire n_56767;
+   wire n_56768;
+   wire n_56772;
+   wire n_56777;
+   wire n_56785;
+   wire n_56829;
+   wire n_56833;
+   wire n_56835;
+   wire n_56840;
+   wire n_56854;
+   wire n_56855;
+   wire n_56876;
+   wire n_56879;
+   wire n_56880;
+   wire n_56882;
+   wire n_56885;
+   wire n_56886;
+   wire n_56897;
+   wire n_56898;
+   wire n_56899;
+   wire n_56904;
+   wire n_56905;
+   wire n_56906;
+   wire n_56910;
+   wire n_56911;
+   wire n_56914;
+   wire n_56915;
+   wire n_56916;
+   wire n_56922;
+   wire n_56936;
+   wire n_56948;
+   wire n_56951;
+   wire n_56952;
+   wire n_56956;
+   wire n_56961;
+   wire n_56962;
+   wire n_56963;
+   wire n_56964;
+   wire n_56970;
+   wire n_56971;
+   wire n_56972;
+   wire n_56973;
+   wire n_56975;
+   wire n_56977;
+   wire n_56978;
+   wire n_56980;
+   wire n_56985;
+   wire n_56986;
+   wire n_56990;
+   wire n_56991;
+   wire n_56992;
+   wire n_56993;
+   wire n_57001;
+   wire n_57008;
+   wire n_57017;
+   wire n_57018;
+   wire n_57021;
+   wire n_57022;
+   wire n_57033;
+   wire n_57047;
+   wire n_57048;
+   wire n_57049;
+   wire n_57050;
+   wire n_57051;
+   wire n_57052;
+   wire n_57058;
+   wire n_57066;
+   wire n_57067;
+   wire n_57068;
+   wire n_57080;
+   wire n_57082;
+   wire n_57091;
+   wire n_57092;
+   wire n_57093;
+   wire n_57094;
+   wire n_57095;
+   wire n_57096;
+   wire n_57097;
+   wire n_57098;
+   wire n_57099;
+   wire n_57100;
+   wire n_57101;
+   wire n_57102;
+   wire n_57103;
+   wire n_57104;
+   wire n_57107;
+   wire n_57117;
+   wire n_57118;
+   wire n_57123;
+   wire n_57127;
+   wire n_57128;
+   wire n_57139;
+   wire n_57143;
+   wire n_57155;
+   wire n_57156;
+   wire n_57157;
+   wire n_57159;
+   wire n_57161;
+   wire n_57163;
+   wire n_57164;
+   wire n_57165;
+   wire n_57166;
+   wire n_57167;
+   wire n_57168;
+   wire n_57169;
+   wire n_57170;
+   wire n_57184;
+   wire n_57185;
+   wire n_57189;
+   wire n_57190;
+   wire n_57191;
+   wire n_57194;
+   wire n_57202;
+   wire n_57207;
+   wire n_57208;
+   wire n_57212;
+   wire n_57213;
+   wire n_57214;
+   wire n_57224;
+   wire n_57225;
+   wire n_57226;
+   wire n_57227;
+   wire n_57230;
+   wire n_57236;
+   wire n_57240;
+   wire n_57252;
+   wire n_57259;
+   wire n_57260;
+   wire n_57261;
+   wire n_57262;
+   wire n_57265;
+   wire n_57267;
+   wire n_57269;
+   wire n_57275;
+   wire n_57276;
+   wire n_57277;
+   wire n_57278;
+   wire n_57279;
+   wire n_57280;
+   wire n_57281;
+   wire n_57282;
+   wire n_57283;
+   wire n_57284;
+   wire n_57285;
+   wire n_57286;
+   wire n_57289;
+   wire n_57300;
+   wire n_57302;
+   wire n_57303;
+   wire n_57307;
+   wire n_57323;
+   wire n_57360;
+   wire n_57365;
+   wire n_57366;
+   wire n_57367;
+   wire n_57369;
+   wire n_57370;
+   wire n_57376;
+   wire n_57377;
+   wire n_57380;
+   wire n_57381;
+   wire n_57382;
+   wire n_57383;
+   wire n_57384;
+   wire n_57385;
+   wire n_57386;
+   wire n_57387;
+   wire n_57389;
+   wire n_57390;
+   wire n_57392;
+   wire n_57393;
+   wire n_57394;
+   wire n_57398;
+   wire n_57403;
+   wire n_57404;
+   wire n_57405;
+   wire n_57407;
+   wire n_57408;
+   wire n_57409;
+   wire n_57413;
+   wire n_57414;
+   wire n_57415;
+   wire n_57456;
+   wire n_57460;
+   wire n_57464;
+   wire n_57465;
+   wire n_57466;
+   wire n_57467;
+   wire n_57478;
+   wire n_57479;
+   wire n_57482;
+   wire n_57490;
+   wire n_57494;
+   wire n_57500;
+   wire n_57518;
+   wire n_57519;
+   wire n_57524;
+   wire n_57525;
+   wire n_57527;
+   wire n_57528;
+   wire n_57529;
+   wire n_57536;
+   wire n_57547;
+   wire n_57559;
+   wire n_57561;
+   wire n_57565;
+   wire n_57568;
+   wire n_57576;
+   wire n_57577;
+   wire n_57578;
+   wire n_57579;
+   wire n_57583;
+   wire n_57612;
+   wire n_57614;
+   wire n_57615;
+   wire n_57616;
+   wire n_57625;
+   wire n_57628;
+   wire n_57636;
+   wire n_57639;
+   wire n_57643;
+   wire n_57647;
+   wire n_57650;
+   wire n_57651;
+   wire n_57652;
+   wire n_57655;
+   wire n_57656;
+   wire n_57657;
+   wire n_57669;
+   wire n_57670;
+   wire n_57672;
+   wire n_57673;
+   wire n_57674;
+   wire n_57675;
+   wire n_57676;
+   wire n_57693;
+   wire n_57694;
+   wire n_57695;
+   wire n_57702;
+   wire n_57706;
+   wire n_57707;
+   wire n_57714;
+   wire n_57715;
+   wire n_57716;
+   wire n_57717;
+   wire n_57737;
+   wire n_57763;
+   wire n_57768;
+   wire n_57769;
+   wire n_57771;
+   wire n_57772;
+   wire n_57777;
+   wire n_57781;
+   wire n_57783;
+   wire n_57784;
+   wire n_57785;
+   wire n_57789;
+   wire n_57797;
+   wire n_57800;
+   wire n_57803;
+   wire n_57807;
+   wire n_57821;
+   wire n_57824;
+   wire n_57826;
+   wire n_57829;
+   wire n_57835;
+   wire n_57836;
+   wire n_57840;
+   wire n_57841;
+   wire n_57844;
+   wire n_57852;
+   wire n_57861;
+   wire n_57862;
+   wire n_57863;
+   wire n_57864;
+   wire n_57886;
+   wire n_57887;
+   wire n_57888;
+   wire n_57889;
+   wire n_57890;
+   wire n_57892;
+   wire n_57893;
+   wire n_57894;
+   wire n_57896;
+   wire n_57899;
+   wire n_57900;
+   wire n_57910;
+   wire n_57911;
+   wire n_57912;
+   wire n_57913;
+   wire n_57915;
+   wire n_57918;
+   wire n_57919;
+   wire n_57920;
+   wire n_57928;
+   wire n_57929;
+   wire n_57930;
+   wire n_57931;
+   wire n_57932;
+   wire n_57964;
+   wire n_57965;
+   wire n_57966;
+   wire n_57967;
+   wire n_57992;
+   wire n_57998;
+   wire n_58002;
+   wire n_58014;
+   wire n_58017;
+   wire n_58018;
+   wire n_58019;
+   wire n_58020;
+   wire n_58021;
+   wire n_58023;
+   wire n_58024;
+   wire n_58025;
+   wire n_58026;
+   wire n_58029;
+   wire n_58030;
+   wire n_58031;
+   wire n_58033;
+   wire n_58035;
+   wire n_58036;
+   wire n_58039;
+   wire n_58044;
+   wire n_58046;
+   wire n_58047;
+   wire n_58054;
+   wire n_58059;
+   wire n_58061;
+   wire n_58062;
+   wire n_58073;
+   wire n_58076;
+   wire n_58077;
+   wire n_58079;
+   wire n_58083;
+   wire n_58088;
+   wire n_58089;
+   wire n_58092;
+   wire n_58094;
+   wire n_58095;
+   wire n_58101;
+   wire n_58104;
+   wire n_58126;
+   wire n_58127;
+   wire n_58128;
+   wire n_58129;
+   wire n_58159;
+   wire n_58160;
+   wire n_58161;
+   wire n_58162;
+   wire n_58163;
+   wire n_58164;
+   wire n_58169;
+   wire n_58177;
+   wire n_58179;
+   wire n_58183;
+   wire n_58184;
+   wire n_58186;
+   wire n_58189;
+   wire n_58192;
+   wire n_58195;
+   wire n_58196;
+   wire n_58203;
+   wire n_58216;
+   wire n_58219;
+   wire n_58220;
+   wire n_58224;
+   wire n_58225;
+   wire n_58226;
+   wire n_58228;
+   wire n_58229;
+   wire n_58231;
+   wire n_58235;
+   wire n_58236;
+   wire n_58238;
+   wire n_58239;
+   wire n_58240;
+   wire n_58241;
+   wire n_58243;
+   wire n_58245;
+   wire n_58246;
+   wire n_58255;
+   wire n_58262;
+   wire n_58264;
+   wire n_58265;
+   wire n_58266;
+   wire n_58267;
+   wire n_58268;
+   wire n_58271;
+   wire n_58282;
+   wire n_58285;
+   wire n_58286;
+   wire n_58287;
+   wire n_58289;
+   wire n_58290;
+   wire n_58301;
+   wire n_58302;
+   wire n_58303;
+   wire n_58304;
+   wire n_58305;
+   wire n_58306;
+   wire n_58308;
+   wire n_58311;
+   wire n_58312;
+   wire n_58314;
+   wire n_58322;
+   wire n_58345;
+   wire n_58346;
+   wire n_58347;
+   wire n_58348;
+   wire n_58349;
+   wire n_58350;
+   wire n_58360;
+   wire n_58361;
+   wire n_58364;
+   wire n_58366;
+   wire n_58367;
+   wire n_58368;
+   wire n_58371;
+   wire n_58376;
+   wire n_58378;
+   wire n_58382;
+   wire n_58383;
+   wire n_58384;
+   wire n_58386;
+   wire n_58387;
+   wire n_58390;
+   wire n_58391;
+   wire n_58392;
+   wire n_58393;
+   wire n_58396;
+   wire n_58397;
+   wire n_58399;
+   wire n_58405;
+   wire n_58406;
+   wire n_58408;
+   wire n_58409;
+   wire n_58418;
+   wire n_58426;
+   wire n_58448;
+   wire n_58451;
+   wire n_58457;
+   wire n_58458;
+   wire n_58463;
+   wire n_58466;
+   wire n_58467;
+   wire n_58468;
+   wire n_58471;
+   wire n_58472;
+   wire n_58474;
+   wire n_58475;
+   wire n_58476;
+   wire n_58491;
+   wire n_58492;
+   wire n_58495;
+   wire n_58496;
+   wire n_58499;
+   wire n_58501;
+   wire n_58502;
+   wire n_58503;
+   wire n_58504;
+   wire n_58518;
+   wire n_58522;
+   wire n_58523;
+   wire n_58525;
+   wire n_58527;
+   wire n_58528;
+   wire n_58531;
+   wire n_58534;
+   wire n_58536;
+   wire n_58537;
+   wire n_58541;
+   wire n_58542;
+   wire n_58543;
+   wire n_58548;
+   wire n_58582;
+   wire n_58590;
+   wire n_58594;
+   wire n_58605;
+   wire n_58607;
+   wire n_58611;
+   wire n_58612;
+   wire n_58613;
+   wire n_58614;
+   wire n_58615;
+   wire n_58629;
+   wire n_58633;
+   wire n_58634;
+   wire n_58635;
+   wire n_58637;
+   wire n_58638;
+   wire n_58639;
+   wire n_58647;
+   wire n_58648;
+   wire n_58650;
+   wire n_58652;
+   wire n_58654;
+   wire n_58656;
+   wire n_58657;
+   wire n_58660;
+   wire n_58663;
+   wire n_58664;
+   wire n_58669;
+   wire n_58675;
+   wire n_58680;
+   wire n_58683;
+   wire n_58696;
+   wire n_58697;
+   wire n_58698;
+   wire n_58699;
+   wire n_58700;
+   wire n_58701;
+   wire n_58702;
+   wire n_58705;
+   wire n_58706;
+   wire n_58714;
+   wire n_58715;
+   wire n_58716;
+   wire n_58717;
+   wire n_58721;
+   wire n_58722;
+   wire n_58723;
+   wire n_58728;
+   wire n_58731;
+   wire n_58735;
+   wire n_58741;
+   wire n_58742;
+   wire n_58743;
+   wire n_58745;
+   wire n_58754;
+   wire n_58755;
+   wire n_58756;
+   wire n_58757;
+   wire n_58758;
+   wire n_58759;
+   wire n_58760;
+   wire n_58761;
+   wire n_58762;
+   wire n_58763;
+   wire n_58764;
+   wire n_58775;
+   wire n_58780;
+   wire n_58784;
+   wire n_58789;
+   wire n_58790;
+   wire n_58795;
+   wire n_58796;
+   wire n_58800;
+   wire n_58801;
+   wire n_58805;
+   wire n_58812;
+   wire n_58815;
+   wire n_58816;
+   wire n_58820;
+   wire n_58821;
+   wire n_58826;
+   wire n_58827;
+   wire n_58828;
+   wire n_58838;
+   wire n_58847;
+   wire n_58850;
+   wire n_58852;
+   wire n_58854;
+   wire n_58860;
+   wire n_58861;
+   wire n_58889;
+   wire n_58890;
+   wire n_58891;
+   wire n_58893;
+   wire n_58894;
+   wire n_58896;
+   wire n_58897;
+   wire n_58898;
+   wire n_58919;
+   wire n_58920;
+   wire n_58921;
+   wire n_58922;
+   wire n_58923;
+   wire n_58924;
+   wire n_58926;
+   wire n_58928;
+   wire n_58930;
+   wire n_58931;
+   wire n_58932;
+   wire n_58933;
+   wire n_58934;
+   wire n_58935;
+   wire n_58960;
+   wire n_58961;
+   wire n_58962;
+   wire n_58963;
+   wire n_58974;
+   wire n_58975;
+   wire n_58976;
+   wire n_58978;
+   wire n_58981;
+   wire n_58982;
+   wire n_58985;
+   wire n_58990;
+   wire n_58991;
+   wire n_58996;
+   wire n_58997;
+   wire n_58998;
+   wire n_58999;
+   wire n_59006;
+   wire n_59007;
+   wire n_59011;
+   wire n_59014;
+   wire n_59015;
+   wire n_59019;
+   wire n_59020;
+   wire n_59021;
+   wire n_59022;
+   wire n_59023;
+   wire n_59030;
+   wire n_59032;
+   wire n_59038;
+   wire n_59044;
+   wire n_59045;
+   wire n_59046;
+   wire n_59047;
+   wire n_59049;
+   wire n_59050;
+   wire n_59051;
+   wire n_59052;
+   wire n_59053;
+   wire n_59060;
+   wire n_59061;
+   wire n_59062;
+   wire n_59073;
+   wire n_59077;
+   wire n_59083;
+   wire n_59085;
+   wire n_59089;
+   wire n_59090;
+   wire n_59094;
+   wire n_59099;
+   wire n_59100;
+   wire n_59102;
+   wire n_59103;
+   wire n_59105;
+   wire n_59107;
+   wire n_59108;
+   wire n_59109;
+   wire n_59110;
+   wire n_59112;
+   wire n_59113;
+   wire n_59117;
+   wire n_59119;
+   wire n_59120;
+   wire n_59121;
+   wire n_59124;
+   wire n_59125;
+   wire n_59126;
+   wire n_59127;
+   wire n_59128;
+   wire n_59129;
+   wire n_59133;
+   wire n_59145;
+   wire n_59146;
+   wire n_59157;
+   wire n_59161;
+   wire n_59162;
+   wire n_59163;
+   wire n_59164;
+   wire n_59165;
+   wire n_59166;
+   wire n_59167;
+   wire n_59168;
+   wire n_59174;
+   wire n_59178;
+   wire n_59181;
+   wire n_59182;
+   wire n_59183;
+   wire n_59184;
+   wire n_59185;
+   wire n_59186;
+   wire n_59187;
+   wire n_59192;
+   wire n_59193;
+   wire n_59194;
+   wire n_59195;
+   wire n_59196;
+   wire n_59197;
+   wire n_59198;
+   wire n_59200;
+   wire n_59203;
+   wire n_59221;
+   wire n_59222;
+   wire n_59224;
+   wire n_59227;
+   wire n_59231;
+   wire n_59238;
+   wire n_59240;
+   wire n_59245;
+   wire n_59252;
+   wire n_59266;
+   wire n_59268;
+   wire n_59274;
+   wire n_59275;
+   wire n_59277;
+   wire n_59282;
+   wire n_59284;
+   wire n_59285;
+   wire n_59286;
+   wire n_59287;
+   wire n_59288;
+   wire n_59290;
+   wire n_59291;
+   wire n_59292;
+   wire n_59293;
+   wire n_59296;
+   wire n_59297;
+   wire n_59298;
+   wire n_59299;
+   wire n_59304;
+   wire n_59310;
+   wire n_59311;
+   wire n_59314;
+   wire n_59315;
+   wire n_59316;
+   wire n_59322;
+   wire n_59323;
+   wire n_59326;
+   wire n_59327;
+   wire n_59328;
+   wire n_59330;
+   wire n_59331;
+   wire n_59332;
+   wire n_59333;
+   wire n_59334;
+   wire n_59336;
+   wire n_59341;
+   wire n_59343;
+   wire n_59346;
+   wire n_59347;
+   wire n_59348;
+   wire n_59349;
+   wire n_59350;
+   wire n_59352;
+   wire n_59354;
+   wire n_59355;
+   wire n_59356;
+   wire n_59364;
+   wire n_59365;
+   wire n_59366;
+   wire n_59368;
+   wire n_59370;
+   wire n_59371;
+   wire n_59372;
+   wire n_59396;
+   wire n_59399;
+   wire n_59400;
+   wire n_59408;
+   wire n_59413;
+   wire n_59415;
+   wire n_59416;
+   wire n_59418;
+   wire n_59419;
+   wire n_59421;
+   wire n_59424;
+   wire n_59425;
+   wire n_59430;
+   wire n_59431;
+   wire n_59432;
+   wire n_59433;
+   wire n_59434;
+   wire n_59436;
+   wire n_59437;
+   wire n_59438;
+   wire n_59439;
+   wire n_59460;
+   wire n_59461;
+   wire n_59464;
+   wire n_59465;
+   wire n_59469;
+   wire n_59470;
+   wire n_59477;
+   wire n_59479;
+   wire n_59481;
+   wire n_59482;
+   wire n_59496;
+   wire n_59501;
+   wire n_59502;
+   wire n_59504;
+   wire n_59505;
+   wire n_59507;
+   wire n_59508;
+   wire n_59509;
+   wire n_59510;
+   wire n_59511;
+   wire n_59513;
+   wire n_59514;
+   wire n_59521;
+   wire n_59545;
+   wire n_59549;
+   wire n_59554;
+   wire n_59575;
+   wire n_59578;
+   wire n_59589;
+   wire n_59590;
+   wire n_59591;
+   wire n_59592;
+   wire n_59593;
+   wire n_59595;
+   wire n_59596;
+   wire n_59598;
+   wire n_59599;
+   wire n_59612;
+   wire n_59613;
+   wire n_59616;
+   wire n_59619;
+   wire n_59622;
+   wire n_59626;
+   wire n_59627;
+   wire n_59628;
+   wire n_59630;
+   wire n_59631;
+   wire n_59632;
+   wire n_59635;
+   wire n_59636;
+   wire n_59637;
+   wire n_59639;
+   wire n_59643;
+   wire n_59644;
+   wire n_59645;
+   wire n_59646;
+   wire n_59647;
+   wire n_59648;
+   wire n_59649;
+   wire n_59650;
+   wire n_59652;
+   wire n_59653;
+   wire n_59654;
+   wire n_59655;
+   wire n_59656;
+   wire n_59657;
+   wire n_59658;
+   wire n_59659;
+   wire n_59660;
+   wire n_59661;
+   wire n_59662;
+   wire n_59664;
+   wire n_59667;
+   wire n_59668;
+   wire n_59672;
+   wire n_59675;
+   wire n_59676;
+   wire n_59678;
+   wire n_59684;
+   wire n_59685;
+   wire n_59687;
+   wire n_59688;
+   wire n_59690;
+   wire n_59694;
+   wire n_59697;
+   wire n_59699;
+   wire n_59702;
+   wire n_59703;
+   wire n_59720;
+   wire n_59721;
+   wire n_59724;
+   wire n_59728;
+   wire n_59923;
+   wire n_59929;
+   wire n_59932;
+   wire n_60025;
+   wire n_60026;
+   wire n_60027;
+   wire n_60029;
+   wire n_60030;
+   wire n_60035;
+   wire n_60086;
+   wire n_60088;
+   wire n_60089;
+   wire n_60090;
+   wire n_60092;
+   wire n_60100;
+   wire n_60103;
+   wire n_60104;
+   wire n_60105;
+   wire n_60106;
+   wire n_60107;
+   wire n_60108;
+   wire n_60111;
+   wire n_60113;
+   wire n_60114;
+   wire n_60115;
+   wire n_60122;
+   wire n_60133;
+   wire n_60265;
+   wire n_60268;
+   wire n_60269;
+   wire n_60273;
+   wire n_60274;
+   wire n_60275;
+   wire n_60276;
+   wire n_60277;
+   wire n_60278;
+   wire n_60279;
+   wire n_60358;
+   wire n_60375;
+   wire n_60376;
+   wire n_60377;
+   wire n_60378;
+   wire n_60379;
+   wire n_60380;
+   wire n_60382;
+   wire n_60401;
+   wire n_60402;
+   wire n_60403;
+   wire n_60404;
+   wire n_60407;
+   wire n_60408;
+   wire n_60409;
+   wire n_60412;
+   wire n_60413;
+   wire n_60417;
+   wire n_60422;
+   wire n_60424;
+   wire n_60427;
+   wire n_60429;
+   wire n_60435;
+   wire n_60436;
+   wire n_60437;
+   wire n_60442;
+   wire n_60445;
+   wire n_60447;
+   wire n_60448;
+   wire n_60449;
+   wire n_60459;
+   wire n_60460;
+   wire n_60462;
+   wire n_60463;
+   wire n_60465;
+   wire n_60466;
+   wire n_60467;
+   wire n_60472;
+   wire n_60473;
+   wire n_60474;
+   wire n_60477;
+   wire n_60478;
+   wire n_60481;
+   wire n_60483;
+   wire n_60484;
+   wire n_60485;
+   wire n_60486;
+   wire n_60487;
+   wire n_60488;
+   wire n_60489;
+   wire n_60490;
+   wire n_60491;
+   wire n_60492;
+   wire n_60493;
+   wire n_60498;
+   wire n_60501;
+   wire n_60502;
+   wire n_60510;
+   wire n_60511;
+   wire n_60513;
+   wire n_60516;
+   wire n_60517;
+   wire n_60519;
+   wire n_60521;
+   wire n_60522;
+   wire n_60523;
+   wire n_60524;
+   wire n_60525;
+   wire n_60526;
+   wire n_60527;
+   wire n_60528;
+   wire n_60531;
+   wire n_60534;
+   wire n_60536;
+   wire n_60537;
+   wire n_60540;
+   wire n_60541;
+   wire n_60542;
+   wire n_60558;
+   wire n_60559;
+   wire n_60563;
+   wire n_60564;
+   wire n_60565;
+   wire n_60567;
+   wire n_60568;
+   wire n_60569;
+   wire n_60574;
+   wire n_60583;
+   wire n_60584;
+   wire n_60593;
+   wire n_60607;
+   wire n_60609;
+   wire n_60610;
+   wire n_60611;
+   wire n_60613;
+   wire n_60618;
+   wire n_60619;
+   wire n_60627;
+   wire n_60628;
+   wire n_60629;
+   wire n_60636;
+   wire n_60637;
+   wire n_60638;
+   wire n_60649;
+   wire n_60653;
+   wire n_60654;
+   wire n_60656;
+   wire n_60657;
+   wire n_60658;
+   wire n_60662;
+   wire n_60664;
+   wire n_60673;
+   wire n_60674;
+   wire n_60675;
+   wire n_60680;
+   wire n_60681;
+   wire n_60682;
+   wire n_60684;
+   wire n_60688;
+   wire n_60689;
+   wire n_60691;
+   wire n_60698;
+   wire n_60701;
+   wire n_60707;
+   wire n_60710;
+   wire n_60716;
+   wire n_60717;
+   wire n_60718;
+   wire n_60719;
+   wire n_60720;
+   wire n_60744;
+   wire n_60745;
+   wire n_60747;
+   wire n_60748;
+   wire n_60750;
+   wire n_60752;
+   wire n_60756;
+   wire n_60757;
+   wire n_60771;
+   wire n_60772;
+   wire n_60774;
+   wire n_60777;
+   wire n_60781;
+   wire n_60782;
+   wire n_60783;
+   wire n_60784;
+   wire n_60785;
+   wire n_60790;
+   wire n_60793;
+   wire n_60795;
+   wire n_60799;
+   wire n_60805;
+   wire n_60806;
+   wire n_60808;
+   wire n_60811;
+   wire n_60813;
+   wire n_60814;
+   wire n_60815;
+   wire n_60816;
+   wire n_60820;
+   wire n_60821;
+   wire n_60822;
+   wire n_60824;
+   wire n_60827;
+   wire n_60828;
+   wire n_60829;
+   wire n_60833;
+   wire n_60834;
+   wire n_60835;
+   wire n_60837;
+   wire n_60838;
+   wire n_60846;
+   wire n_60855;
+   wire n_60858;
+   wire n_60859;
+   wire n_60860;
+   wire n_60861;
+   wire n_60862;
+   wire n_60863;
+   wire n_60864;
+   wire n_60865;
+   wire n_60866;
+   wire n_60870;
+   wire n_60871;
+   wire n_60873;
+   wire n_60874;
+   wire n_60877;
+   wire n_60882;
+   wire n_60885;
+   wire n_60886;
+   wire n_60887;
+   wire n_60888;
+   wire n_60889;
+   wire n_60890;
+   wire n_60894;
+   wire n_60896;
+   wire n_60901;
+   wire n_60904;
+   wire n_60905;
+   wire n_60906;
+   wire n_60907;
+   wire n_60909;
+   wire n_60910;
+   wire n_60911;
+   wire n_60913;
+   wire n_60920;
+   wire n_60921;
+   wire n_60922;
+   wire n_60923;
+   wire n_60926;
+   wire n_60927;
+   wire n_60934;
+   wire n_60935;
+   wire n_60936;
+   wire n_60953;
+   wire n_60956;
+   wire n_60957;
+   wire n_60974;
+   wire n_60981;
+   wire n_60982;
+   wire n_60983;
+   wire n_60984;
+   wire n_61014;
+   wire n_61015;
+   wire n_61016;
+   wire n_61017;
+   wire n_61019;
+   wire n_61020;
+   wire n_61021;
+   wire n_61022;
+   wire n_61054;
+   wire n_61057;
+   wire n_61058;
+   wire n_61059;
+   wire n_61063;
+   wire n_61064;
+   wire n_61068;
+   wire n_61071;
+   wire n_61072;
+   wire n_61073;
+   wire n_61074;
+   wire n_61075;
+   wire n_61076;
+   wire n_61077;
+   wire n_61078;
+   wire n_61094;
+   wire n_61097;
+   wire n_61098;
+   wire n_61099;
+   wire n_61100;
+   wire n_61101;
+   wire n_61102;
+   wire n_61103;
+   wire n_61104;
+   wire n_61105;
+   wire n_61106;
+   wire n_61107;
+   wire n_61109;
+   wire n_61115;
+   wire n_61118;
+   wire n_61122;
+   wire n_61123;
+   wire n_61124;
+   wire n_61127;
+   wire n_61129;
+   wire n_61130;
+   wire n_61131;
+   wire n_61135;
+   wire n_61138;
+   wire n_61139;
+   wire n_61140;
+   wire n_61142;
+   wire n_61148;
+   wire n_61161;
+   wire n_61162;
+   wire n_61163;
+   wire n_61164;
+   wire n_61166;
+   wire n_61167;
+   wire n_61168;
+   wire n_61170;
+   wire n_61171;
+   wire n_61172;
+   wire n_61178;
+   wire n_61179;
+   wire n_61181;
+   wire n_61184;
+   wire n_61186;
+   wire n_61187;
+   wire n_61188;
+   wire n_61194;
+   wire n_61196;
+   wire n_61199;
+   wire n_61214;
+   wire n_61216;
+   wire n_61217;
+   wire n_61218;
+   wire n_61222;
+   wire n_61228;
+   wire n_61233;
+   wire n_61234;
+   wire n_61238;
+   wire n_61239;
+   wire n_61245;
+   wire n_61246;
+   wire n_61248;
+   wire n_61250;
+   wire n_61252;
+   wire n_61253;
+   wire n_61257;
+   wire n_61258;
+   wire n_61262;
+   wire n_61267;
+   wire n_61269;
+   wire n_61270;
+   wire n_61275;
+   wire n_61276;
+   wire n_61278;
+   wire n_61279;
+   wire n_61287;
+   wire n_61288;
+   wire n_61289;
+   wire n_61290;
+   wire n_61303;
+   wire n_61310;
+   wire n_61320;
+   wire n_61326;
+   wire n_61334;
+   wire n_61335;
+   wire n_61336;
+   wire n_61337;
+   wire n_61342;
+   wire n_61343;
+   wire n_61345;
+   wire n_61354;
+   wire n_61357;
+   wire n_61362;
+   wire n_61363;
+   wire n_61365;
+   wire n_61368;
+   wire n_61369;
+   wire n_61370;
+   wire n_61372;
+   wire n_61373;
+   wire n_61375;
+   wire n_61376;
+   wire n_61377;
+   wire n_61390;
+   wire n_61392;
+   wire n_61393;
+   wire n_61394;
+   wire n_61396;
+   wire n_61401;
+   wire n_61403;
+   wire n_61406;
+   wire n_61412;
+   wire n_61413;
+   wire n_61414;
+   wire n_61421;
+   wire n_61429;
+   wire n_61440;
+   wire n_61442;
+   wire n_61460;
+   wire n_61461;
+   wire n_61462;
+   wire n_61463;
+   wire n_61465;
+   wire n_61466;
+   wire n_61467;
+   wire n_61470;
+   wire n_61473;
+   wire n_61482;
+   wire n_61490;
+   wire n_61495;
+   wire n_61496;
+   wire n_61499;
+   wire n_61522;
+   wire n_61532;
+   wire n_61534;
+   wire n_61535;
+   wire n_61542;
+   wire n_61543;
+   wire n_61544;
+   wire n_61545;
+   wire n_61548;
+   wire n_61552;
+   wire n_61553;
+   wire n_61554;
+   wire n_61560;
+   wire n_61595;
+   wire n_61596;
+   wire n_61598;
+   wire n_61599;
+   wire n_61606;
+   wire n_61609;
+   wire n_61610;
+   wire n_61615;
+   wire n_61619;
+   wire n_61621;
+   wire n_61623;
+   wire n_61625;
+   wire n_61626;
+   wire n_61627;
+   wire n_61628;
+   wire n_61631;
+   wire n_61652;
+   wire n_61654;
+   wire n_61656;
+   wire n_61657;
+   wire n_61661;
+   wire n_61662;
+   wire n_61663;
+   wire n_61665;
+   wire n_61668;
+   wire n_61669;
+   wire n_61670;
+   wire n_61673;
+   wire n_61932;
+   wire n_61933;
+   wire n_61941;
+   wire n_61971;
+   wire n_61978;
+   wire n_61987;
+   wire n_61988;
+   wire n_61989;
+   wire n_61991;
+   wire n_61992;
+   wire n_61995;
+   wire n_62002;
+   wire n_62004;
+   wire n_62017;
+   wire n_62018;
+   wire n_62020;
+   wire n_62021;
+   wire n_62024;
+   wire n_62051;
+   wire n_62052;
+   wire n_62053;
+   wire n_62054;
+   wire n_62055;
+   wire n_62056;
+   wire n_62057;
+   wire n_62058;
+   wire n_62059;
+   wire n_62060;
+   wire n_62063;
+   wire n_62064;
+   wire n_62069;
+   wire n_62070;
+   wire n_62071;
+   wire n_62072;
+   wire n_62073;
+   wire n_62074;
+   wire n_62075;
+   wire n_62076;
+   wire n_62077;
+   wire n_62081;
+   wire n_62085;
+   wire n_62087;
+   wire n_62088;
+   wire n_62090;
+   wire n_62091;
+   wire n_62092;
+   wire n_62094;
+   wire n_62095;
+   wire n_62096;
+   wire n_62097;
+   wire n_62099;
+   wire n_62100;
+   wire n_62128;
+   wire n_62129;
+   wire n_62132;
+   wire n_62133;
+   wire n_62143;
+   wire n_62149;
+   wire n_62253;
+   wire n_62254;
+   wire n_62255;
+   wire n_62256;
+   wire n_62257;
+   wire n_62258;
+   wire n_62259;
+   wire n_62262;
+   wire n_62267;
+   wire n_62282;
+   wire n_62283;
+   wire n_62284;
+   wire n_62285;
+   wire n_62288;
+   wire n_62293;
+   wire n_62294;
+   wire n_62295;
+   wire n_62309;
+   wire n_62314;
+   wire n_62315;
+   wire n_62322;
+   wire n_62323;
+   wire n_62324;
+   wire n_62326;
+   wire n_62329;
+   wire n_62332;
+   wire n_62345;
+   wire n_62351;
+   wire n_62352;
+   wire n_62353;
+   wire n_62354;
+   wire n_62355;
+   wire n_62361;
+   wire n_62362;
+   wire n_62363;
+   wire n_62364;
+   wire n_62367;
+   wire n_62368;
+   wire n_62369;
+   wire n_62373;
+   wire n_62374;
+   wire n_62375;
+   wire n_62376;
+   wire n_62378;
+   wire n_62387;
+   wire n_62388;
+   wire n_62395;
+   wire n_62396;
+   wire n_62397;
+   wire n_62398;
+   wire n_62399;
+   wire n_62405;
+   wire n_62407;
+   wire n_62408;
+   wire n_62409;
+   wire n_62410;
+   wire n_62415;
+   wire n_62416;
+   wire n_62417;
+   wire n_62418;
+   wire n_62419;
+   wire n_62420;
+   wire n_62421;
+   wire n_62423;
+   wire n_62424;
+   wire n_62425;
+   wire n_62426;
+   wire n_62427;
+   wire n_62428;
+   wire n_62431;
+   wire n_62433;
+   wire n_62435;
+   wire n_62437;
+   wire n_62438;
+   wire n_62439;
+   wire n_62442;
+   wire n_62443;
+   wire n_62450;
+   wire n_62451;
+   wire n_62452;
+   wire n_62454;
+   wire n_62455;
+   wire n_62456;
+   wire n_62457;
+   wire n_62459;
+   wire n_62471;
+   wire n_62473;
+   wire n_62474;
+   wire n_62475;
+   wire n_62477;
+   wire n_62478;
+   wire n_62479;
+   wire n_62480;
+   wire n_62481;
+   wire n_62482;
+   wire n_62483;
+   wire n_62484;
+   wire n_62488;
+   wire n_62489;
+   wire n_62490;
+   wire n_62493;
+   wire n_62494;
+   wire n_62524;
+   wire n_62525;
+   wire n_62526;
+   wire n_62527;
+   wire n_62528;
+   wire n_62533;
+   wire n_62534;
+   wire n_62535;
+   wire n_62536;
+   wire n_62537;
+   wire n_62538;
+   wire n_62539;
+   wire n_62540;
+   wire n_62541;
+   wire n_62543;
+   wire n_62544;
+   wire n_62545;
+   wire n_62546;
+   wire n_62547;
+   wire n_62549;
+   wire n_62550;
+   wire n_62552;
+   wire n_62554;
+   wire n_62556;
+   wire n_62557;
+   wire n_62560;
+   wire n_62561;
+   wire n_62568;
+   wire n_62572;
+   wire n_62573;
+   wire n_62574;
+   wire n_62575;
+   wire n_62579;
+   wire n_62587;
+   wire n_62588;
+   wire n_62589;
+   wire n_62590;
+   wire n_62591;
+   wire n_62592;
+   wire n_62594;
+   wire n_62595;
+   wire n_62596;
+   wire n_62597;
+   wire n_62600;
+   wire n_62602;
+   wire n_62603;
+   wire n_62604;
+   wire n_62608;
+   wire n_62612;
+   wire n_62613;
+   wire n_62636;
+   wire n_62638;
+   wire n_62644;
+   wire n_62645;
+   wire n_62646;
+   wire n_62647;
+   wire n_62649;
+   wire n_62650;
+   wire n_62651;
+   wire n_62652;
+   wire n_62661;
+   wire n_62662;
+   wire n_62664;
+   wire n_62674;
+   wire n_62675;
+   wire n_62676;
+   wire n_62677;
+   wire n_62678;
+   wire n_62679;
+   wire n_62683;
+   wire n_62684;
+   wire n_62697;
+   wire n_62698;
+   wire n_62700;
+   wire n_62701;
+   wire n_62702;
+   wire n_62703;
+   wire n_62705;
+   wire n_62706;
+   wire n_62707;
+   wire n_62708;
+   wire n_62709;
+   wire n_62710;
+   wire n_62712;
+   wire n_62714;
+   wire n_62715;
+   wire n_62724;
+   wire n_62726;
+   wire n_62727;
+   wire n_62732;
+   wire n_62747;
+   wire n_62748;
+   wire n_62749;
+   wire n_62750;
+   wire n_62751;
+   wire n_62752;
+   wire n_62753;
+   wire n_62754;
+   wire n_62756;
+   wire n_62757;
+   wire n_62758;
+   wire n_62760;
+   wire n_62761;
+   wire n_62762;
+   wire n_62769;
+   wire n_62770;
+   wire n_62773;
+   wire n_62774;
+   wire n_62775;
+   wire n_62776;
+   wire n_62777;
+   wire n_62781;
+   wire n_62782;
+   wire n_62783;
+   wire n_62784;
+   wire n_62785;
+   wire n_62786;
+   wire n_62787;
+   wire n_62794;
+   wire n_62795;
+   wire n_62797;
+   wire n_62799;
+   wire n_62800;
+   wire n_62801;
+   wire n_62825;
+   wire n_62826;
+   wire n_62827;
+   wire n_62828;
+   wire n_62829;
+   wire n_62831;
+   wire n_62834;
+   wire n_62835;
+   wire n_62843;
+   wire n_62844;
+   wire n_62845;
+   wire n_62848;
+   wire n_62849;
+   wire n_62851;
+   wire n_62859;
+   wire n_62863;
+   wire n_62864;
+   wire n_62865;
+   wire n_62866;
+   wire n_62867;
+   wire n_62868;
+   wire n_62869;
+   wire n_62872;
+   wire n_62875;
+   wire n_62877;
+   wire n_62879;
+   wire n_62880;
+   wire n_62881;
+   wire n_62882;
+   wire n_62943;
+   wire n_62952;
+   wire n_62966;
+   wire n_62970;
+   wire n_62977;
+   wire n_62978;
+   wire n_62980;
+   wire n_62986;
+   wire n_62988;
+   wire n_62990;
+   wire n_62993;
+   wire n_62998;
+   wire n_63002;
+   wire n_63004;
+   wire n_63006;
+   wire n_63008;
+   wire n_63009;
+   wire n_63019;
+   wire n_63020;
+   wire n_63029;
+   wire n_63030;
+   wire n_63031;
+   wire n_63060;
+   wire n_63062;
+   wire n_63072;
+   wire n_63073;
+   wire n_63084;
+   wire n_63142;
+   wire n_63205;
+   wire n_63206;
+   wire n_63207;
+   wire n_63208;
+   wire n_63209;
+   wire n_63288;
+   wire n_63290;
+   wire n_63291;
+   wire n_63292;
+   wire n_63293;
+   wire n_63300;
+   wire n_63307;
+   wire n_63308;
+   wire n_63310;
+   wire n_63526;
+   wire n_63529;
+   wire n_63532;
+   wire n_63533;
+   wire n_63534;
+   wire n_63537;
+   wire n_63538;
+   wire n_63539;
+   wire n_63541;
+   wire n_63542;
+   wire n_63543;
+   wire n_63548;
+   wire n_63613;
+   wire n_63615;
+   wire n_63616;
+   wire n_63617;
+   wire n_63618;
+   wire n_63619;
+   wire n_63622;
+   wire n_63623;
+   wire n_63624;
+   wire n_63751;
+   wire n_63752;
+   wire n_63753;
+   wire n_63755;
+   wire n_63763;
+   wire n_63766;
+   wire n_63769;
+   wire n_63770;
+   wire n_63772;
+   wire n_63773;
+   wire n_63774;
+   wire n_63777;
+   wire n_63779;
+   wire n_63780;
+   wire n_63782;
+   wire n_63854;
+   wire n_63857;
+   wire n_63858;
+   wire n_63859;
+   wire n_63860;
+   wire n_63862;
+   wire n_63863;
+   wire n_63864;
+   wire n_63865;
+   wire n_63870;
+   wire n_63871;
+   wire n_63872;
+   wire n_63875;
+   wire n_63878;
+   wire n_63881;
+   wire n_63882;
+   wire n_63883;
+   wire n_63884;
+   wire n_63885;
+   wire n_63887;
+   wire n_63889;
+   wire n_63890;
+   wire n_63893;
+   wire n_63894;
+   wire n_63900;
+   wire n_63901;
+   wire n_63902;
+   wire n_63903;
+   wire n_63904;
+   wire n_63906;
+   wire n_63907;
+   wire n_63908;
+   wire n_63909;
+   wire n_63910;
+   wire n_63911;
+   wire n_63912;
+   wire n_63913;
+   wire n_63915;
+   wire n_63917;
+   wire n_63918;
+   wire n_63921;
+   wire n_63924;
+   wire n_63929;
+   wire n_63930;
+   wire n_63931;
+   wire n_63932;
+   wire n_63934;
+   wire n_63935;
+   wire n_63936;
+   wire n_63937;
+   wire n_63944;
+   wire n_63999;
+   wire n_64007;
+   wire n_64035;
+   wire n_64050;
+   wire n_64100;
+   wire n_64141;
+   wire n_64142;
+   wire n_64143;
+   wire n_64144;
+   wire n_64145;
+   wire n_64150;
+   wire n_64152;
+   wire n_64153;
+   wire n_64155;
+   wire n_64166;
+   wire n_64167;
+   wire n_64177;
+   wire n_64178;
+   wire n_64180;
+   wire n_64183;
+   wire n_64210;
+   wire n_64212;
+   wire n_64214;
+   wire n_64216;
+   wire n_64222;
+   wire n_64223;
+   wire n_64224;
+   wire n_64225;
+   wire n_64227;
+   wire n_64228;
+   wire n_64229;
+   wire n_64230;
+   wire n_64231;
+   wire n_64232;
+   wire n_64234;
+   wire n_64236;
+   wire n_64237;
+   wire n_64238;
+   wire n_64239;
+   wire n_64241;
+   wire n_64615;
+   wire n_64617;
+   wire n_64623;
+   wire n_64625;
+   wire n_64632;
+   wire n_64633;
+   wire n_64636;
+   wire n_64637;
+   wire n_64638;
+   wire n_64639;
+   wire n_64640;
+   wire n_64643;
+   wire n_64644;
+   wire n_64713;
+   wire n_64714;
+   wire n_64720;
+   wire n_64721;
+   wire n_64722;
+   wire n_64728;
+   wire n_64729;
+   wire n_64734;
+   wire n_64735;
+   wire n_64736;
+   wire n_64745;
+   wire n_64772;
+   wire n_64782;
+   wire n_64809;
+   wire n_64815;
+   wire n_64824;
+   wire n_64826;
+   wire n_64828;
+   wire n_64829;
+   wire n_64831;
+   wire n_64832;
+   wire n_64833;
+   wire n_64835;
+   wire n_64842;
+   wire n_64846;
+   wire n_64848;
+   wire n_64850;
+   wire n_64851;
+   wire n_64853;
+   wire n_64854;
+   wire n_64859;
+   wire n_64880;
+   wire n_64885;
+   wire n_64886;
+   wire n_64887;
+   wire n_65034;
+   wire n_65221;
+   wire n_65222;
+   wire n_65223;
+   wire n_65224;
+   wire n_65306;
+   wire n_65308;
+   wire n_65309;
+   wire n_65325;
+   wire n_65330;
+   wire n_65331;
+   wire n_65333;
+   wire n_65334;
+   wire n_65372;
+   wire n_65390;
+   wire n_65391;
+   wire n_65814;
+   wire n_66462;
+   wire n_66464;
+   wire n_66466;
+   wire n_66467;
+   wire n_66468;
+   wire n_66469;
+   wire n_66470;
+   wire n_66471;
+   wire n_66472;
+   wire n_66473;
+   wire n_66474;
+   wire n_66475;
+   wire n_66476;
+   wire n_68020;
+   wire n_68065;
+   wire n_68170;
+   wire n_68213;
+   wire n_68216;
+   wire n_68259;
+   wire n_68266;
+   wire n_68268;
+   wire n_68317;
+   wire n_68334;
+   wire n_68385;
+   wire n_68460;
+   wire n_68464;
+   wire n_68465;
+   wire n_68466;
+   wire n_68467;
+   wire n_68468;
+   wire n_68469;
+   wire n_68470;
+   wire n_68471;
+   wire n_68472;
+   wire n_68473;
+   wire n_68474;
+   wire n_68475;
+   wire n_68525;
+   wire n_68527;
+   wire n_68528;
+   wire n_68529;
+   wire n_68530;
+   wire n_68531;
+   wire n_68532;
+   wire n_68533;
+   wire n_68534;
+   wire n_68535;
+   wire n_68536;
+   wire n_68537;
+   wire n_68538;
+   wire n_68539;
+   wire n_68540;
+   wire n_68541;
+   wire n_68542;
+   wire n_68543;
+   wire n_68544;
+   wire n_68545;
+   wire n_68546;
+   wire n_68547;
+   wire n_68548;
+   wire n_68549;
+   wire n_68550;
+   wire n_68551;
+   wire n_68552;
+   wire n_68553;
+   wire n_68555;
+   wire n_68556;
+   wire n_68557;
+   wire n_68558;
+   wire n_68559;
+   wire n_68560;
+   wire n_68561;
+   wire n_68580;
+   wire n_68740;
+   wire n_68741;
+   wire n_68742;
+   wire n_68743;
+   wire n_68745;
+   wire n_68746;
+   wire n_68748;
+   wire n_68750;
+   wire n_68751;
+   wire n_68752;
+   wire n_68753;
+   wire n_68754;
+   wire n_68876;
+   wire n_68914;
+   wire n_68916;
+   wire n_68917;
+   wire n_68919;
+   wire n_68920;
+   wire n_68921;
+   wire n_68922;
+   wire n_68923;
+   wire n_68925;
+   wire n_68937;
+   wire n_68938;
+   wire n_68939;
+   wire n_68942;
+   wire n_68957;
+   wire n_68967;
+   wire n_68977;
+   wire n_68987;
+   wire n_68997;
+   wire n_69007;
+   wire n_69017;
+   wire n_69027;
+   wire n_69037;
+   wire n_69047;
+   wire n_69057;
+   wire n_69067;
+   wire n_69077;
+   wire n_69087;
+   wire n_69097;
+   wire n_69107;
+   wire n_69118;
+   wire n_69128;
+   wire n_69138;
+   wire n_69148;
+   wire n_69158;
+   wire n_69167;
+   wire n_69177;
+   wire n_69202;
+   wire n_69208;
+   wire n_69209;
+   wire n_69211;
+   wire n_69212;
+   wire n_69213;
+   wire n_69215;
+   wire n_69216;
+   wire n_69217;
+   wire n_69225;
+   wire n_69226;
+   wire n_69227;
+   wire n_69229;
+   wire n_69231;
+   wire n_69256;
+   wire n_69258;
+   wire n_69266;
+   wire n_69268;
+   wire n_69271;
+   wire n_69276;
+   wire n_69277;
+   wire n_69278;
+   wire n_69280;
+   wire n_69313;
+   wire n_69314;
+   wire n_69382;
+   wire n_69385;
+   wire n_69387;
+   wire n_69390;
+   wire n_69391;
+   wire n_69393;
+   wire n_69394;
+   wire n_69395;
+   wire n_69406;
+   wire n_69407;
+   wire n_69413;
+   wire n_69414;
+   wire n_69415;
+   wire n_69418;
+   wire n_69422;
+   wire n_69423;
+   wire n_69424;
+   wire n_69433;
+   wire n_69434;
+   wire n_69452;
+   wire n_69455;
+   wire n_69456;
+   wire n_69457;
+   wire n_69528;
+   wire n_69532;
+   wire n_69533;
+   wire n_69542;
+   wire n_69543;
+   wire n_69574;
+   wire n_69576;
+   wire n_69637;
+   wire n_69645;
+   wire n_69647;
+   wire n_69649;
+   wire n_69650;
+   wire n_69651;
+   wire n_69698;
+   wire n_69699;
+   wire n_69704;
+   wire n_69705;
+   wire n_69714;
+   wire n_69716;
+   wire n_69717;
+   wire n_69718;
+   wire n_69782;
+   wire n_69784;
+   wire n_69806;
+   wire n_69809;
+   wire n_69810;
+   wire n_69811;
+   wire n_69821;
+   wire n_69822;
+   wire n_69823;
+   wire n_69824;
+   wire n_69834;
+   wire n_69835;
+   wire n_69836;
+   wire n_69837;
+   wire n_69838;
+   wire n_69839;
+   wire n_69843;
+   wire n_69844;
+   wire n_69845;
+   wire n_69847;
+   wire n_69848;
+   wire n_69856;
+   wire n_69862;
+   wire n_69863;
+   wire n_69877;
+   wire n_69897;
+   wire n_69899;
+   wire n_69909;
+   wire n_69910;
+   wire n_69916;
+   wire n_69921;
+   wire n_69922;
+   wire n_69923;
+   wire n_69924;
+   wire n_69925;
+   wire n_69927;
+   wire n_69931;
+   wire n_69940;
+   wire n_69943;
+   wire n_69944;
+   wire n_69946;
+   wire n_69961;
+   wire n_69962;
+   wire n_69964;
+   wire n_69965;
+   wire n_69991;
+   wire n_70004;
+   wire n_70008;
+   wire n_70012;
+   wire n_70039;
+   wire n_70040;
+   wire n_70042;
+   wire n_70043;
+   wire n_70044;
+   wire n_70045;
+   wire n_70046;
+   wire n_70047;
+   wire n_70048;
+   wire n_70056;
+   wire n_70064;
+   wire n_70066;
+   wire n_70068;
+   wire n_70069;
+   wire n_70070;
+   wire n_70071;
+   wire n_70107;
+   wire n_70108;
+   wire n_70109;
+   wire n_70125;
+   wire n_70126;
+   wire n_70127;
+   wire n_70129;
+   wire n_70167;
+   wire n_70169;
+   wire n_70171;
+   wire n_70172;
+   wire n_70173;
+   wire n_70174;
+   wire n_70186;
+   wire n_70187;
+   wire n_70188;
+   wire n_70195;
+   wire n_70196;
+   wire n_70205;
+   wire n_70219;
+   wire n_70220;
+   wire n_70221;
+   wire n_70222;
+   wire n_70229;
+   wire n_70230;
+   wire n_70249;
+   wire n_70284;
+   wire n_70286;
+   wire n_70297;
+   wire n_70300;
+   wire n_70303;
+   wire n_70306;
+   wire n_70307;
+   wire n_70309;
+   wire n_70314;
+   wire n_70316;
+   wire n_70318;
+   wire n_70319;
+   wire n_70320;
+   wire n_70340;
+   wire n_70341;
+   wire n_70345;
+   wire n_70346;
+   wire n_70347;
+   wire n_70348;
+   wire n_70352;
+   wire n_70353;
+   wire n_70359;
+   wire n_70360;
+   wire n_70361;
+   wire n_70362;
+   wire n_70363;
+   wire n_70372;
+   wire n_70374;
+   wire n_70375;
+   wire n_70376;
+   wire n_70377;
+   wire n_70394;
+   wire n_70395;
+   wire n_70400;
+   wire n_70401;
+   wire n_70402;
+   wire n_70403;
+   wire n_70404;
+   wire n_70406;
+   wire n_70407;
+   wire n_70408;
+   wire n_70409;
+   wire n_70410;
+   wire n_70412;
+   wire n_70413;
+   wire n_70414;
+   wire n_70415;
+   wire n_70416;
+   wire n_70418;
+   wire n_70419;
+   wire n_70420;
+   wire n_70421;
+   wire n_70422;
+   wire n_70424;
+   wire n_70425;
+   wire n_70426;
+   wire n_70427;
+   wire n_70428;
+   wire n_70431;
+   wire n_70432;
+   wire n_70436;
+   wire n_70437;
+   wire n_70438;
+   wire n_70439;
+   wire n_70440;
+   wire n_70443;
+   wire n_70475;
+   wire n_70479;
+   wire n_70481;
+   wire n_70590;
+   wire n_70591;
+   wire n_70592;
+   wire n_70594;
+   wire n_70596;
+   wire n_70597;
+   wire n_70598;
+   wire n_70599;
+   wire n_70600;
+   wire n_70603;
+   wire n_70604;
+   wire n_70605;
+   wire n_70606;
+   wire n_70607;
+   wire n_70610;
+   wire n_70612;
+   wire n_70931;
+   wire n_70964;
+   wire n_70970;
+   wire n_70995;
+   wire n_70996;
+   wire n_71002;
+   wire n_71003;
+   wire n_71004;
+   wire n_71006;
+   wire n_71007;
+   wire n_71008;
+   wire n_71009;
+   wire n_71012;
+   wire n_71013;
+   wire n_71014;
+   wire n_71015;
+   wire n_71082;
+   wire n_71083;
+   wire n_71084;
+   wire n_71109;
+   wire n_71110;
+   wire n_71111;
+   wire n_71264;
+   wire n_71265;
+   wire n_71266;
+   wire n_71268;
+   wire n_71343;
+   wire n_71346;
+   wire n_71351;
+   wire n_71352;
+   wire n_71353;
+   wire n_71355;
+   wire n_71357;
+   wire n_71358;
+   wire n_71359;
+   wire n_71360;
+   wire n_71361;
+   wire n_71411;
+   wire n_71412;
+   wire n_71519;
+   wire n_71549;
+   wire n_71566;
+   wire n_71572;
+   wire n_71591;
+   wire n_71622;
+   wire n_71627;
+   wire n_71628;
+   wire n_71644;
+   wire n_71647;
+   wire n_71648;
+   wire n_71653;
+   wire n_71655;
+   wire n_71656;
+   wire n_71676;
+   wire n_71677;
+   wire n_71678;
+   wire n_71679;
+   wire n_71680;
+   wire n_71681;
+   wire n_71682;
+   wire n_71683;
+   wire n_71728;
+   wire n_71730;
+   wire n_71731;
+   wire n_71756;
+   wire n_71758;
+   wire n_71760;
+   wire n_71761;
+   wire n_71766;
+   wire n_71767;
+   wire n_71768;
+   wire n_71771;
+   wire n_71773;
+   wire n_71775;
+   wire n_71790;
+   wire n_71794;
+   wire n_71867;
+   wire n_71872;
+   wire n_71908;
+   wire n_71914;
+   wire n_71916;
+   wire n_71917;
+   wire n_71918;
+   wire n_71922;
+   wire n_71923;
+   wire n_71924;
+   wire n_71925;
+   wire n_71926;
+   wire n_71927;
+   wire n_71930;
+   wire n_71931;
+   wire n_71932;
+   wire n_71933;
+   wire n_71934;
+   wire n_72002;
+   wire n_72003;
+   wire n_72008;
+   wire n_72009;
+   wire n_72010;
+   wire n_72011;
+   wire n_72012;
+   wire n_72013;
+   wire n_72014;
+   wire n_72015;
+   wire n_72025;
+   wire n_72026;
+   wire n_72027;
+   wire n_72028;
+   wire n_72029;
+   wire n_72030;
+   wire n_72032;
+   wire n_72033;
+   wire n_72034;
+   wire n_72035;
+   wire n_72049;
+   wire n_72050;
+   wire n_72051;
+   wire n_72052;
+   wire n_72053;
+   wire n_72054;
+   wire n_72063;
+   wire n_72103;
+   wire n_72104;
+   wire n_72105;
+   wire n_72106;
+   wire n_72165;
+   wire n_72176;
+   wire n_72188;
+   wire n_72196;
+   wire n_72197;
+   wire n_72199;
+   wire n_72204;
+   wire n_72240;
+   wire n_72256;
+   wire n_72257;
+   wire n_72258;
+   wire n_72260;
+   wire n_72261;
+   wire n_72264;
+   wire n_72265;
+   wire n_72267;
+   wire n_72268;
+   wire n_72270;
+   wire n_72271;
+   wire n_72272;
+   wire n_72277;
+   wire n_72300;
+   wire n_72301;
+   wire n_72302;
+   wire n_72315;
+   wire n_72348;
+   wire n_72354;
+   wire n_72355;
+   wire n_72357;
+   wire n_72368;
+   wire n_72390;
+   wire n_72411;
+   wire n_72412;
+   wire n_72413;
+   wire n_72421;
+   wire n_72423;
+   wire n_72426;
+   wire n_72427;
+   wire n_72431;
+   wire n_72434;
+   wire n_72435;
+   wire n_72439;
+   wire n_72440;
+   wire n_72441;
+   wire n_72453;
+   wire n_72454;
+   wire n_72455;
+   wire n_72460;
+   wire n_72461;
+   wire n_72462;
+   wire n_72464;
+   wire n_72467;
+   wire n_72468;
+   wire n_72497;
+   wire n_72499;
+   wire n_72524;
+   wire n_72526;
+   wire n_72527;
+   wire n_72528;
+   wire n_72564;
+   wire n_72566;
+   wire n_72567;
+   wire n_72568;
+   wire n_72575;
+   wire n_72576;
+   wire n_72578;
+   wire n_72603;
+   wire n_72604;
+   wire n_72605;
+   wire n_72606;
+   wire n_72607;
+   wire n_72608;
+   wire n_72609;
+   wire n_72622;
+   wire n_72624;
+   wire n_72748;
+   wire n_72749;
+   wire n_72751;
+   wire n_72754;
+   wire n_72884;
+   wire n_72885;
+   wire n_72887;
+   wire n_72890;
+   wire n_72891;
+   wire n_72896;
+   wire n_72897;
+   wire n_72898;
+   wire n_72899;
+   wire n_72952;
+   wire n_72953;
+   wire n_72954;
+   wire n_73134;
+   wire n_73137;
+   wire n_73139;
+   wire n_73143;
+   wire n_73144;
+   wire n_73181;
+   wire n_73182;
+   wire n_73183;
+   wire n_73184;
+   wire n_73185;
+   wire n_73317;
+   wire n_73318;
+   wire n_73319;
+   wire n_73321;
+   wire n_73326;
+   wire n_73329;
+   wire n_73330;
+   wire n_73331;
+   wire n_73332;
+   wire n_73334;
+   wire n_73335;
+   wire n_73336;
+   wire n_73394;
+   wire n_73395;
+   wire n_73396;
+   wire n_73397;
+   wire n_73399;
+   wire n_73400;
+   wire n_73404;
+   wire n_73405;
+   wire n_73406;
+   wire n_73407;
+   wire n_73436;
+   wire n_73437;
+   wire n_73438;
+   wire n_73457;
+   wire n_73460;
+   wire n_73462;
+   wire n_73478;
+   wire n_73479;
+   wire n_73480;
+   wire n_73481;
+   wire n_73482;
+   wire n_73483;
+   wire n_73484;
+   wire n_73539;
+   wire n_73541;
+   wire n_73542;
+   wire n_73543;
+   wire n_73544;
+   wire n_73545;
+   wire n_73548;
+   wire n_73550;
+   wire n_73576;
+   wire n_73581;
+   wire n_73582;
+   wire n_73588;
+   wire n_73604;
+   wire n_73605;
+   wire n_73607;
+   wire n_73608;
+   wire n_73622;
+   wire n_73623;
+   wire n_73625;
+   wire n_73626;
+   wire n_73627;
+   wire n_73628;
+   wire n_73660;
+   wire n_73661;
+   wire n_73662;
+   wire n_73663;
+   wire n_73665;
+   wire n_73666;
+   wire n_73667;
+   wire n_73668;
+   wire n_73688;
+   wire n_73689;
+   wire n_73716;
+   wire n_73717;
+   wire n_73718;
+   wire n_73719;
+   wire n_73720;
+   wire n_73723;
+   wire n_73724;
+   wire n_73726;
+   wire n_73727;
+   wire n_73780;
+   wire n_73781;
+   wire n_73782;
+   wire n_73786;
+   wire n_73798;
+   wire n_73801;
+   wire n_73802;
+   wire n_73805;
+   wire n_73806;
+   wire n_73807;
+   wire n_73808;
+   wire n_73852;
+   wire n_73857;
+   wire n_73858;
+   wire n_73859;
+   wire n_73912;
+   wire n_73913;
+   wire n_73914;
+   wire n_73915;
+   wire n_73917;
+   wire n_73918;
+   wire n_73920;
+   wire n_73921;
+   wire n_73922;
+   wire n_73923;
+   wire n_73924;
+   wire n_73930;
+   wire n_73931;
+   wire n_73932;
+   wire n_73933;
+   wire n_73937;
+   wire n_73939;
+   wire n_73940;
+   wire n_73953;
+   wire n_73954;
+   wire n_73955;
+   wire n_73956;
+   wire n_73957;
+   wire n_73961;
+   wire n_73962;
+   wire n_73963;
+   wire n_74019;
+   wire n_74020;
+   wire n_74021;
+   wire n_74022;
+   wire n_74156;
+   wire n_74158;
+   wire n_74161;
+   wire n_74164;
+   wire n_74165;
+   wire n_74167;
+   wire n_74168;
+   wire n_74169;
+   wire n_74170;
+   wire n_74171;
+   wire n_74172;
+   wire n_74173;
+   wire n_74174;
+   wire n_74175;
+   wire n_74176;
+   wire n_74177;
+   wire n_74178;
+   wire n_74179;
+   wire n_74180;
+   wire n_74181;
+   wire n_74182;
+   wire n_74184;
+   wire n_74318;
+   wire n_74321;
+   wire n_74323;
+   wire n_74341;
+   wire n_74349;
+   wire n_74350;
+   wire n_74353;
+   wire n_74354;
+   wire n_74355;
+   wire n_74387;
+   wire n_74389;
+   wire n_74425;
+   wire n_74435;
+   wire n_74436;
+   wire n_74437;
+   wire n_74439;
+   wire n_74440;
+   wire n_74441;
+   wire n_74444;
+   wire n_74445;
+   wire n_74446;
+   wire n_74447;
+   wire n_74457;
+   wire n_74458;
+   wire n_74459;
+   wire n_74461;
+   wire n_74462;
+   wire n_74465;
+   wire n_74466;
+   wire n_74467;
+   wire n_74468;
+   wire n_74469;
+   wire n_74529;
+   wire n_74531;
+   wire n_74537;
+   wire n_74538;
+   wire n_74540;
+   wire n_74541;
+   wire n_74555;
+   wire n_74556;
+   wire n_74574;
+   wire n_74576;
+   wire n_74577;
+   wire n_74579;
+   wire n_74582;
+   wire n_74610;
+   wire n_74611;
+   wire n_74612;
+   wire n_74614;
+   wire n_74616;
+   wire n_74617;
+   wire n_74618;
+   wire n_74660;
+   wire n_74662;
+   wire n_74664;
+   wire n_74665;
+   wire n_74666;
+   wire n_74670;
+   wire n_74692;
+   wire n_74695;
+   wire n_74697;
+   wire n_74707;
+   wire n_74708;
+   wire n_74709;
+   wire n_74712;
+   wire n_74713;
+   wire n_74714;
+   wire n_74716;
+   wire n_74717;
+   wire n_74718;
+   wire n_74719;
+   wire n_74720;
+   wire n_74721;
+   wire n_74723;
+   wire n_74724;
+   wire n_74726;
+   wire n_74728;
+   wire n_74729;
+   wire n_74730;
+   wire n_74733;
+   wire n_74735;
+   wire n_74743;
+   wire n_74746;
+   wire n_74771;
+   wire n_74773;
+   wire n_74776;
+   wire n_74823;
+   wire n_74827;
+   wire n_74828;
+   wire n_74829;
+   wire n_74830;
+   wire n_74839;
+   wire n_74846;
+   wire n_74847;
+   wire n_74848;
+   wire n_74852;
+   wire n_74854;
+   wire n_74858;
+   wire n_74859;
+   wire n_74865;
+   wire n_74873;
+   wire n_74885;
+   wire n_74887;
+   wire n_74888;
+   wire n_74889;
+   wire n_74891;
+   wire n_74938;
+   wire n_74942;
+   wire n_74946;
+   wire n_74950;
+   wire n_74951;
+   wire n_74952;
+   wire n_74953;
+   wire n_74955;
+   wire n_74958;
+   wire n_74961;
+   wire n_74962;
+   wire n_74964;
+   wire n_74966;
+   wire n_74967;
+   wire n_74968;
+   wire n_74973;
+   wire n_74974;
+   wire n_74975;
+   wire n_74978;
+   wire n_74981;
+   wire n_74984;
+   wire n_74987;
+   wire n_74988;
+   wire n_74989;
+   wire n_74990;
+   wire n_74991;
+   wire n_75000;
+   wire n_75003;
+   wire n_75064;
+   wire n_75067;
+   wire n_75068;
+   wire n_75070;
+   wire n_75071;
+   wire n_75072;
+   wire n_75083;
+   wire n_75090;
+   wire n_75098;
+   wire n_75099;
+   wire n_75102;
+   wire n_75103;
+   wire n_75104;
+   wire n_75105;
+   wire n_75106;
+   wire n_75109;
+   wire n_75111;
+   wire n_75112;
+   wire n_75118;
+   wire n_75122;
+   wire n_75123;
+   wire n_75136;
+   wire n_75139;
+   wire n_75147;
+   wire n_75151;
+   wire n_75158;
+   wire n_75159;
+   wire n_75160;
+   wire n_75161;
+   wire n_75162;
+   wire n_75163;
+   wire n_75164;
+   wire n_75165;
+   wire n_75166;
+   wire n_75167;
+   wire n_75168;
+   wire n_75169;
+   wire n_75170;
+   wire n_75171;
+   wire n_75172;
+   wire n_75173;
+   wire n_75174;
+   wire n_75175;
+   wire n_75176;
+   wire n_75177;
+   wire n_75178;
+   wire n_75179;
+   wire n_75180;
+   wire n_75181;
+   wire n_75182;
+   wire n_75183;
+   wire n_75184;
+   wire n_75185;
+   wire n_75188;
+   wire n_75189;
+   wire n_75190;
+   wire n_75191;
+   wire n_75192;
+   wire n_75193;
+   wire n_75194;
+   wire n_75195;
+   wire n_75196;
+   wire n_75197;
+   wire n_75198;
+   wire n_75199;
+   wire n_75200;
+   wire n_75201;
+   wire n_75202;
+   wire n_75203;
+   wire n_75204;
+   wire n_75205;
+   wire n_75206;
+   wire n_75207;
+   wire n_75208;
+   wire n_75209;
+   wire n_75210;
+   wire n_75211;
+   wire n_75212;
+   wire n_75213;
+   wire n_75214;
+   wire n_75215;
+   wire n_75217;
+   wire n_75218;
+   wire n_75219;
+   wire n_75236;
+   wire n_75238;
+   wire n_75239;
+   wire n_75240;
+   wire n_75247;
+   wire n_75250;
+   wire n_75277;
+   wire n_75278;
+   wire n_75343;
+   wire n_75347;
+   wire n_75354;
+   wire n_75362;
+   wire n_75365;
+   wire n_75367;
+   wire n_75370;
+   wire n_75371;
+   wire n_75372;
+   wire n_75373;
+   wire n_75374;
+   wire n_75375;
+   wire n_75376;
+   wire n_75377;
+   wire n_75378;
+   wire n_75380;
+   wire n_75381;
+   wire n_75382;
+   wire n_75383;
+   wire n_75384;
+   wire n_75385;
+   wire n_75386;
+   wire n_75390;
+   wire n_75392;
+   wire n_75393;
+   wire n_75398;
+   wire n_75399;
+   wire n_75400;
+   wire n_75404;
+   wire n_75405;
+   wire n_75408;
+   wire n_75410;
+   wire n_75417;
+   wire n_75419;
+   wire n_75420;
+   wire n_75421;
+   wire n_75428;
+   wire n_75430;
+   wire n_75432;
+   wire n_75436;
+   wire n_75437;
+   wire n_75438;
+   wire n_75439;
+   wire n_75440;
+   wire n_75441;
+   wire n_75442;
+   wire n_75443;
+   wire n_75444;
+   wire n_75445;
+   wire n_75448;
+   wire n_75450;
+   wire n_75455;
+   wire n_75456;
+   wire n_75457;
+   wire n_75458;
+   wire n_75460;
+   wire n_75461;
+   wire n_75465;
+   wire n_75469;
+   wire n_75471;
+   wire n_75472;
+   wire n_75476;
+   wire n_75477;
+   wire n_75482;
+   wire n_75484;
+   wire n_75485;
+   wire n_75488;
+   wire n_75489;
+   wire n_75496;
+   wire n_75503;
+   wire n_75504;
+   wire n_75516;
+   wire n_75540;
+   wire n_75544;
+   wire n_75549;
+   wire n_75550;
+   wire n_75551;
+   wire n_75554;
+   wire n_75555;
+   wire n_75558;
+   wire n_75562;
+   wire n_75563;
+   wire n_75566;
+   wire n_75573;
+   wire n_75575;
+   wire n_75576;
+   wire n_75577;
+   wire n_75578;
+   wire n_75582;
+   wire n_75583;
+   wire n_75584;
+   wire n_75589;
+   wire n_75595;
+   wire n_75596;
+   wire n_75597;
+   wire n_75598;
+   wire n_75601;
+   wire n_75604;
+   wire n_75606;
+   wire n_75607;
+   wire n_75608;
+   wire n_75609;
+   wire n_75610;
+   wire n_75611;
+   wire n_75612;
+   wire n_75613;
+   wire n_75614;
+   wire n_75616;
+   wire n_75618;
+   wire n_75619;
+   wire n_75620;
+   wire n_75621;
+   wire n_75624;
+   wire n_75625;
+   wire n_75626;
+   wire n_75627;
+   wire n_75630;
+   wire n_75639;
+   wire n_75643;
+   wire n_75647;
+   wire n_75650;
+   wire n_75663;
+   wire n_75664;
+   wire n_75669;
+   wire n_75671;
+   wire n_75672;
+   wire n_75673;
+   wire n_75674;
+   wire n_75675;
+   wire n_75676;
+   wire n_75677;
+   wire n_75679;
+   wire n_75681;
+   wire n_75684;
+   wire n_75685;
+   wire n_75687;
+   wire n_75689;
+   wire n_75690;
+   wire n_75692;
+   wire n_75694;
+   wire n_75695;
+   wire n_75696;
+   wire n_75697;
+   wire n_75698;
+   wire n_75699;
+   wire n_75700;
+   wire n_75701;
+   wire n_75702;
+   wire n_75704;
+   wire n_75705;
+   wire n_75706;
+   wire n_75707;
+   wire n_75708;
+   wire n_75709;
+   wire n_75710;
+   wire n_75714;
+   wire n_75715;
+   wire n_75718;
+   wire n_75719;
+   wire n_75720;
+   wire n_75721;
+   wire n_75722;
+   wire n_75728;
+   wire n_75730;
+   wire n_75734;
+   wire n_75735;
+   wire n_75736;
+   wire n_75737;
+   wire n_75738;
+   wire n_75739;
+   wire n_75742;
+   wire n_75743;
+   wire n_75744;
+   wire n_75746;
+   wire n_75748;
+   wire n_75749;
+   wire n_75750;
+   wire n_75757;
+   wire n_75758;
+   wire n_75760;
+   wire n_75763;
+   wire n_75764;
+   wire n_75770;
+   wire n_75771;
+   wire n_75772;
+   wire n_75774;
+   wire n_75776;
+   wire n_75779;
+   wire n_75780;
+   wire n_75782;
+   wire n_75783;
+   wire n_75784;
+   wire n_75787;
+   wire n_75788;
+   wire n_75789;
+   wire n_75791;
+   wire n_75792;
+   wire n_75793;
+   wire n_75794;
+   wire n_75795;
+   wire n_75798;
+   wire n_75800;
+   wire n_75802;
+   wire n_75803;
+   wire n_75804;
+   wire n_75807;
+   wire n_75810;
+   wire n_75812;
+   wire n_75814;
+   wire n_75816;
+   wire n_75817;
+   wire n_75819;
+   wire n_75820;
+   wire n_75822;
+   wire n_75825;
+   wire n_75826;
+   wire n_75828;
+   wire n_75831;
+   wire n_75832;
+   wire n_75837;
+   wire n_75841;
+   wire n_75843;
+   wire n_75846;
+   wire n_75847;
+   wire n_75848;
+   wire n_75850;
+   wire n_75856;
+   wire n_75858;
+   wire n_75859;
+   wire n_75862;
+   wire n_75863;
+   wire n_75864;
+   wire n_75866;
+   wire n_75868;
+   wire n_75870;
+   wire n_75871;
+   wire n_75877;
+   wire n_75878;
+   wire n_75882;
+   wire n_75883;
+   wire n_75884;
+   wire n_75885;
+   wire n_75899;
+   wire n_75907;
+   wire n_75908;
+   wire n_75909;
+   wire n_75910;
+   wire n_75913;
+   wire n_75918;
+   wire n_75920;
+   wire n_75925;
+   wire n_75940;
+   wire n_75949;
+   wire n_75953;
+   wire n_75969;
+   wire n_76014;
+   wire n_76017;
+   wire n_76019;
+   wire n_76020;
+   wire n_76045;
+   wire n_76048;
+   wire n_76053;
+   wire n_76054;
+   wire n_76055;
+   wire n_76057;
+   wire n_76059;
+   wire n_76060;
+   wire n_76063;
+   wire n_76066;
+   wire n_76067;
+   wire n_76068;
+   wire n_76070;
+   wire n_76071;
+   wire n_76073;
+   wire n_76074;
+   wire n_76075;
+   wire n_76076;
+   wire n_76077;
+   wire n_76078;
+   wire n_76079;
+   wire n_76080;
+   wire n_76081;
+   wire n_76082;
+   wire n_76083;
+   wire n_76086;
+   wire n_76088;
+   wire n_76089;
+   wire n_76090;
+   wire n_76091;
+   wire n_76092;
+   wire n_76093;
+   wire n_76094;
+   wire n_76096;
+   wire n_76097;
+   wire n_76123;
+   wire n_76131;
+   wire n_76134;
+   wire n_76144;
+   wire n_76147;
+   wire n_76148;
+   wire n_76149;
+   wire n_76150;
+   wire n_76151;
+   wire n_76152;
+   wire n_76153;
+   wire n_76154;
+   wire n_76155;
+   wire n_76162;
+   wire n_76163;
+   wire n_76164;
+   wire n_76176;
+   wire n_76198;
+   wire n_76200;
+   wire n_76202;
+   wire n_76203;
+   wire n_76204;
+   wire n_76217;
+   wire n_76222;
+   wire n_76223;
+   wire n_76224;
+   wire n_76225;
+   wire n_76226;
+   wire n_76227;
+   wire n_76228;
+   wire n_76229;
+   wire n_76230;
+   wire n_76231;
+   wire n_76232;
+   wire n_76233;
+   wire n_76234;
+   wire n_76235;
+   wire n_76236;
+   wire n_76237;
+   wire n_76238;
+   wire n_76239;
+   wire n_76240;
+   wire n_76241;
+   wire n_76242;
+   wire n_76243;
+   wire n_76249;
+   wire n_76252;
+   wire n_76254;
+   wire n_76255;
+   wire n_76257;
+   wire n_76260;
+   wire n_76262;
+   wire n_76263;
+   wire n_76264;
+   wire n_76265;
+   wire n_76266;
+   wire n_76267;
+   wire n_76268;
+   wire n_76269;
+   wire n_76270;
+   wire n_76271;
+   wire n_76272;
+   wire n_76273;
+   wire n_76274;
+   wire n_76275;
+   wire n_76276;
+   wire n_76277;
+   wire n_76278;
+   wire n_76279;
+   wire n_76280;
+   wire n_76284;
+   wire n_76286;
+   wire n_76287;
+   wire n_76288;
+   wire n_76289;
+   wire n_76291;
+   wire n_76292;
+   wire n_76294;
+   wire n_76296;
+   wire n_76297;
+   wire n_76299;
+   wire n_76300;
+   wire n_76301;
+   wire n_76302;
+   wire n_76303;
+   wire n_76304;
+   wire n_76305;
+   wire n_76306;
+   wire n_76307;
+   wire n_76308;
+   wire n_76309;
+   wire n_76311;
+   wire n_76312;
+   wire n_76313;
+   wire n_76320;
+   wire n_76321;
+   wire n_76334;
+   wire n_76337;
+   wire n_76338;
+   wire n_76344;
+   wire n_76345;
+   wire n_76347;
+   wire n_76348;
+   wire n_76350;
+   wire n_76351;
+   wire n_76353;
+   wire n_76567;
+   wire n_76568;
+   wire n_76598;
+   wire n_76599;
+   wire n_76604;
+   wire n_76606;
+   wire n_76611;
+   wire n_76612;
+   wire n_76613;
+   wire n_76617;
+   wire n_76618;
+   wire n_76619;
+   wire n_76620;
+   wire n_76622;
+   wire n_76623;
+   wire n_76624;
+   wire n_76625;
+   wire n_76628;
+   wire n_76629;
+   wire n_76630;
+   wire n_76633;
+   wire n_76634;
+   wire n_76635;
+   wire n_76636;
+   wire n_76637;
+   wire n_76638;
+   wire n_76640;
+   wire n_76642;
+   wire n_76645;
+   wire n_76650;
+   wire n_76651;
+   wire n_76652;
+   wire n_76654;
+   wire n_76655;
+   wire n_76661;
+   wire n_76662;
+   wire n_76664;
+   wire n_76665;
+   wire n_76670;
+   wire n_76671;
+   wire n_76672;
+   wire n_76674;
+   wire n_76675;
+   wire n_76676;
+   wire n_76677;
+   wire n_76678;
+   wire n_76679;
+   wire n_76680;
+   wire n_76682;
+   wire n_76683;
+   wire n_76684;
+   wire n_76686;
+   wire n_76687;
+   wire n_76689;
+   wire n_76690;
+   wire n_76693;
+   wire n_76694;
+   wire n_76697;
+   wire n_76698;
+   wire n_76699;
+   wire n_76701;
+   wire n_76702;
+   wire n_76704;
+   wire n_76707;
+   wire n_76712;
+   wire n_76713;
+   wire n_76714;
+   wire n_76715;
+   wire n_76716;
+   wire n_76718;
+   wire n_76719;
+   wire n_76720;
+   wire n_76722;
+   wire n_76725;
+   wire n_76727;
+   wire n_76728;
+   wire n_76729;
+   wire n_76731;
+   wire n_76732;
+   wire n_76733;
+   wire n_76734;
+   wire n_76736;
+   wire n_76738;
+   wire n_76739;
+   wire n_76740;
+   wire n_76741;
+   wire n_76742;
+   wire n_76743;
+   wire n_76744;
+   wire n_76745;
+   wire n_76746;
+   wire n_76747;
+   wire n_76748;
+   wire n_76749;
+   wire n_76750;
+   wire n_76751;
+   wire n_76752;
+   wire n_76753;
+   wire n_76754;
+   wire n_76755;
+   wire n_76756;
+   wire n_76757;
+   wire n_76758;
+   wire n_76759;
+   wire n_76760;
+   wire n_76761;
+   wire n_76762;
+   wire n_76763;
+   wire n_76764;
+   wire n_76765;
+   wire n_76766;
+   wire n_76767;
+   wire n_76768;
+   wire n_76769;
+   wire n_76770;
+   wire n_76771;
+   wire n_76772;
+   wire n_76773;
+   wire n_76774;
+   wire n_76775;
+   wire n_76776;
+   wire n_76777;
+   wire n_76778;
+   wire n_76779;
+   wire n_76780;
+   wire n_76781;
+   wire n_76782;
+   wire n_76783;
+   wire n_76784;
+   wire n_76785;
+   wire n_76786;
+   wire n_76790;
+   wire n_76791;
+   wire n_76793;
+   wire n_76799;
+   wire n_76804;
+   wire n_76807;
+   wire n_76808;
+   wire n_76810;
+   wire n_76817;
+   wire n_76819;
+   wire n_76822;
+   wire n_76823;
+   wire n_76825;
+   wire n_76827;
+   wire n_76833;
+   wire n_76835;
+   wire n_76836;
+   wire n_76843;
+   wire n_76845;
+   wire n_76846;
+   wire n_76847;
+   wire n_76849;
+   wire n_76851;
+   wire n_76852;
+   wire n_76853;
+   wire n_76854;
+   wire n_76856;
+   wire n_76857;
+   wire n_76858;
+   wire n_76860;
+   wire n_76861;
+   wire n_76862;
+   wire n_76863;
+   wire n_76864;
+   wire n_76865;
+   wire n_76866;
+   wire n_76867;
+   wire n_76868;
+   wire n_76869;
+   wire n_76870;
+   wire n_76871;
+   wire n_76874;
+   wire n_76876;
+   wire n_76877;
+   wire n_76878;
+   wire n_76881;
+   wire n_76900;
+   wire n_76901;
+   wire n_76902;
+   wire n_76904;
+   wire n_76905;
+   wire n_76918;
+   wire n_76938;
+   wire n_76939;
+   wire n_76962;
+   wire n_76963;
+   wire n_76992;
+   wire n_76993;
+   wire n_76994;
+   wire n_76995;
+   wire n_76998;
+   wire n_76999;
+   wire n_77000;
+   wire n_77001;
+   wire n_77012;
+   wire n_77013;
+   wire n_77040;
+   wire n_77041;
+   wire n_77045;
+   wire n_77050;
+   wire n_77051;
+   wire n_77054;
+   wire n_77055;
+   wire n_77057;
+   wire n_77058;
+   wire n_77059;
+   wire n_77060;
+   wire n_77062;
+   wire n_77063;
+   wire n_77064;
+   wire n_77065;
+   wire n_77072;
+   wire n_77073;
+   wire n_77074;
+   wire n_77075;
+   wire n_77076;
+   wire n_77077;
+   wire n_77081;
+   wire n_77083;
+   wire n_77084;
+   wire n_77096;
+   wire n_77097;
+   wire n_77098;
+   wire n_77099;
+   wire n_77100;
+   wire n_77101;
+   wire n_77102;
+   wire n_77103;
+   wire n_77104;
+   wire n_77105;
+   wire n_77110;
+   wire n_77111;
+   wire n_77112;
+   wire n_77113;
+   wire n_77114;
+   wire n_77115;
+   wire n_77117;
+   wire n_77118;
+   wire n_77119;
+   wire n_77120;
+   wire n_77122;
+   wire n_77123;
+   wire n_77153;
+   wire n_77154;
+   wire n_77155;
+   wire n_77157;
+   wire n_77158;
+   wire n_77160;
+   wire n_77161;
+   wire n_77163;
+   wire n_77165;
+   wire n_77168;
+   wire n_77169;
+   wire n_77170;
+   wire n_77192;
+   wire n_77195;
+   wire n_77199;
+   wire n_77200;
+   wire n_77202;
+   wire n_77203;
+   wire n_77204;
+   wire n_77205;
+   wire n_77208;
+   wire n_77214;
+   wire n_77215;
+   wire n_77216;
+   wire n_77220;
+   wire n_77225;
+   wire n_77226;
+   wire n_77228;
+   wire n_77230;
+   wire n_77231;
+   wire n_77232;
+   wire n_77233;
+   wire n_77245;
+   wire n_77276;
+   wire n_77277;
+   wire n_77278;
+   wire n_77281;
+   wire n_77282;
+   wire n_77284;
+   wire n_77285;
+   wire n_77286;
+   wire n_77287;
+   wire n_77289;
+   wire n_77290;
+   wire n_77291;
+   wire n_77292;
+   wire n_77328;
+   wire n_77332;
+   wire n_77336;
+   wire n_77387;
+   wire n_77389;
+   wire n_77391;
+   wire n_77393;
+   wire n_77394;
+   wire n_77395;
+   wire n_77396;
+   wire n_77397;
+   wire n_77398;
+   wire n_77399;
+   wire n_77400;
+   wire n_77401;
+   wire n_77402;
+   wire n_77403;
+   wire n_77404;
+   wire n_77405;
+   wire n_77406;
+   wire n_77407;
+   wire n_77408;
+   wire n_77409;
+   wire n_77410;
+   wire n_77411;
+   wire n_77412;
+   wire n_77413;
+   wire n_77414;
+   wire n_77415;
+   wire n_77416;
+   wire n_77417;
+   wire n_77418;
+   wire n_77419;
+   wire n_77420;
+   wire n_77421;
+   wire n_77422;
+   wire n_77423;
+   wire n_77424;
+   wire n_77425;
+   wire n_77426;
+   wire n_77446;
+   wire n_77452;
+   wire n_77454;
+   wire n_77457;
+   wire n_77458;
+   wire n_77460;
+   wire n_77461;
+   wire n_77462;
+   wire n_77463;
+   wire n_77464;
+   wire n_77468;
+   wire n_77469;
+   wire n_77473;
+   wire n_77476;
+   wire n_77477;
+   wire n_77481;
+   wire n_77482;
+   wire n_77489;
+   wire n_77490;
+   wire n_77492;
+   wire n_77493;
+   wire n_77495;
+   wire n_77496;
+   wire n_77497;
+   wire n_77498;
+   wire n_77499;
+   wire n_77501;
+   wire n_77502;
+   wire n_77503;
+   wire n_77504;
+   wire n_77506;
+   wire n_77508;
+   wire n_77509;
+   wire n_77510;
+   wire n_77511;
+   wire n_77516;
+   wire n_77517;
+   wire n_77518;
+   wire n_77519;
+   wire n_77520;
+   wire n_77549;
+   wire n_77550;
+   wire n_77551;
+   wire n_77552;
+   wire n_77553;
+   wire n_77582;
+   wire n_77584;
+   wire n_77585;
+   wire n_77587;
+   wire n_77588;
+   wire n_77589;
+   wire n_77590;
+   wire n_77591;
+   wire n_77594;
+   wire n_77596;
+   wire n_77597;
+   wire n_77600;
+   wire n_77601;
+   wire n_77616;
+   wire n_77617;
+   wire n_77618;
+   wire n_77619;
+   wire n_77620;
+   wire n_77621;
+   wire n_77622;
+   wire n_77625;
+   wire n_77641;
+   wire n_77816;
+   wire n_77817;
+   wire n_77821;
+   wire n_77822;
+   wire n_77825;
+   wire n_77828;
+   wire n_77829;
+   wire n_77831;
+   wire n_77832;
+   wire n_77834;
+   wire n_77835;
+   wire n_77836;
+   wire n_77839;
+   wire n_77843;
+   wire n_77846;
+   wire n_77847;
+   wire n_77851;
+   wire n_77852;
+   wire n_77856;
+   wire n_77860;
+   wire n_77861;
+   wire n_77862;
+   wire n_77864;
+   wire n_77865;
+   wire n_77866;
+   wire n_77867;
+   wire n_77868;
+   wire n_77870;
+   wire n_77872;
+   wire n_77874;
+   wire n_77875;
+   wire n_77876;
+   wire n_77877;
+   wire n_77878;
+   wire n_77879;
+   wire n_77880;
+   wire n_77881;
+   wire n_77882;
+   wire n_77883;
+   wire n_77884;
+   wire n_77886;
+   wire n_77888;
+   wire n_77889;
+   wire n_77890;
+   wire n_77892;
+   wire n_77893;
+   wire n_77894;
+   wire n_77895;
+   wire n_77896;
+   wire n_77897;
+   wire n_77899;
+   wire n_77901;
+   wire n_77904;
+   wire n_77906;
+   wire n_77910;
+   wire n_77911;
+   wire n_77912;
+   wire n_77913;
+   wire n_77914;
+   wire n_77917;
+   wire n_77918;
+   wire n_77919;
+   wire n_77920;
+   wire n_77923;
+   wire n_77924;
+   wire n_77926;
+   wire n_77927;
+   wire n_77928;
+   wire n_77930;
+   wire n_77932;
+   wire n_77933;
+   wire n_77934;
+   wire n_77935;
+   wire n_77936;
+   wire n_77937;
+   wire n_77938;
+   wire n_77939;
+   wire n_77940;
+   wire n_77941;
+   wire n_77942;
+   wire n_77946;
+   wire n_77947;
+   wire n_77949;
+   wire n_77952;
+   wire n_77954;
+   wire n_77955;
+   wire n_77957;
+   wire n_77958;
+   wire n_77959;
+   wire n_77961;
+   wire n_77962;
+   wire n_77963;
+   wire n_77966;
+   wire n_77967;
+   wire n_77968;
+   wire n_77976;
+   wire n_77977;
+   wire n_77980;
+   wire n_77981;
+   wire n_77983;
+   wire n_77984;
+   wire n_77985;
+   wire n_77986;
+   wire n_77987;
+   wire n_77989;
+   wire n_77999;
+   wire n_78000;
+   wire n_78003;
+   wire n_78004;
+   wire n_78007;
+   wire n_78008;
+   wire n_78017;
+   wire n_78022;
+   wire n_78023;
+   wire n_78025;
+   wire n_78027;
+   wire n_78028;
+   wire n_78031;
+   wire n_78032;
+   wire n_78033;
+   wire n_78034;
+   wire n_78035;
+   wire n_78039;
+   wire n_78040;
+   wire n_78041;
+   wire n_78042;
+   wire n_78043;
+   wire n_78044;
+   wire n_78047;
+   wire n_78048;
+   wire n_78050;
+   wire n_78051;
+   wire n_78052;
+   wire n_78057;
+   wire n_78058;
+   wire n_78059;
+   wire n_78064;
+   wire n_78066;
+   wire n_78067;
+   wire n_78070;
+   wire n_78071;
+   wire n_78072;
+   wire n_78073;
+   wire n_78074;
+   wire n_78075;
+   wire n_78076;
+   wire n_78077;
+   wire n_78078;
+   wire n_78079;
+   wire n_78080;
+   wire n_78082;
+   wire n_78083;
+   wire n_78087;
+   wire n_78088;
+   wire n_78089;
+   wire n_78090;
+   wire n_78092;
+   wire n_78095;
+   wire n_78097;
+   wire n_78098;
+   wire n_78101;
+   wire n_78102;
+   wire n_78106;
+   wire n_78107;
+   wire n_78109;
+   wire n_78110;
+   wire n_78112;
+   wire n_78114;
+   wire n_78115;
+   wire n_78116;
+   wire n_78118;
+   wire n_78119;
+   wire n_78120;
+   wire n_78121;
+   wire n_78122;
+   wire n_78123;
+   wire n_78124;
+   wire n_78125;
+   wire n_78128;
+   wire n_78129;
+   wire n_78130;
+   wire n_78131;
+   wire n_78132;
+   wire n_78133;
+   wire n_78135;
+   wire n_78136;
+   wire n_78138;
+   wire n_78139;
+   wire n_78140;
+   wire n_78142;
+   wire n_78143;
+   wire n_78144;
+   wire n_78145;
+   wire n_78146;
+   wire n_78148;
+   wire n_78149;
+   wire n_78150;
+   wire n_78151;
+   wire n_78152;
+   wire n_78155;
+   wire n_78156;
+   wire n_78158;
+   wire n_78160;
+   wire n_78161;
+   wire n_78162;
+   wire n_78163;
+   wire n_78165;
+   wire n_78167;
+   wire n_78168;
+   wire n_78169;
+   wire n_78170;
+   wire n_78171;
+   wire n_78172;
+   wire n_78175;
+   wire n_78176;
+   wire n_78177;
+   wire n_78181;
+   wire n_78182;
+   wire n_78183;
+   wire n_78188;
+   wire n_78193;
+   wire n_78194;
+   wire n_78195;
+   wire n_78196;
+   wire n_78200;
+   wire n_78201;
+   wire n_78202;
+   wire n_78204;
+   wire n_78208;
+   wire n_78210;
+   wire n_78211;
+   wire n_78217;
+   wire n_78218;
+   wire n_78219;
+   wire n_78220;
+   wire n_78221;
+   wire n_78222;
+   wire n_78224;
+   wire n_78225;
+   wire n_78226;
+   wire n_78229;
+   wire n_78230;
+   wire n_78231;
+   wire n_78232;
+   wire n_78233;
+   wire n_78234;
+   wire n_78238;
+   wire n_78239;
+   wire n_78240;
+   wire n_78241;
+   wire n_78242;
+   wire n_78243;
+   wire n_78244;
+   wire n_78245;
+   wire n_78246;
+   wire n_78247;
+   wire n_78248;
+   wire n_78250;
+   wire n_78251;
+   wire n_78252;
+   wire n_78253;
+   wire n_78254;
+   wire n_78257;
+   wire n_78258;
+   wire n_78260;
+   wire n_78261;
+   wire n_78264;
+   wire n_78265;
+   wire n_78266;
+   wire n_78267;
+   wire n_78268;
+   wire n_78269;
+   wire n_78271;
+   wire n_78272;
+   wire n_78273;
+   wire n_78274;
+   wire n_78275;
+   wire n_78276;
+   wire n_78277;
+   wire n_78279;
+   wire n_78280;
+   wire n_78283;
+   wire n_78284;
+   wire n_78285;
+   wire n_78286;
+   wire n_78287;
+   wire n_78291;
+   wire n_78295;
+   wire n_78296;
+   wire n_78297;
+   wire n_78299;
+   wire n_78300;
+   wire n_78301;
+   wire n_78302;
+   wire n_78303;
+   wire n_78304;
+   wire n_78305;
+   wire n_78311;
+   wire n_78314;
+   wire n_78315;
+   wire n_78316;
+   wire n_78317;
+   wire n_78318;
+   wire n_78320;
+   wire n_78321;
+   wire n_78325;
+   wire n_78326;
+   wire n_78329;
+   wire n_78330;
+   wire n_78339;
+   wire n_78340;
+   wire n_78341;
+   wire n_78342;
+   wire n_78343;
+   wire n_78344;
+   wire n_78345;
+   wire n_78352;
+   wire n_78353;
+   wire n_78354;
+   wire n_78355;
+   wire n_78356;
+   wire n_78357;
+   wire n_78360;
+   wire n_78361;
+   wire n_78365;
+   wire n_78366;
+   wire n_78367;
+   wire n_78370;
+   wire n_78372;
+   wire n_78373;
+   wire n_78377;
+   wire n_78379;
+   wire n_78381;
+   wire n_78382;
+   wire n_78383;
+   wire n_78384;
+   wire n_78385;
+   wire n_78386;
+   wire n_78388;
+   wire n_78392;
+   wire n_78393;
+   wire n_78394;
+   wire n_78397;
+   wire n_78398;
+   wire n_78399;
+   wire n_78401;
+   wire n_78404;
+   wire n_78405;
+   wire n_78406;
+   wire n_78407;
+   wire n_78408;
+   wire n_78409;
+   wire n_78410;
+   wire n_78411;
+   wire n_78413;
+   wire n_78415;
+   wire n_78418;
+   wire n_78423;
+   wire n_78424;
+   wire n_78429;
+   wire n_78430;
+   wire n_78431;
+   wire n_78433;
+   wire n_78434;
+   wire n_78435;
+   wire n_78436;
+   wire n_78437;
+   wire n_78438;
+   wire n_78439;
+   wire n_78440;
+   wire n_78442;
+   wire n_78443;
+   wire n_78444;
+   wire n_78445;
+   wire n_78446;
+   wire n_78447;
+   wire n_78458;
+   wire n_78463;
+   wire n_78467;
+   wire n_78470;
+   wire n_78471;
+   wire n_78472;
+   wire n_78478;
+   wire n_78479;
+   wire n_78480;
+   wire n_78483;
+   wire n_78484;
+   wire n_78485;
+   wire n_78486;
+   wire n_78487;
+   wire n_78488;
+   wire n_78489;
+   wire n_78490;
+   wire n_78493;
+   wire n_78494;
+   wire n_78495;
+   wire n_78496;
+   wire n_78497;
+   wire n_78498;
+   wire n_78499;
+   wire n_78500;
+   wire n_78501;
+   wire n_78502;
+   wire n_78503;
+   wire n_78504;
+   wire n_78505;
+   wire n_78506;
+   wire n_78507;
+   wire n_78516;
+   wire n_78517;
+   wire n_78519;
+   wire n_78520;
+   wire n_78521;
+   wire n_78522;
+   wire n_78523;
+   wire n_78524;
+   wire n_78526;
+   wire n_78527;
+   wire n_78528;
+   wire n_78529;
+   wire n_78534;
+   wire n_78536;
+   wire n_78537;
+   wire n_78538;
+   wire n_78539;
+   wire n_78540;
+   wire n_78541;
+   wire n_78542;
+   wire n_78543;
+   wire n_78545;
+   wire n_78558;
+   wire n_78559;
+   wire n_78564;
+   wire n_78572;
+   wire n_78575;
+   wire n_78576;
+   wire n_78577;
+   wire n_78578;
+   wire n_78580;
+   wire n_78581;
+   wire n_78582;
+   wire n_78588;
+   wire n_78596;
+   wire n_78597;
+   wire n_78598;
+   wire n_78599;
+   wire n_78601;
+   wire n_78602;
+   wire n_78603;
+   wire n_78604;
+   wire n_78606;
+   wire n_78607;
+   wire n_78608;
+   wire n_78609;
+   wire n_78610;
+   wire n_78621;
+   wire n_78623;
+   wire n_78630;
+   wire n_78631;
+   wire n_78632;
+   wire n_78633;
+   wire n_78634;
+   wire n_78636;
+   wire n_78637;
+   wire n_78638;
+   wire n_78639;
+   wire n_78640;
+   wire n_78641;
+   wire n_78642;
+   wire n_78643;
+   wire n_78647;
+   wire n_78649;
+   wire n_78650;
+   wire n_78652;
+   wire n_78653;
+   wire n_78655;
+   wire n_78656;
+   wire n_78657;
+   wire n_78658;
+   wire n_78659;
+   wire n_78660;
+   wire n_78675;
+   wire n_78676;
+   wire n_78677;
+   wire n_78678;
+   wire n_78680;
+   wire n_78681;
+   wire n_78682;
+   wire n_78685;
+   wire n_78687;
+   wire n_78689;
+   wire n_78690;
+   wire n_78691;
+   wire n_78692;
+   wire n_78693;
+   wire n_78694;
+   wire n_78695;
+   wire n_78696;
+   wire n_78697;
+   wire n_78701;
+   wire n_78702;
+   wire n_78703;
+   wire n_78706;
+   wire n_78708;
+   wire n_78709;
+   wire n_78710;
+   wire n_78711;
+   wire n_78712;
+   wire n_78713;
+   wire n_78716;
+   wire n_78717;
+   wire n_78718;
+   wire n_78720;
+   wire n_78722;
+   wire n_78725;
+   wire n_78726;
+   wire n_78727;
+   wire n_78732;
+   wire n_78733;
+   wire n_78737;
+   wire n_78738;
+   wire n_78739;
+   wire n_78740;
+   wire n_78741;
+   wire n_78745;
+   wire n_78749;
+   wire n_78750;
+   wire n_78751;
+   wire n_78752;
+   wire n_78753;
+   wire n_78754;
+   wire n_78755;
+   wire n_78756;
+   wire n_78758;
+   wire n_78760;
+   wire n_78761;
+   wire n_78762;
+   wire n_78763;
+   wire n_78764;
+   wire n_78767;
+   wire n_78768;
+   wire n_78769;
+   wire n_78778;
+   wire n_78779;
+   wire n_78780;
+   wire n_78781;
+   wire n_78782;
+   wire n_78791;
+   wire n_78792;
+   wire n_78793;
+   wire n_78794;
+   wire n_78795;
+   wire n_78796;
+   wire n_78797;
+   wire n_78798;
+   wire n_78799;
+   wire n_78801;
+   wire n_78802;
+   wire n_78803;
+   wire n_78804;
+   wire n_78805;
+   wire n_78806;
+   wire n_78807;
+   wire n_78808;
+   wire n_78809;
+   wire n_78810;
+   wire n_78811;
+   wire n_78813;
+   wire n_78814;
+   wire n_78815;
+   wire n_78816;
+   wire n_78817;
+   wire n_78818;
+   wire n_78847;
+   wire n_78848;
+   wire n_78849;
+   wire n_78851;
+   wire n_78852;
+   wire n_78855;
+   wire n_78864;
+   wire n_78872;
+   wire n_78873;
+   wire n_78874;
+   wire n_78875;
+   wire n_78876;
+   wire n_78897;
+   wire n_78898;
+   wire n_78899;
+   wire n_78900;
+   wire n_78901;
+   wire n_78903;
+   wire n_78904;
+   wire n_78905;
+   wire n_78908;
+   wire n_78909;
+   wire n_78917;
+   wire n_78918;
+   wire n_78922;
+   wire n_78924;
+   wire n_78925;
+   wire n_78926;
+   wire n_78933;
+   wire n_78934;
+   wire n_78935;
+   wire n_78948;
+   wire n_78951;
+   wire n_78956;
+   wire n_78957;
+   wire n_78958;
+   wire n_78959;
+   wire n_78960;
+   wire n_78962;
+   wire n_78963;
+   wire n_78996;
+   wire n_78997;
+   wire n_78998;
+   wire n_78999;
+   wire n_79000;
+   wire n_79002;
+   wire n_79003;
+   wire n_79004;
+   wire n_79005;
+   wire n_79007;
+   wire n_79008;
+   wire n_79015;
+   wire n_79016;
+   wire n_79019;
+   wire n_79020;
+   wire n_79021;
+   wire n_79034;
+   wire n_79035;
+   wire n_79036;
+   wire n_79037;
+   wire n_79038;
+   wire n_79039;
+   wire n_79040;
+   wire n_79041;
+   wire n_79046;
+   wire n_79048;
+   wire n_79049;
+   wire n_79050;
+   wire n_79051;
+   wire n_79063;
+   wire n_79068;
+   wire n_79075;
+   wire n_79076;
+   wire n_79077;
+   wire n_79078;
+   wire n_79079;
+   wire n_79081;
+   wire n_79082;
+   wire n_79083;
+   wire n_79084;
+   wire n_79085;
+   wire n_79086;
+   wire n_79087;
+   wire n_79093;
+   wire n_79095;
+   wire n_79096;
+   wire n_79109;
+   wire n_79110;
+   wire n_79112;
+   wire n_79113;
+   wire n_79114;
+   wire n_79115;
+   wire n_79116;
+   wire n_79117;
+   wire n_79119;
+   wire n_79122;
+   wire n_79125;
+   wire n_79126;
+   wire n_79128;
+   wire n_79129;
+   wire n_79131;
+   wire n_79132;
+   wire n_79133;
+   wire n_79134;
+   wire n_79135;
+   wire n_79136;
+   wire n_79137;
+   wire n_79138;
+   wire n_79139;
+   wire n_79144;
+   wire n_79145;
+   wire n_79146;
+   wire n_79147;
+   wire n_79148;
+   wire n_79150;
+   wire n_79151;
+   wire n_79152;
+   wire n_79154;
+   wire n_79155;
+   wire n_79156;
+   wire n_79157;
+   wire n_79165;
+   wire n_79166;
+   wire n_79170;
+   wire n_79171;
+   wire n_79172;
+   wire n_79174;
+   wire n_79175;
+   wire n_79176;
+   wire n_79177;
+   wire n_79178;
+   wire n_79181;
+   wire n_79185;
+   wire n_79186;
+   wire n_79187;
+   wire n_79188;
+   wire n_79189;
+   wire n_79190;
+   wire n_79192;
+   wire n_79193;
+   wire n_79194;
+   wire n_79195;
+   wire n_79196;
+   wire n_79202;
+   wire n_79203;
+   wire n_79204;
+   wire n_79205;
+   wire n_79208;
+   wire n_79213;
+   wire n_79215;
+   wire n_79216;
+   wire n_79218;
+   wire n_79219;
+   wire n_79223;
+   wire n_79230;
+   wire n_79231;
+   wire n_79232;
+   wire n_79233;
+   wire n_79235;
+   wire n_79236;
+   wire n_79242;
+   wire n_79243;
+   wire n_79244;
+   wire n_79245;
+   wire n_79249;
+   wire n_79251;
+   wire n_79252;
+   wire n_79253;
+   wire n_79254;
+   wire n_79255;
+   wire n_79256;
+   wire n_79258;
+   wire n_79259;
+   wire n_79262;
+   wire n_79265;
+   wire n_79266;
+   wire n_79267;
+   wire n_79268;
+   wire n_79269;
+   wire n_79271;
+   wire n_79276;
+   wire n_79277;
+   wire n_79279;
+   wire n_79280;
+   wire n_79281;
+   wire n_79311;
+   wire n_79313;
+   wire n_79314;
+   wire n_79315;
+   wire n_79318;
+   wire n_79319;
+   wire n_79323;
+   wire n_79327;
+   wire n_79328;
+   wire n_79329;
+   wire n_79330;
+   wire n_79331;
+   wire n_79332;
+   wire n_79333;
+   wire n_79356;
+   wire n_79357;
+   wire n_79358;
+   wire n_79360;
+   wire n_79363;
+   wire n_79364;
+   wire n_79365;
+   wire n_79368;
+   wire n_79369;
+   wire n_79370;
+   wire n_79371;
+   wire n_79372;
+   wire n_79373;
+   wire n_79374;
+   wire n_79375;
+   wire n_79376;
+   wire n_79377;
+   wire n_79378;
+   wire n_79379;
+   wire n_79382;
+   wire n_79383;
+   wire n_79390;
+   wire n_79391;
+   wire n_79392;
+   wire n_79393;
+   wire n_79395;
+   wire n_79396;
+   wire n_79402;
+   wire n_79404;
+   wire n_79405;
+   wire n_79406;
+   wire n_79407;
+   wire n_79408;
+   wire n_79410;
+   wire n_79411;
+   wire n_79412;
+   wire n_79413;
+   wire n_79414;
+   wire n_79415;
+   wire n_79416;
+   wire n_79417;
+   wire n_79419;
+   wire n_79420;
+   wire n_79421;
+   wire n_79423;
+   wire n_79424;
+   wire n_79425;
+   wire n_79426;
+   wire n_79436;
+   wire n_79437;
+   wire n_79441;
+   wire n_79442;
+   wire n_79443;
+   wire n_79444;
+   wire n_79447;
+   wire n_79459;
+   wire n_79461;
+   wire n_79462;
+   wire n_79463;
+   wire n_79464;
+   wire n_79467;
+   wire n_79471;
+   wire n_79477;
+   wire n_79482;
+   wire n_79483;
+   wire n_79487;
+   wire n_79488;
+   wire n_79489;
+   wire n_79490;
+   wire n_79491;
+   wire n_79493;
+   wire n_79494;
+   wire n_79495;
+   wire n_79496;
+   wire n_79498;
+   wire n_79499;
+   wire n_79500;
+   wire n_79501;
+   wire n_79502;
+   wire n_79503;
+   wire n_79504;
+   wire n_79505;
+   wire n_79506;
+   wire n_79507;
+   wire n_79508;
+   wire n_79509;
+   wire n_79510;
+   wire n_79511;
+   wire n_79514;
+   wire n_79515;
+   wire n_79517;
+   wire n_79519;
+   wire n_79520;
+   wire n_79521;
+   wire n_79522;
+   wire n_79524;
+   wire n_79525;
+   wire n_79526;
+   wire n_79527;
+   wire n_79528;
+   wire n_79529;
+   wire n_79530;
+   wire n_79531;
+   wire n_79533;
+   wire n_79534;
+   wire n_79541;
+   wire n_79542;
+   wire n_79544;
+   wire n_79545;
+   wire n_79548;
+   wire n_79554;
+   wire n_79558;
+   wire n_79559;
+   wire n_79561;
+   wire n_79563;
+   wire n_79564;
+   wire n_79565;
+   wire n_79566;
+   wire n_79567;
+   wire n_79569;
+   wire n_79571;
+   wire n_79572;
+   wire n_79573;
+   wire n_79575;
+   wire n_79578;
+   wire n_79579;
+   wire n_79580;
+   wire n_79592;
+   wire n_79596;
+   wire n_79597;
+   wire n_79598;
+   wire n_79599;
+   wire n_79601;
+   wire n_79602;
+   wire n_79604;
+   wire n_79605;
+   wire n_79606;
+   wire n_79607;
+   wire n_79608;
+   wire n_79609;
+   wire n_79611;
+   wire n_79612;
+   wire n_79613;
+   wire n_79616;
+   wire n_79618;
+   wire n_79620;
+   wire n_79621;
+   wire n_79623;
+   wire n_79624;
+   wire n_79626;
+   wire n_79627;
+   wire n_79630;
+   wire n_79631;
+   wire n_79632;
+   wire n_79633;
+   wire n_79636;
+   wire n_79637;
+   wire n_79638;
+   wire n_79640;
+   wire n_79641;
+   wire n_79643;
+   wire n_79644;
+   wire n_79645;
+   wire n_79648;
+   wire n_79654;
+   wire n_79657;
+   wire n_79658;
+   wire n_79659;
+   wire n_79661;
+   wire n_79664;
+   wire n_79665;
+   wire n_79666;
+   wire n_79667;
+   wire n_79669;
+   wire n_79670;
+   wire n_79671;
+   wire n_79672;
+   wire n_79673;
+   wire n_79674;
+   wire n_79676;
+   wire n_79677;
+   wire n_79685;
+   wire n_79686;
+   wire n_79687;
+   wire n_79688;
+   wire n_79690;
+   wire n_79691;
+   wire n_79693;
+   wire n_79694;
+   wire n_79697;
+   wire n_79698;
+   wire n_79705;
+   wire n_79706;
+   wire n_79707;
+   wire n_79708;
+   wire n_79710;
+   wire n_79711;
+   wire n_79714;
+   wire n_79716;
+   wire n_79717;
+   wire n_79719;
+   wire n_79720;
+   wire n_79721;
+   wire n_79722;
+   wire n_79724;
+   wire n_79725;
+   wire n_79726;
+   wire n_79727;
+   wire n_79730;
+   wire n_79736;
+   wire n_79741;
+   wire n_79743;
+   wire n_79744;
+   wire n_79746;
+   wire n_79748;
+   wire n_79750;
+   wire n_79751;
+   wire n_79752;
+   wire n_79753;
+   wire n_79754;
+   wire n_79755;
+   wire n_79756;
+   wire n_79757;
+   wire n_79758;
+   wire n_79759;
+   wire n_79760;
+   wire n_79764;
+   wire n_79765;
+   wire n_79767;
+   wire n_79769;
+   wire n_79770;
+   wire n_79778;
+   wire n_80111;
+   wire n_80113;
+   wire n_80115;
+   wire n_80116;
+   wire n_80119;
+   wire n_80120;
+   wire n_80121;
+   wire n_80122;
+   wire n_80126;
+   wire n_80127;
+   wire n_80130;
+   wire n_80131;
+   wire n_80132;
+   wire n_80133;
+   wire n_80134;
+   wire n_80135;
+   wire n_80136;
+   wire n_80138;
+   wire n_80139;
+   wire n_80141;
+   wire n_80142;
+   wire n_80144;
+   wire n_80145;
+   wire n_80146;
+   wire n_80147;
+   wire n_80148;
+   wire n_80149;
+   wire n_80151;
+   wire n_80152;
+   wire n_80155;
+   wire n_80162;
+   wire n_80163;
+   wire n_80164;
+   wire n_80165;
+   wire n_80166;
+   wire n_80167;
+   wire n_80168;
+   wire n_80169;
+   wire n_80170;
+   wire n_80171;
+   wire n_80172;
+   wire n_80174;
+   wire n_80176;
+   wire n_80178;
+   wire n_80179;
+   wire n_80185;
+   wire n_80188;
+   wire n_80190;
+   wire n_80192;
+   wire n_80194;
+   wire n_80196;
+   wire n_80198;
+   wire n_80199;
+   wire n_80221;
+   wire n_80222;
+   wire n_80224;
+   wire n_80225;
+   wire n_80228;
+   wire n_80229;
+   wire n_80230;
+   wire n_80231;
+   wire n_80232;
+   wire n_80233;
+   wire n_80235;
+   wire n_80236;
+   wire n_80237;
+   wire n_80238;
+   wire n_80239;
+   wire n_80240;
+   wire n_80241;
+   wire n_80242;
+   wire n_80243;
+   wire n_80244;
+   wire n_80245;
+   wire n_80246;
+   wire n_80247;
+   wire n_80254;
+   wire n_80257;
+   wire n_80263;
+   wire n_80265;
+   wire n_80266;
+   wire n_80267;
+   wire n_80268;
+   wire n_80269;
+   wire n_80270;
+   wire n_80272;
+   wire n_80273;
+   wire n_80274;
+   wire n_80275;
+   wire n_80277;
+   wire n_80278;
+   wire n_80279;
+   wire n_80281;
+   wire n_80282;
+   wire n_80284;
+   wire n_80285;
+   wire n_80286;
+   wire n_80287;
+   wire n_80289;
+   wire n_80291;
+   wire n_80294;
+   wire n_80295;
+   wire n_80298;
+   wire n_80299;
+   wire n_80300;
+   wire n_80301;
+   wire n_80302;
+   wire n_80303;
+   wire n_80304;
+   wire n_80305;
+   wire n_80308;
+   wire n_80309;
+   wire n_80310;
+   wire n_80312;
+   wire n_80314;
+   wire n_80315;
+   wire n_80316;
+   wire n_80317;
+   wire n_80319;
+   wire n_80320;
+   wire n_80321;
+   wire n_80322;
+   wire n_80323;
+   wire n_80324;
+   wire n_80325;
+   wire n_80326;
+   wire n_80327;
+   wire n_80328;
+   wire n_80329;
+   wire n_80330;
+   wire n_80331;
+   wire n_80332;
+   wire n_80333;
+   wire n_80334;
+   wire n_80335;
+   wire n_80336;
+   wire n_80339;
+   wire n_80340;
+   wire n_80342;
+   wire n_80345;
+   wire n_80346;
+   wire n_80347;
+   wire n_80348;
+   wire n_80353;
+   wire n_80355;
+   wire n_80356;
+   wire n_80358;
+   wire n_80383;
+   wire n_80386;
+   wire n_80398;
+   wire n_80399;
+   wire n_80400;
+   wire n_80401;
+   wire n_80403;
+   wire n_80405;
+   wire n_80407;
+   wire n_80410;
+   wire n_80411;
+   wire n_80412;
+   wire n_80415;
+   wire n_80416;
+   wire n_80417;
+   wire n_80418;
+   wire n_80419;
+   wire n_80420;
+   wire n_80430;
+   wire n_80431;
+   wire n_80432;
+   wire n_80434;
+   wire n_80435;
+   wire n_80436;
+   wire n_80442;
+   wire n_80443;
+   wire n_80444;
+   wire n_80445;
+   wire n_80449;
+   wire n_80451;
+   wire n_80452;
+   wire n_80455;
+   wire n_80456;
+   wire n_80459;
+   wire n_80460;
+   wire n_80461;
+   wire n_80462;
+   wire n_80464;
+   wire n_80465;
+   wire n_80466;
+   wire n_80467;
+   wire n_80468;
+   wire n_80469;
+   wire n_80470;
+   wire n_80471;
+   wire n_80472;
+   wire n_80473;
+   wire n_80703;
+   wire n_80707;
+   wire n_80708;
+   wire n_80709;
+   wire n_80710;
+   wire n_80711;
+   wire n_80712;
+   wire n_80713;
+   wire n_80714;
+   wire n_80715;
+   wire n_80739;
+   wire n_80740;
+   wire n_80741;
+   wire n_80742;
+   wire n_80745;
+   wire n_80746;
+   wire n_80747;
+   wire n_80758;
+   wire n_80759;
+   wire n_80760;
+   wire n_80762;
+   wire n_80763;
+   wire n_80764;
+   wire n_80765;
+   wire n_80769;
+   wire n_80770;
+   wire n_80771;
+   wire n_80772;
+   wire n_80773;
+   wire n_80775;
+   wire n_80776;
+   wire n_80781;
+   wire n_80786;
+   wire n_80787;
+   wire n_80788;
+   wire n_80789;
+   wire n_80790;
+   wire n_80797;
+   wire n_80798;
+   wire n_80799;
+   wire n_80800;
+   wire n_80803;
+   wire n_80804;
+   wire n_80807;
+   wire n_80810;
+   wire n_80812;
+   wire n_80813;
+   wire n_80815;
+   wire n_80816;
+   wire n_80817;
+   wire n_80818;
+   wire n_80819;
+   wire n_80820;
+   wire n_80822;
+   wire n_80823;
+   wire n_81177;
+   wire n_81178;
+   wire n_81181;
+   wire n_81182;
+   wire n_81183;
+   wire n_81185;
+   wire n_81199;
+   wire n_81201;
+   wire n_81208;
+   wire n_81219;
+   wire n_81222;
+   wire n_81223;
+   wire n_81224;
+   wire n_81229;
+   wire n_81230;
+   wire n_81231;
+   wire n_81235;
+   wire n_81237;
+   wire n_81238;
+   wire n_81239;
+   wire n_81241;
+   wire n_81242;
+   wire n_81243;
+   wire n_81244;
+   wire n_81245;
+   wire n_81246;
+   wire n_81247;
+   wire n_81249;
+   wire n_81250;
+   wire n_81254;
+   wire n_81256;
+   wire n_81263;
+   wire n_81264;
+   wire n_81265;
+   wire n_81266;
+   wire n_81267;
+   wire n_81268;
+   wire n_81271;
+   wire n_81272;
+   wire n_81273;
+   wire n_81274;
+   wire n_81275;
+   wire n_81276;
+   wire n_81280;
+   wire n_81281;
+   wire n_81282;
+   wire n_81283;
+   wire n_81286;
+   wire n_81288;
+   wire n_81301;
+   wire n_81303;
+   wire n_81308;
+   wire n_81310;
+   wire n_81343;
+   wire n_81348;
+   wire n_81351;
+   wire n_81352;
+   wire n_81353;
+   wire n_81354;
+   wire n_81355;
+   wire n_81356;
+   wire n_81357;
+   wire n_81358;
+   wire n_81359;
+   wire n_81529;
+   wire n_81530;
+   wire n_81534;
+   wire n_81535;
+   wire n_81536;
+   wire n_81661;
+   wire n_81664;
+   wire n_81690;
+   wire n_81693;
+   wire n_81695;
+   wire n_81696;
+   wire n_81697;
+   wire n_81698;
+   wire n_81699;
+   wire n_81700;
+   wire n_81702;
+   wire n_81703;
+   wire n_81704;
+   wire n_81706;
+   wire n_81707;
+   wire n_81708;
+   wire n_81709;
+   wire n_81710;
+   wire n_81711;
+   wire n_81713;
+   wire n_81714;
+   wire n_81715;
+   wire n_81716;
+   wire n_81717;
+   wire n_81718;
+   wire n_81721;
+   wire n_81723;
+   wire n_81724;
+   wire n_81725;
+   wire n_81727;
+   wire n_81728;
+   wire n_81730;
+   wire n_81731;
+   wire n_81732;
+   wire n_81735;
+   wire n_81748;
+   wire n_81749;
+   wire n_81755;
+   wire n_81756;
+   wire n_81757;
+   wire n_81760;
+   wire n_81761;
+   wire n_81762;
+   wire n_81766;
+   wire n_81767;
+   wire n_81768;
+   wire n_81771;
+   wire n_81894;
+   wire n_81895;
+   wire n_81896;
+   wire n_81897;
+   wire n_81898;
+   wire n_82009;
+   wire n_82010;
+   wire n_82011;
+   wire n_82012;
+   wire n_82013;
+   wire n_82014;
+   wire n_82015;
+   wire n_82239;
+   wire n_82240;
+   wire n_82241;
+   wire n_82242;
+   wire n_82243;
+   wire n_82244;
+   wire n_82289;
+   wire n_82290;
+   wire n_82291;
+   wire n_82293;
+   wire n_82294;
+   wire n_83562;
+   wire n_83563;
+   wire n_83780;
+   wire n_83913;
+   wire n_83980;
+   wire n_83982;
+   wire n_83983;
+   wire n_84008;
+   wire n_84009;
+   wire n_84010;
+   wire n_84024;
+   wire n_84025;
+   wire n_84026;
+   wire n_84031;
+   wire n_84032;
+   wire n_84033;
+   wire n_84034;
+   wire n_84035;
+   wire n_84036;
+   wire n_84037;
+   wire n_84038;
+   wire n_84039;
+   wire n_84084;
+   wire n_84182;
+   wire n_84185;
+   wire n_84186;
+   wire n_84188;
+   wire n_84195;
+   wire n_84196;
+   wire n_84197;
+   wire n_84225;
+   wire n_84227;
+   wire n_84228;
+   wire n_84229;
+   wire n_84232;
+   wire n_84233;
+   wire n_84234;
+   wire n_84235;
+   wire n_84237;
+   wire n_84279;
+   wire n_84280;
+   wire n_84281;
+   wire n_84283;
+   wire n_84286;
+   wire n_84288;
+   wire n_84289;
+   wire n_84290;
+   wire n_84291;
+   wire n_84292;
+   wire n_84293;
+   wire n_84300;
+   wire n_84301;
+   wire n_84302;
+   wire n_84303;
+   wire n_84317;
+   wire n_84318;
+   wire n_84319;
+   wire n_84333;
+   wire n_84334;
+   wire n_84335;
+   wire n_84338;
+   wire n_84339;
+   wire n_84340;
+   wire n_84343;
+   wire n_84359;
+   wire n_84360;
+   wire n_84361;
+   wire n_84362;
+   wire n_84363;
+   wire n_84364;
+   wire n_84365;
+   wire n_84366;
+   wire n_84419;
+   wire n_84427;
+   wire n_84431;
+   wire n_84455;
+   wire n_84468;
+   wire n_84469;
+   wire n_84470;
+   wire n_84499;
+   wire n_84500;
+   wire n_84501;
+   wire n_84503;
+   wire n_84507;
+   wire n_84508;
+   wire n_84520;
+   wire n_84521;
+   wire n_84532;
+   wire n_84533;
+   wire n_84534;
+   wire n_84548;
+   wire n_84549;
+   wire n_84550;
+   wire n_84551;
+   wire n_84552;
+   wire n_84559;
+   wire n_84560;
+   wire n_84561;
+   wire n_84562;
+   wire n_84568;
+   wire n_84569;
+   wire n_84570;
+   wire n_84636;
+   wire n_84637;
+   wire n_84639;
+   wire n_84640;
+   wire n_84641;
+   wire n_84642;
+   wire n_84643;
+   wire n_84644;
+   wire n_84646;
+   wire n_84647;
+   wire n_84648;
+   wire n_84649;
+   wire n_84650;
+   wire n_84651;
+   wire n_84652;
+   wire n_84653;
+   wire n_84655;
+   wire n_84656;
+   wire n_84657;
+   wire n_84658;
+   wire n_84659;
+   wire n_84660;
+   wire n_84661;
+   wire n_84662;
+   wire n_84663;
+   wire n_84664;
+   wire n_84665;
+   wire n_84666;
+   wire n_84669;
+   wire n_84832;
+   wire n_84833;
+   wire n_84834;
+   wire n_84835;
+   wire n_84857;
+   wire n_84858;
+   wire n_84859;
+   wire n_84860;
+   wire n_84861;
+   wire n_84877;
+   wire n_84878;
+   wire n_84879;
+   wire n_84880;
+   wire n_84881;
+   wire n_84896;
+   wire n_84897;
+   wire n_84903;
+   wire n_84952;
+   wire n_84953;
+   wire n_84954;
+   wire n_84955;
+   wire n_84956;
+   wire n_84985;
+   wire n_84987;
+   wire n_84989;
+   wire n_84998;
+   wire n_84999;
+   wire n_85000;
+   wire n_85001;
+   wire n_85002;
+   wire n_85003;
+   wire n_85004;
+   wire n_85025;
+   wire n_85026;
+   wire n_85052;
+   wire n_85053;
+   wire n_85054;
+   wire n_85095;
+   wire n_85098;
+   wire n_85099;
+   wire n_85100;
+   wire n_85102;
+   wire n_85103;
+   wire n_85104;
+   wire n_85118;
+   wire n_85119;
+   wire n_85120;
+   wire n_85122;
+   wire n_85124;
+   wire n_85125;
+   wire n_85159;
+   wire n_85160;
+   wire n_85161;
+   wire n_85162;
+   wire n_85163;
+   wire n_85181;
+   wire n_85182;
+   wire n_85184;
+   wire n_85185;
+   wire n_85205;
+   wire n_85206;
+   wire n_85208;
+   wire n_85250;
+   wire n_85251;
+   wire n_85254;
+   wire n_85255;
+   wire n_85283;
+   wire n_85284;
+   wire n_85285;
+   wire n_85288;
+   wire n_85290;
+   wire n_85291;
+   wire n_85463;
+   wire n_85464;
+   wire n_85465;
+   wire n_85470;
+   wire n_85471;
+   wire n_85472;
+   wire n_85474;
+   wire n_85475;
+   wire n_85506;
+   wire n_85508;
+   wire n_85509;
+   wire n_85555;
+   wire n_85557;
+   wire n_85558;
+   wire n_85559;
+   wire n_85560;
+   wire n_85561;
+   wire n_85562;
+   wire n_85638;
+   wire n_85640;
+   wire n_85641;
+   wire n_85642;
+   wire n_85661;
+   wire n_85662;
+   wire n_85663;
+   wire n_85666;
+   wire n_85669;
+   wire n_85670;
+   wire n_85756;
+   wire n_85757;
+   wire n_85763;
+   wire n_85764;
+   wire n_85809;
+   wire n_85810;
+   wire n_85811;
+   wire n_85863;
+   wire n_85864;
+   wire n_85865;
+   wire n_85866;
+   wire n_85867;
+   wire n_85868;
+   wire n_85926;
+   wire n_85927;
+   wire n_85928;
+   wire n_85929;
+   wire n_85930;
+   wire n_85931;
+   wire n_85932;
+   wire n_85933;
+   wire n_85982;
+   wire n_85983;
+   wire n_85984;
+   wire n_85990;
+   wire n_85991;
+   wire n_85992;
+   wire n_86031;
+   wire n_86033;
+   wire n_86034;
+   wire n_86039;
+   wire n_86040;
+   wire n_86041;
+   wire n_86053;
+   wire n_86054;
+   wire n_86167;
+   wire n_86168;
+   wire n_86170;
+   wire n_86171;
+   wire n_86172;
+   wire n_86174;
+   wire n_86278;
+   wire n_86280;
+   wire n_86290;
+   wire n_86291;
+   wire n_86292;
+   wire n_86293;
+   wire n_86294;
+   wire n_86295;
+   wire n_86296;
+   wire n_86297;
+   wire n_86300;
+   wire n_86301;
+   wire n_86306;
+   wire n_86308;
+   wire n_86309;
+   wire n_86310;
+   wire n_86311;
+   wire n_86312;
+   wire n_86331;
+   wire n_86339;
+   wire n_86372;
+   wire n_86373;
+   wire n_86374;
+   wire n_86375;
+   wire n_86376;
+   wire n_86377;
+   wire n_86378;
+   wire n_86379;
+   wire n_86380;
+   wire n_86381;
+   wire n_86384;
+   wire n_86385;
+   wire n_86386;
+   wire n_86387;
+   wire n_86390;
+   wire n_86391;
+   wire n_86392;
+   wire n_86400;
+   wire n_86401;
+   wire n_86404;
+   wire n_86405;
+   wire n_86406;
+   wire n_86410;
+   wire n_86433;
+   wire n_86434;
+   wire n_86458;
+   wire n_86466;
+   wire n_86471;
+   wire n_86472;
+   wire n_86479;
+   wire n_86600;
+   wire n_86606;
+   wire n_86607;
+   wire n_86608;
+   wire n_86612;
+   wire n_86613;
+   wire n_86618;
+   wire n_86621;
+   wire n_86624;
+   wire n_86636;
+   wire n_86637;
+   wire n_86648;
+   wire n_86649;
+   wire n_86680;
+   wire n_86682;
+   wire n_86686;
+   wire n_86687;
+   wire n_86688;
+   wire n_86690;
+   wire n_86691;
+   wire n_86692;
+   wire n_86702;
+   wire n_86705;
+   wire n_86706;
+   wire n_86707;
+   wire n_86708;
+   wire n_86709;
+   wire n_86710;
+   wire n_86716;
+   wire n_86717;
+   wire n_86718;
+   wire n_86735;
+   wire n_86739;
+   wire n_86743;
+   wire n_86758;
+   wire n_86768;
+   wire n_86769;
+   wire n_86776;
+   wire n_86778;
+   wire n_86792;
+   wire n_86793;
+   wire n_86814;
+   wire n_86815;
+   wire n_86821;
+   wire n_86822;
+   wire n_86823;
+   wire n_86824;
+   wire n_86825;
+   wire n_86827;
+   wire n_86828;
+   wire n_86829;
+   wire n_86830;
+   wire n_86834;
+   wire n_86835;
+   wire n_86836;
+   wire n_86837;
+   wire n_86844;
+   wire n_86845;
+   wire n_86848;
+   wire n_86849;
+   wire n_86850;
+   wire n_86851;
+   wire n_86853;
+   wire n_86870;
+   wire n_86871;
+   wire n_86872;
+   wire n_86876;
+   wire n_86877;
+   wire n_86878;
+   wire n_86879;
+   wire n_86881;
+   wire n_86882;
+   wire n_86883;
+   wire n_86884;
+   wire n_86885;
+   wire n_86888;
+   wire n_86890;
+   wire n_86891;
+   wire n_86892;
+   wire n_86894;
+   wire n_86895;
+   wire n_86896;
+   wire n_86897;
+   wire n_86898;
+   wire n_86899;
+   wire n_86901;
+   wire n_86902;
+   wire n_86903;
+   wire n_86904;
+   wire n_86906;
+   wire n_86907;
+   wire n_86910;
+   wire n_86911;
+   wire n_86913;
+   wire n_86914;
+   wire n_86915;
+   wire n_86916;
+   wire n_86917;
+   wire n_86920;
+   wire n_86924;
+   wire n_86926;
+   wire n_86934;
+   wire n_86942;
+   wire n_86948;
+   wire n_86956;
+   wire n_87004;
+   wire n_87006;
+   wire n_87007;
+   wire n_87008;
+   wire n_87018;
+   wire n_87019;
+   wire n_87021;
+   wire n_87026;
+   wire n_87027;
+   wire n_87028;
+   wire n_87030;
+   wire n_87033;
+   wire n_87034;
+   wire n_87035;
+   wire n_87036;
+   wire n_87038;
+   wire n_87080;
+   wire n_87087;
+   wire n_87088;
+   wire n_87131;
+   wire n_87136;
+   wire n_87137;
+   wire n_87138;
+   wire n_87139;
+   wire n_87140;
+   wire n_87141;
+   wire n_87142;
+   wire n_87143;
+   wire n_87144;
+   wire n_87147;
+   wire n_87149;
+   wire n_87150;
+   wire n_87151;
+   wire n_87152;
+   wire n_87153;
+   wire n_87176;
+   wire n_87177;
+   wire n_87178;
+   wire n_87403;
+   wire n_87411;
+   wire n_87429;
+   wire n_87437;
+   wire n_87439;
+   wire n_87440;
+   wire n_87441;
+   wire n_87442;
+   wire n_87443;
+   wire n_87444;
+   wire n_87446;
+   wire n_87449;
+   wire n_87452;
+   wire n_87453;
+   wire n_87454;
+   wire n_87455;
+   wire n_87456;
+   wire n_87457;
+   wire n_87458;
+   wire n_87461;
+   wire n_87465;
+   wire n_87466;
+   wire n_87467;
+   wire n_87470;
+   wire n_87475;
+   wire n_87477;
+   wire n_87497;
+   wire n_87498;
+   wire n_87504;
+   wire n_87506;
+   wire n_87507;
+   wire n_87508;
+   wire n_87509;
+   wire n_87510;
+   wire n_87511;
+   wire n_87514;
+   wire n_87517;
+   wire n_87518;
+   wire n_87521;
+   wire n_87522;
+   wire n_87524;
+   wire n_87526;
+   wire n_87528;
+   wire n_87529;
+   wire n_87530;
+   wire n_87531;
+   wire n_87532;
+   wire n_87533;
+   wire n_87535;
+   wire n_87536;
+   wire n_87537;
+   wire n_87540;
+   wire n_87542;
+   wire n_87543;
+   wire n_87545;
+   wire n_87546;
+   wire n_87547;
+   wire n_87548;
+   wire n_87549;
+   wire n_87550;
+   wire n_87551;
+   wire n_87552;
+   wire n_87553;
+   wire n_87554;
+   wire n_87555;
+   wire n_87556;
+   wire n_87560;
+   wire n_87563;
+   wire n_87564;
+   wire n_87566;
+   wire n_87567;
+   wire n_87569;
+   wire n_87576;
+   wire n_87578;
+   wire n_87579;
+   wire n_87580;
+   wire n_87585;
+   wire n_87590;
+   wire n_87591;
+   wire n_87592;
+   wire n_87593;
+   wire n_87594;
+   wire n_87596;
+   wire n_87597;
+   wire n_87598;
+   wire n_87599;
+   wire n_87603;
+   wire n_87611;
+   wire n_87612;
+   wire n_87645;
+   wire n_87646;
+   wire n_87691;
+   wire n_87692;
+   wire n_87705;
+   wire n_87706;
+   wire n_87711;
+   wire n_87712;
+   wire n_87717;
+   wire n_87718;
+   wire n_87719;
+   wire n_87720;
+   wire n_87729;
+   wire n_87730;
+   wire n_87731;
+   wire n_87732;
+   wire n_87735;
+   wire n_87736;
+   wire n_87737;
+   wire n_87738;
+   wire n_87739;
+   wire n_87742;
+   wire n_87743;
+   wire n_87744;
+   wire n_87746;
+   wire n_87747;
+   wire n_87748;
+   wire n_87751;
+   wire n_87753;
+   wire n_87754;
+   wire n_87755;
+   wire n_87756;
+   wire n_87757;
+   wire n_87765;
+   wire n_87768;
+   wire n_87769;
+   wire n_87771;
+   wire n_87772;
+   wire n_87773;
+   wire n_87774;
+   wire n_87775;
+   wire n_87776;
+   wire n_87777;
+   wire n_87778;
+   wire n_87779;
+   wire n_87780;
+   wire n_87781;
+   wire n_87782;
+   wire n_87783;
+   wire n_87784;
+   wire n_87785;
+   wire n_87786;
+   wire n_87787;
+   wire n_87788;
+   wire n_87791;
+   wire n_87792;
+   wire n_87793;
+   wire n_87794;
+   wire n_87795;
+   wire n_87796;
+   wire n_87797;
+   wire n_87798;
+   wire n_87799;
+   wire n_87801;
+   wire n_87802;
+   wire n_87803;
+   wire n_87804;
+   wire n_87805;
+   wire n_87808;
+   wire n_87809;
+   wire n_87810;
+   wire n_87811;
+   wire n_87815;
+   wire n_87816;
+   wire n_87817;
+   wire n_87819;
+   wire n_87825;
+   wire n_87828;
+   wire n_87830;
+   wire n_87831;
+   wire n_87834;
+   wire n_87835;
+   wire n_87836;
+   wire n_87837;
+   wire n_87838;
+   wire n_87840;
+   wire n_87841;
+   wire n_87842;
+   wire n_87843;
+   wire n_87844;
+   wire n_87845;
+   wire n_87846;
+   wire n_87847;
+   wire n_87849;
+   wire n_87851;
+   wire n_87853;
+   wire n_87857;
+   wire n_87858;
+   wire n_87859;
+   wire n_87862;
+   wire n_87863;
+   wire n_87864;
+   wire n_87865;
+   wire n_87866;
+   wire n_87867;
+   wire n_87868;
+   wire n_87869;
+   wire n_87870;
+   wire n_87871;
+   wire n_87872;
+   wire n_87873;
+   wire n_87874;
+   wire n_87876;
+   wire n_87878;
+   wire n_87881;
+   wire n_88097;
+   wire n_88098;
+   wire n_88099;
+   wire n_88100;
+   wire n_88101;
+   wire n_88102;
+   wire n_88105;
+   wire n_88106;
+   wire n_88107;
+   wire n_88108;
+   wire n_88110;
+   wire n_88111;
+   wire n_88112;
+   wire n_88113;
+   wire n_88114;
+   wire n_88115;
+   wire n_88126;
+   wire n_88127;
+   wire n_88128;
+   wire n_88130;
+   wire n_88131;
+   wire n_88133;
+   wire n_88134;
+   wire n_88135;
+   wire n_88137;
+   wire n_88138;
+   wire n_88139;
+   wire n_88140;
+   wire n_88141;
+   wire n_88143;
+   wire n_88146;
+   wire n_88147;
+   wire n_88148;
+   wire n_88149;
+   wire n_88150;
+   wire n_88153;
+   wire n_88154;
+   wire n_88155;
+   wire n_88156;
+   wire n_88158;
+   wire n_88159;
+   wire n_88162;
+   wire n_88163;
+   wire n_88164;
+   wire n_88165;
+   wire n_88166;
+   wire n_88168;
+   wire n_88169;
+   wire n_88170;
+   wire n_88171;
+   wire n_88173;
+   wire n_88174;
+   wire n_88175;
+   wire n_88177;
+   wire n_88179;
+   wire n_88181;
+   wire n_88182;
+   wire n_88183;
+   wire n_88184;
+   wire n_88185;
+   wire n_88187;
+   wire n_88188;
+   wire n_88189;
+   wire n_88190;
+   wire n_88191;
+   wire n_88192;
+   wire n_88193;
+   wire n_88194;
+   wire n_88196;
+   wire n_88197;
+   wire n_88198;
+   wire n_88199;
+   wire n_88200;
+   wire n_88202;
+   wire n_88203;
+   wire n_88450;
+   wire n_88451;
+   wire n_88452;
+   wire n_88454;
+   wire n_88456;
+   wire n_88457;
+   wire n_88458;
+   wire n_88459;
+   wire n_88462;
+   wire n_88474;
+   wire n_88475;
+   wire n_88476;
+   wire n_88477;
+   wire n_88480;
+   wire n_88481;
+   wire n_88482;
+   wire n_88483;
+   wire n_88487;
+   wire n_88491;
+   wire n_88720;
+   wire n_88721;
+   wire n_88722;
+   wire n_88725;
+   wire n_88726;
+   wire n_88727;
+   wire n_88728;
+   wire n_88729;
+   wire n_88730;
+   wire n_88731;
+   wire n_88732;
+   wire n_88734;
+   wire n_89028;
+   wire n_89030;
+   wire n_89031;
+   wire n_89032;
+   wire n_89033;
+   wire n_89034;
+   wire n_89281;
+   wire n_89282;
+   wire n_89283;
+   wire n_89284;
+   wire n_89285;
+   wire n_89286;
+   wire n_89294;
+   wire n_89295;
+   wire n_89296;
+   wire n_89297;
+   wire n_89298;
+   wire n_89299;
+   wire n_89301;
+   wire n_89302;
+   wire n_89303;
+   wire n_89307;
+   wire n_89309;
+   wire n_89310;
+   wire n_89311;
+   wire n_89315;
+   wire n_89316;
+   wire n_89317;
+   wire n_89319;
+   wire n_89320;
+   wire n_89321;
+   wire n_89322;
+   wire n_89323;
+   wire n_89324;
+   wire n_89325;
+   wire n_89326;
+   wire n_89328;
+   wire n_89329;
+   wire n_89587;
+   wire n_89592;
+   wire n_89667;
+   wire n_89668;
+   wire n_89669;
+   wire n_89671;
+   wire n_89672;
+   wire n_89673;
+   wire n_89916;
+   wire n_89917;
+   wire n_89919;
+   wire n_89920;
+   wire n_90485;
+   wire n_90486;
+   wire n_90488;
+   wire n_90489;
+   wire n_90490;
+   wire n_90491;
+   wire n_90492;
+   wire n_90495;
+   wire n_90496;
+   wire n_90497;
+   wire n_90498;
+   wire n_90499;
+   wire n_90501;
+   wire n_90502;
+   wire n_90503;
+   wire n_90504;
+   wire n_90505;
+   wire n_90506;
+   wire n_90509;
+   wire n_90510;
+   wire n_90511;
+   wire n_90512;
+   wire n_90514;
+   wire n_90516;
+   wire n_90517;
+   wire n_90519;
+   wire n_90521;
+   wire n_90522;
+   wire n_90523;
+   wire n_90524;
+   wire n_90526;
+   wire n_90527;
+   wire n_90528;
+   wire n_90530;
+   wire n_90531;
+   wire n_90533;
+   wire n_90542;
+   wire n_90543;
+   wire n_90544;
+   wire n_90547;
+   wire n_90548;
+   wire n_90549;
+   wire n_90550;
+   wire n_90552;
+   wire n_90553;
+   wire n_90554;
+   wire n_90555;
+   wire n_90556;
+   wire n_90558;
+   wire n_90559;
+   wire n_90560;
+   wire n_90564;
+   wire n_90565;
+   wire n_90566;
+   wire n_90568;
+   wire n_90569;
+   wire n_90570;
+   wire n_90572;
+   wire n_90573;
+   wire n_90574;
+   wire n_90575;
+   wire n_90578;
+   wire n_90579;
+   wire n_90580;
+   wire n_90581;
+   wire n_90583;
+   wire n_90584;
+   wire n_90585;
+   wire n_90586;
+   wire n_90587;
+   wire n_90589;
+   wire n_90590;
+   wire n_90591;
+   wire n_90593;
+   wire n_90594;
+   wire n_90595;
+   wire n_90596;
+   wire n_90597;
+   wire n_90599;
+   wire n_90600;
+   wire n_90601;
+   wire n_90602;
+   wire n_90603;
+   wire n_90604;
+   wire n_90607;
+   wire n_90608;
+   wire n_90609;
+   wire n_90612;
+   wire n_90613;
+   wire n_90614;
+   wire n_90615;
+   wire n_90616;
+   wire n_90618;
+   wire n_90619;
+   wire n_90621;
+   wire n_90622;
+   wire n_90623;
+   wire n_90625;
+   wire n_90626;
+   wire n_90627;
+   wire n_90628;
+   wire n_90630;
+   wire n_90631;
+   wire n_90632;
+   wire n_90633;
+   wire n_90634;
+   wire n_90635;
+   wire n_90639;
+   wire n_90640;
+   wire n_90641;
+   wire n_90642;
+   wire n_90644;
+   wire n_90645;
+   wire n_90646;
+   wire n_90647;
+   wire n_90649;
+   wire n_90650;
+   wire n_90651;
+   wire n_90652;
+   wire n_90653;
+   wire n_90654;
+   wire n_90655;
+   wire n_90656;
+   wire n_90658;
+   wire n_90659;
+   wire n_90660;
+   wire n_90661;
+   wire n_90662;
+   wire n_90663;
+   wire n_90664;
+   wire n_90665;
+   wire n_90666;
+   wire n_90667;
+   wire n_90673;
+   wire n_90675;
+   wire n_90676;
+   wire n_90677;
+   wire n_90678;
+   wire n_90681;
+   wire n_90682;
+   wire n_90683;
+   wire n_90684;
+   wire n_90685;
+   wire n_90686;
+   wire n_91007;
+   wire n_91008;
+   wire n_91010;
+   wire n_91011;
+   wire n_91056;
+   wire n_91057;
+   wire n_91058;
+   wire n_91059;
+   wire n_91061;
+   wire n_91429;
+   wire n_91431;
+   wire n_91432;
+   wire n_91435;
+   wire n_91437;
+   wire n_91438;
+   wire n_91439;
+   wire n_91441;
+   wire n_92650;
+   wire n_92682;
+   wire n_92691;
+   wire n_92749;
+   wire n_92750;
+   wire n_92751;
+   wire n_92752;
+   wire n_92753;
+   wire n_92754;
+   wire n_92755;
+   wire n_92756;
+   wire n_92757;
+   wire n_92758;
+   wire n_92759;
+   wire n_92760;
+   wire n_92761;
+   wire n_92774;
+   wire n_92775;
+   wire n_92776;
+   wire n_92778;
+   wire n_92779;
+   wire n_92780;
+   wire n_92781;
+   wire n_92782;
+   wire n_92783;
+   wire n_92784;
+   wire n_92785;
+   wire n_93006;
+   wire n_93036;
+   wire n_93037;
+   wire n_93039;
+   wire n_93040;
+   wire n_93041;
+   wire n_93042;
+   wire n_93044;
+   wire n_93045;
+   wire n_93046;
+   wire n_93047;
+   wire n_93048;
+   wire n_93049;
+   wire n_93069;
+   wire n_93070;
+   wire n_93071;
+   wire n_93072;
+   wire n_93073;
+   wire n_93074;
+   wire n_93119;
+   wire n_93122;
+   wire n_93125;
+   wire n_93126;
+   wire n_93127;
+   wire n_93128;
+   wire n_93129;
+   wire n_93130;
+   wire n_93131;
+   wire n_93132;
+   wire n_93133;
+   wire n_93134;
+   wire n_93135;
+   wire n_93136;
+   wire n_93138;
+   wire n_93139;
+   wire n_93140;
+   wire n_93141;
+   wire n_93144;
+   wire n_93145;
+   wire n_93146;
+   wire n_93147;
+   wire n_93148;
+   wire n_93149;
+   wire n_93150;
+   wire n_93151;
+   wire n_93153;
+   wire n_93154;
+   wire n_343931_BAR;
+   wire n_344111_BAR;
+   wire n_344238_BAR;
+   wire n_349109_BAR;
+   wire pwm1_oe;
+   wire pwm2_oe;
+   wire sd_o;
+   wire sd_oe;
+   wire \soc_top_GPIO_gen_filter[0].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[0].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[1].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[1].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[2].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[2].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[3].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[3].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[4].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[4].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[5].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[5].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[6].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[6].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[7].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[7].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[8].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[8].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[9].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[9].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[10].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[10].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[11].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[11].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[12].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[12].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[13].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[13].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[14].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[14].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[15].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[15].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[16].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[16].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[17].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[17].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[19].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[19].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[20].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[20].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[21].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[21].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[22].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[22].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[23].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[23].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[24].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[24].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[25].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[25].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[26].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[26].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[27].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[27].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[28].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[28].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[29].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[29].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[30].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[30].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[31].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[31].filter_stored_value_q ;
+   wire soc_top_data_csb;
+   wire soc_top_data_we;
+   wire soc_top_dccm_adapter_data_csbD;
+   wire soc_top_dccm_adapter_data_mem_u_sramreqfifo_n_69;
+   wire soc_top_dccm_adapter_data_weD;
+   wire soc_top_dccm_adapter_rvalid_o;
+   wire \soc_top_dccm_to_xbar[a_ready] ;
+   wire \soc_top_dccm_to_xbar[d_valid] ;
+   wire \soc_top_gpio_to_xbarp[d_error] ;
+   wire \soc_top_gpio_to_xbarp[d_valid] ;
+   wire soc_top_iccm_adapter_inst_mem_u_reqfifo_n_68;
+   wire soc_top_iccm_adapter_inst_mem_u_rspfifo_n_108;
+   wire soc_top_iccm_adapter_inst_mem_u_sramreqfifo_n_52;
+   wire soc_top_iccm_adapter_instr_csbD;
+   wire soc_top_iccm_adapter_instr_weD;
+   wire soc_top_iccm_adapter_rvalid;
+   wire soc_top_iccm_ctrl_we;
+   wire soc_top_instr_we;
+   wire \soc_top_intr_controller_reg2hw[ie0][0][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][1][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][2][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][3][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][4][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][5][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][6][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][7][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][8][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][9][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][10][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][11][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][12][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][13][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][14][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][15][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][16][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][17][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][18][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][19][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][20][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][21][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][22][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][23][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][24][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][25][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][26][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][27][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][28][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][29][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][30][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][31][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][32][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][33][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][34][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][35][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][36][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][1][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][2][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][3][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][4][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][5][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][6][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][7][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][8][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][9][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][10][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][11][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][12][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][13][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][14][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][15][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][16][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][17][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][18][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][19][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][20][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][21][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][22][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][23][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][24][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][25][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][26][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][27][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][28][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][29][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][30][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][31][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][32][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][33][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][34][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][35][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][36][q] ;
+   wire soc_top_intr_controller_u_reg_ip_0_p_1_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_2_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_3_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_4_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_5_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_6_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_7_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_8_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_9_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_10_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_11_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_12_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_13_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_14_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_15_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_16_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_17_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_18_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_19_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_20_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_21_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_22_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_23_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_24_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_25_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_26_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_27_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_28_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_29_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_30_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_31_qs;
+   wire soc_top_intr_controller_u_reg_le_0_le_0_qs;
+   wire soc_top_intr_controller_u_reg_msip0_qs;
+   wire soc_top_intr_req;
+   wire soc_top_intr_srx;
+   wire soc_top_intr_stx;
+   wire soc_top_intr_timer;
+   wire soc_top_intr_u_rx;
+   wire soc_top_intr_u_tx;
+   wire \soc_top_main_swith_host_lsu_tl_u_i[7][d_valid] ;
+   wire \soc_top_plic_resp[d_error] ;
+   wire \soc_top_plic_resp[d_valid] ;
+   wire soc_top_prog_rst_ni;
+   wire \soc_top_pwm_to_xbar[d_valid] ;
+   wire soc_top_reset_manager_rst_q;
+   wire soc_top_rx_dv_i;
+   wire \soc_top_spi_to_xbar[d_valid] ;
+   wire soc_top_system_rst_ni;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_0 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_1 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_2 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_3 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_4 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_5 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_6 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_7 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_8 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_9 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_10 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_12 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_13 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_14 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_15 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_16 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_18 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_19 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_20 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_22 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_24 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_26 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_28 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_31 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_32 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_33 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_36 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_37 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_38 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_39 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_40 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_41 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_43 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_45 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_48 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_49 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_51 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_53 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_54 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_57 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_60 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_62 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_65 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_66 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_67 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_68 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_70 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_71 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_72 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_73 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_74 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_76 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_79 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_80 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_81 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_82 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_83 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_84 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_86 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_87 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_88 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_91 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_92 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_93 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_94 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_95 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_96 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_98 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_100 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_102 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_104 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_112 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_114 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_116 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_118 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_120 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_125 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_127 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_129 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_136 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_140 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_142 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_0 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_1 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_2 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_3 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_4 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_5 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_6 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_7 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_8 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_9 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_10 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_11 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_12 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_13 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_14 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_15 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_16 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_17 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_18 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_19 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_20 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_21 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_22 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_23 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_24 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_25 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_26 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_27 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_28 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_29 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_30 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_31 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_32 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_33 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_34 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_35 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_36 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_37 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_38 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_39 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_40 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_41 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_42 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_43 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_44 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_45 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_46 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_47 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_48 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_49 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_50 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_51 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_52 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_53 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_54 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_55 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_56 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_57 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_58 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_59 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_60 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_61 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_62 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_63 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_64 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_65 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_66 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_67 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_68 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_69 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_70 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_71 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_72 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_73 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_74 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_75 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_76 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_77 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_78 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_79 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_80 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_81 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_82 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_83 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_84 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_85 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_86 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_87 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_88 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_90 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_91 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_92 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_93 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_94 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_95 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_96 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_97 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_98 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_99 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_100 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_101 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_102 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_103 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_104 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_105 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_106 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_107 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_108 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_109 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_110 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_111 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_112 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_113 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_114 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_115 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_116 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_117 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_118 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_119 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_120 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_121 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_123 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_124 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_125 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_126 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_127 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_128 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_129 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_130 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_131 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_132 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_133 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_134 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_135 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_136 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_137 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_138 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_139 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_140 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_141 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_142 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_143 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_144 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_145 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_146 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_147 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_148 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_149 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_150 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_151 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_152 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_153 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_154 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_155 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_156 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_157 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_158 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_159 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_160 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_161 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_162 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_163 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_164 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_165 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_166 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_167 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_168 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_169 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_170 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_171 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_172 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_173 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_174 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_175 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_176 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_177 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_178 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_179 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_180 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_181 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_182 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_183 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_184 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_185 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_186 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_187 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_188 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_189 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_190 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_191 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_192 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_193 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_194 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_195 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_325 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_n_81 ;
+   wire \soc_top_timer0_reg2hw[compare_lower0_0][qe] ;
+   wire \soc_top_timer0_reg2hw[compare_upper0_0][qe] ;
+   wire \soc_top_timer0_reg2hw[ctrl][0][q] ;
+   wire \soc_top_timer0_reg2hw[intr_enable0][0][q] ;
+   wire \soc_top_timer0_reg2hw[intr_state0][0][q] ;
+   wire \soc_top_timer_to_xbar[d_error] ;
+   wire \soc_top_timer_to_xbar[d_valid] ;
+   wire soc_top_u_dccm_csb1;
+   wire soc_top_u_iccm_csb1;
+   wire soc_top_u_iccm_csb4;
+   wire soc_top_u_pwm_pwm_core_clock_p1;
+   wire soc_top_u_pwm_pwm_core_clock_p2;
+   wire soc_top_u_pwm_pwm_core_pts;
+   wire soc_top_u_pwm_pwm_core_pts_2;
+   wire soc_top_u_spi_host_spi_host_clgen_n_89;
+   wire soc_top_u_spi_host_spi_host_clgen_n_172;
+   wire soc_top_u_spi_host_spi_host_last_bit;
+   wire soc_top_u_spi_host_spi_host_n_189;
+   wire soc_top_u_spi_host_spi_host_neg_edge;
+   wire soc_top_u_spi_host_spi_host_pos_edge;
+   wire soc_top_u_spi_host_spi_host_tip;
+   wire soc_top_u_top_data_we;
+   wire soc_top_u_top_u_core_clock_en;
+   wire soc_top_u_top_u_core_core_busy_q;
+   wire \soc_top_u_top_u_core_cs_registers_i_dcsr_q[ebreaks] ;
+   wire \soc_top_u_top_u_core_cs_registers_i_dcsr_q[stepie] ;
+   wire \soc_top_u_top_u_core_cs_registers_i_fflags_q[DZ] ;
+   wire \soc_top_u_top_u_core_cs_registers_i_fflags_q[NV] ;
+   wire \soc_top_u_top_u_core_cs_registers_i_fflags_q[NX] ;
+   wire \soc_top_u_top_u_core_cs_registers_i_fflags_q[OF] ;
+   wire \soc_top_u_top_u_core_cs_registers_i_fflags_q[UF] ;
+   wire soc_top_u_top_u_core_cs_registers_i_n_6223;
+   wire soc_top_u_top_u_core_cs_registers_i_n_6240;
+   wire soc_top_u_top_u_core_cs_registers_i_n_6243;
+   wire soc_top_u_top_u_core_cs_registers_i_n_6269;
+   wire soc_top_u_top_u_core_cs_registers_i_n_6282;
+   wire soc_top_u_top_u_core_cs_registers_i_n_6776;
+   wire soc_top_u_top_u_core_cs_registers_i_n_6876;
+   wire soc_top_u_top_u_core_csr_access;
+   wire soc_top_u_top_u_core_csr_mstatus_mie;
+   wire soc_top_u_top_u_core_csr_mstatus_tw;
+   wire soc_top_u_top_u_core_csr_restore_mret_id;
+   wire soc_top_u_top_u_core_csr_save_cause;
+   wire soc_top_u_top_u_core_csr_save_id;
+   wire soc_top_u_top_u_core_csr_save_if;
+   wire soc_top_u_top_u_core_csr_save_wb;
+   wire soc_top_u_top_u_core_ctrl_busy;
+   wire soc_top_u_top_u_core_debug_csr_save;
+   wire soc_top_u_top_u_core_debug_ebreakm;
+   wire soc_top_u_top_u_core_debug_ebreaku;
+   wire soc_top_u_top_u_core_debug_mode;
+   wire soc_top_u_top_u_core_debug_single_step;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_3;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_4;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_5;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_6;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_7;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_9;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_10;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_11;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_12;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_13;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_14;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_15;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_16;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_17;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_18;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_19;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_20;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_21;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_23;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_24;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_25;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_26;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_27;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_28;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_29;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_30;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_31;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_32;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_33;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_34;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_35;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_36;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_39;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_40;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_41;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_43;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_45;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_46;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_47;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_48;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_49;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_50;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_51;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_52;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_53;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_54;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_55;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_56;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_58;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_59;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_60;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_62;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_63;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_64;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_66;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_67;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_68;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_69;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_70;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_71;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_72;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_73;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_75;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_77;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_78;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_80;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_81;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_85;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_89;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_92;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_94;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_95;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_96;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_99;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_100;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_106;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_108;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_109;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_111;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_112;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_114;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_115;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_117;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_118;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_120;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_121;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_123;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_124;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_126;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_127;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_129;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_130;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_132;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_133;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_135;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_137;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_139;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_141;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_143;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_145;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_147;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_149;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_205;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_207;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_212;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_215;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_216;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_0;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_1;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_3;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_4;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_5;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_6;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_7;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_8;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_9;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_10;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_11;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_12;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_13;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_14;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_15;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_16;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_17;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_18;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_19;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_20;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_21;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_22;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_23;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_24;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_25;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_26;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_27;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_28;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_29;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_30;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_31;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_32;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_33;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_34;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_35;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_36;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_37;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_38;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_40;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_41;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_42;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_43;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_44;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_46;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_47;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_48;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_49;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_51;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_52;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_53;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_54;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_55;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_56;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_57;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_58;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_59;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_60;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_61;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_62;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_65;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_67;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_68;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_69;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_70;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_71;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_72;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_73;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_75;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_76;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_77;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_78;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_79;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_80;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_81;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_82;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_83;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_84;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_85;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_86;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_87;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_88;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_89;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_90;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_91;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_92;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_93;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_94;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_95;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_96;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_97;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_98;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_99;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_100;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_101;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_103;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_104;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_105;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_106;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_107;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_108;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_109;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_110;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_112;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_114;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_115;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_116;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_117;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_118;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_119;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_120;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_122;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_124;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_125;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_126;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_128;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_129;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_130;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_131;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_132;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_133;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_134;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_135;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_136;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_137;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_138;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_140;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_143;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_144;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_145;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_146;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_147;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_148;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_151;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_152;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_153;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_154;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_155;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_157;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_158;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_159;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_161;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_162;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_163;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_166;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_167;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_168;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_169;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_171;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_172;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_173;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_174;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_175;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_176;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_177;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_178;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_182;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_183;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_184;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_185;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_186;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_187;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_188;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_189;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_193;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_194;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_195;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_196;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_197;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_198;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_200;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_201;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_202;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_203;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_204;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_205;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_206;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_207;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_208;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_209;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_210;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_211;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_212;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_214;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_215;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_216;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_217;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_218;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_220;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_222;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_223;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_224;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_227;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_228;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_229;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_231;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_232;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_233;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_234;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_236;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_237;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_240;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_241;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_242;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_243;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_244;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_246;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_247;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_248;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_249;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_250;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_251;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_252;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_253;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_254;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_256;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_257;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_259;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_261;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_262;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_264;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_265;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_266;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_267;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_269;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_270;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_272;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_277;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_278;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_279;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_280;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_281;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_282;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_283;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_284;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_285;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_286;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_287;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_292;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_293;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_294;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_295;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_296;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_298;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_299;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_300;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_301;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_302;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_303;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_304;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_305;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_307;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_308;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_309;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_311;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_312;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_313;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_314;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_315;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_325;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_327;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_328;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_329;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_331;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_333;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_334;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_335;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_336;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_338;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_339;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_341;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_342;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_343;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_348;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_351;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_352;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_353;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_452;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_458;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_459;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_460;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_461;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_462;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_488;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_497;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_513;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_527;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_529;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_n_803;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_n_879;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_6;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_7;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_8;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_9;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_10;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_11;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_12;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_13;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_14;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_15;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_16;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_17;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_18;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_19;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_20;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_21;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_22;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_23;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_24;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_25;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_26;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_27;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_30;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_31;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_32;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_33;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_34;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_35;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_36;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_37;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_38;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_39;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_40;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_41;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_42;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_43;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_44;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_45;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_46;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_47;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_48;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_49;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_50;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_51;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_52;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_53;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_54;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_55;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_56;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_57;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_58;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_59;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_60;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_61;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_62;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_63;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_64;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_65;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_66;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_67;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_68;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_69;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_70;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_71;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_72;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_73;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_74;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_75;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_76;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_77;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_78;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_79;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_80;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_81;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_82;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_83;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_84;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_85;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_86;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_87;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_88;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_89;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_90;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_91;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_92;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_93;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_94;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_95;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_96;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_97;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_98;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_99;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_100;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_101;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_102;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_103;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_104;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_105;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_106;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_107;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_108;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_109;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_110;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_111;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_112;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_113;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_114;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_115;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_116;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_117;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_118;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_119;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_120;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_121;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_122;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_123;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_124;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_125;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_126;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_127;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_128;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_129;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_130;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_131;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_132;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_133;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_134;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_135;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_141;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_2;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_4;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_6;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_7;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_8;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_9;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_10;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_11;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_12;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_13;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_14;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_15;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_16;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_17;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_18;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_19;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_20;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_21;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_22;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_23;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_24;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_25;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_26;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_27;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_30;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_31;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_32;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_33;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_34;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_35;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_36;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_37;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_38;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_39;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_40;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_41;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_42;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_43;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_44;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_45;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_46;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_47;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_48;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_49;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_50;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_51;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_52;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_53;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_54;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_55;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_56;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_57;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_58;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_59;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_60;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_61;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_62;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_63;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_64;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_65;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_66;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_67;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_68;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_69;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_70;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_71;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_72;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_73;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_74;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_75;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_76;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_77;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_78;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_79;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_80;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_81;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_82;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_83;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_84;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_85;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_86;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_87;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_88;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_89;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_90;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_91;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_92;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_93;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_94;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_95;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_96;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_97;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_98;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_99;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_100;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_101;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_102;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_103;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_104;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_105;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_106;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_107;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_108;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_109;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_110;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_111;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_112;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_113;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_114;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_115;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_116;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_117;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_118;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_119;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_120;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_121;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_122;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_123;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_124;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_125;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_126;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_127;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_128;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_129;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_130;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_131;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_132;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_133;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_134;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_135;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_141;
+   wire \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_div_by_zero_q ;
+   wire soc_top_u_top_u_core_fetch_enable_q;
+   wire soc_top_u_top_u_core_fp_alu_op_mod;
+   wire soc_top_u_top_u_core_fp_flush;
+   wire soc_top_u_top_u_core_fp_load;
+   wire soc_top_u_top_u_core_fp_rf_wen_id;
+   wire soc_top_u_top_u_core_fp_rm_dynamic;
+   wire soc_top_u_top_u_core_fpu_busy_idu;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_n_32 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_lzc_zeroes ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_533 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_535 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_540 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_541 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_543 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_544 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_548 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_552 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_554 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_557 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_559 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_560 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_564 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_565 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_566 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_574 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_575 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_581 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_591 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_659 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_662 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_663 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_668 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_669 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_670 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_679 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_682 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_687 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_688 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_694 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_699 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_702 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_704 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_707 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_710 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_714 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_719 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_730 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_732 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_733 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_736 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_737 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_741 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_742 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_743 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_744 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_745 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_747 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_758 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_761 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_762 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_763 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_764 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_765 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_766 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_767 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_768 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_769 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_770 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_771 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_774 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_775 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_776 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_778 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_785 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_801 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_803 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_804 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_805 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_810 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_817 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_820 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_823 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_830 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_834 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_837 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_840 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_841 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_844 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_845 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_847 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_848 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_849 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_851 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_853 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_854 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_856 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_858 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_859 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_862 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_863 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_864 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_867 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_868 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_874 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_876 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_908 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_915 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_919 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_921 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_922 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_931 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_943 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_957 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_989 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_999 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1003 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1012 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1013 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1018 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1022 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1023 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1025 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1030 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1038 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1039 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1044 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1045 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1047 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1049 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1051 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1053 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1054 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1059 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1072 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1075 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1087 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1090 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1093 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1097 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1101 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1102 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1104 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1118 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1121 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1123 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1126 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1129 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1133 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1140 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1146 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1152 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1153 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1154 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1156 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1159 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1160 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1164 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1170 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1175 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1176 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1181 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1185 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1186 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1188 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1195 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1212 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1213 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1215 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1218 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1219 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1220 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1221 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1222 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1225 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1227 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1235 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1238 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1240 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1245 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1256 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1257 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1261 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1271 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1276 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1277 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1279 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1285 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1287 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1288 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1289 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1290 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1291 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1292 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1293 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1294 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1295 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1296 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1299 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1301 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1302 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1303 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1306 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1307 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1308 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1309 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1310 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1311 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1312 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1313 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1316 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1322 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1323 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1329 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1330 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1331 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1334 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1335 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1342 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1343 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1344 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1345 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1350 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1352 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1354 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1356 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1357 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1358 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1359 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1360 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1361 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1362 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1365 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1366 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1374 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1378 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1380 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1382 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1383 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1387 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1392 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1396 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1399 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1401 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1402 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1403 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1415 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1416 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1425 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1430 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1437 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1440 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1441 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1442 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1443 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1444 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1448 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1449 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1450 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1451 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1452 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1456 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1462 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1466 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1480 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1484 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1485 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1488 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1489 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1495 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1496 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1497 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1500 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1501 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1502 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1503 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1504 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1508 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1509 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1511 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1512 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1522 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1533 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1538 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1544 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1546 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1548 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1554 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1555 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1557 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1559 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1561 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1565 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1570 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1571 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1573 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1575 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1579 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1584 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1587 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1591 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1592 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1594 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1595 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1596 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1597 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1599 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1600 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1601 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1602 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1603 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1606 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1609 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1615 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1616 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1619 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1620 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1623 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1624 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1626 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1627 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1629 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1634 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1635 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1636 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1637 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1638 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1641 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1643 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1652 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1670 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1671 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1674 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1677 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1680 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1682 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1683 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1684 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1686 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1688 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1689 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1690 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1691 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1701 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1705 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1708 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1711 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1716 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1721 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1725 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1731 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1734 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1736 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1737 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1738 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1739 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1753 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1754 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1770 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1772 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1773 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1774 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1778 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1779 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1781 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1783 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1786 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1787 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1794 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1795 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1798 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1799 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1800 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1806 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1808 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1809 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1812 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1814 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1816 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1818 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1820 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1822 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1826 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1827 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1830 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1831 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1832 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1835 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1836 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1838 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1840 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1843 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1845 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1846 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1848 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1849 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1855 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1864 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1871 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1872 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1873 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1877 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1890 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1893 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1896 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1898 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1904 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1905 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1914 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1920 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1922 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1924 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1928 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1929 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1930 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1932 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1934 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1936 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1937 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1941 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1942 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1943 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1945 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1964 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1966 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1967 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1973 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1980 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1981 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1997 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2007 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2014 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2021 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2022 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2026 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2027 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2058 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2060 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2061 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2064 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2065 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2070 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2073 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2189 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2224 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2236 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2252 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2256 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2260 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2265 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2272 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2273 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2275 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2310 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2313 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2314 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2329 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2330 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2337 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2338 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2339 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2341 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2344 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2492 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[DZ] ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[NV] ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[NX] ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[OF] ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[UF] ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Div_enable_S ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Inf_a_S ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Inf_b_S ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_NaN_a_S ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_NaN_b_S ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_SNaN_S ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sign_z_D ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Special_case_dly_SB ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Zero_a_S ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Zero_b_S ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Div_start_dly_S_8434 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_n_3623 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_534 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_638 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_0 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_5 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_6 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_8 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_10 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_14 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_15 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_16 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_18 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_19 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_20 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_21 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_23 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_24 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_29 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_36 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_37 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_38 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_39 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_40 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_41 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_42 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_43 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_47 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_48 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_50 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_52 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_53 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_54 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_55 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_56 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_57 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_58 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_59 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_61 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_62 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_63 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_64 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_65 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_66 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_67 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_68 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_69 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_70 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_71 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_72 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_73 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_74 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_75 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_76 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_77 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_78 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_79 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_80 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_81 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_82 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_87 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_88 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_89 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_90 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_92 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_93 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_95 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_96 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_2 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_5 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_6 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_7 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_8 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_9 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_10 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_12 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_14 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_15 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_16 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_17 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_18 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_19 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_20 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_22 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_23 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_24 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_25 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_26 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_29 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_30 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_32 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_33 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_34 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_36 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_37 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_38 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_39 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_40 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_41 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_42 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_43 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_44 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_47 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_48 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_49 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_51 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_53 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_54 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_55 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_56 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_57 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_58 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_59 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_60 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_62 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_63 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_65 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_66 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_67 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_68 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_69 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_70 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_71 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_72 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_73 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_75 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_76 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_77 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_78 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_79 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_80 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_81 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_82 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_83 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_88 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_89 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_91 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_93 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_94 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_96 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_97 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_144 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_unit_done ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_unit_ready ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_11 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_12 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_14 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_17 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_19 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_21 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_23 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_24 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_25 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_26 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_27 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_30 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_31 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_33 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_34 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_35 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_38 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_40 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_42 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_43 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_44 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_46 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_47 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_49 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_50 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_52 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_55 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_56 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_57 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_58 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_59 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_60 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_61 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_62 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_63 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_64 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_65 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_66 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_74 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_75 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_77 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_79 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_80 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_299 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mid_pipe_info_q[0][is_inf] ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_11 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_16 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_38 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_45 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_69 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_76 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_84 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_94 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_98 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_99 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_102 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_108 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_111 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_112 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_117 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_121 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_122 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_126 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_131 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_132 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_133 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_140 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_145 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_155 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_156 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_170 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_172 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_177 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_184 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_195 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_200 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_201 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_208 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_209 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_217 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_218 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_229 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_235 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_238 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_248 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_249 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_252 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_314 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_330 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_343 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_353 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_366 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_368 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_372 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_387 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_390 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_391 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_394 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_424 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_428 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_5 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_6 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_7 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_8 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_9 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_10 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_11 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_12 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_13 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_14 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_15 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_16 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_17 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_18 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_19 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_20 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_21 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_22 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_23 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_24 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_25 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_26 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_27 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_28 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_29 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_30 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_31 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_32 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_33 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_34 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_35 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_36 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_37 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_38 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_39 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_40 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_41 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_42 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_43 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_44 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_45 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_46 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_47 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_48 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_49 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_50 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_51 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_52 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_53 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_54 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_55 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_56 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_57 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_58 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_59 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_60 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_61 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_62 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_63 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_64 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_65 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_66 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_67 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_68 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_69 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_70 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_72 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_73 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_74 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_75 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_76 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_77 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_78 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_79 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_80 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_81 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_82 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_83 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_85 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_86 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_87 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_88 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_89 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_90 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_91 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_92 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_93 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_94 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_95 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_96 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_97 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_98 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_99 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_100 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_101 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_102 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_103 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_104 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_105 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_106 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_107 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_108 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_111 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_112 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_113 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_114 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_115 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_116 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_117 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_118 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_119 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_120 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_121 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_122 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_123 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_124 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_125 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_126 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_130 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_132 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_133 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_134 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_135 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_137 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_138 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_139 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_143 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_144 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_145 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_146 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_147 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_148 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_149 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_150 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_159 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_160 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_54 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_56 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_60 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_65 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_66 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_67 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_69 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_72 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_73 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_75 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_76 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_78 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_79 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_81 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_82 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_83 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_335 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_475 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_477 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_479 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_480 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_481 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_482 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_483 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_486 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_487 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_488 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_490 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_491 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_492 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_496 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_498 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_499 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_500 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_501 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_502 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_503 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_504 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_505 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_506 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_507 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_508 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_509 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_510 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_511 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_512 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_513 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_514 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_515 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_516 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_517 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_518 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_519 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_520 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_521 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_522 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_523 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_524 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_525 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_526 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_527 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_528 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_530 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_531 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_536 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_548 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_549 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_552 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_553 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_554 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_556 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_557 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_559 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_564 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_565 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_567 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_568 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_569 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_571 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_572 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_573 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_574 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_575 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_576 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_577 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_578 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_579 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_580 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_581 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_582 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_583 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_584 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_585 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_586 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_587 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_588 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_589 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_590 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_591 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_593 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_594 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_595 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_596 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_597 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_598 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_599 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_600 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_601 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_602 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_603 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_604 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_605 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_606 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_607 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_608 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_609 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_621 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_637 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_641 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_642 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_643 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_644 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_645 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_646 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_648 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_649 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_650 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_651 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_652 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_654 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_655 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_656 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_657 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_658 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_659 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_660 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_661 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_662 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_663 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_664 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_665 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_666 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_667 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_668 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_669 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_670 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_671 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_672 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_673 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_676 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_677 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_678 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_679 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_680 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_681 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_684 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_685 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_687 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_688 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_689 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_690 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_691 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_694 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_695 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_696 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_697 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_698 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_702 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_703 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_705 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_706 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_707 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_708 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_709 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_710 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_711 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_712 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_713 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_714 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_715 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_716 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_717 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_718 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_719 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_720 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_721 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_722 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_723 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_724 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_725 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_726 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_727 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_728 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_729 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_730 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_731 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_732 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_733 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_734 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_735 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_736 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_737 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_738 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_739 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_740 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_741 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_742 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_743 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_744 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_746 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_747 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_748 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_749 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_750 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_751 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_752 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_753 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_754 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_755 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_756 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_757 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_758 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_759 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_761 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_762 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_763 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_764 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_765 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_766 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_767 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_768 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_769 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_772 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_773 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_774 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_775 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_776 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_778 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_779 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_780 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_781 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_782 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_783 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_784 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_785 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_786 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_787 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_789 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_790 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_791 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_792 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_793 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_794 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_795 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_796 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_797 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_798 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_799 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_800 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_803 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_804 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_806 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_810 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_811 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_812 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_813 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_814 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_815 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_816 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_820 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_822 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_823 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_824 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_826 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_827 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_828 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_829 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_830 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_833 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_834 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_835 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_836 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_837 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_838 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_839 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_840 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_841 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_842 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_843 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_844 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_845 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_847 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_848 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_849 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_850 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_851 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_852 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_853 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_855 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_856 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_858 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_859 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_860 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_861 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_863 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_864 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_865 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_866 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_867 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_869 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_870 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_873 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_874 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_875 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_876 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_878 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_879 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_880 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_881 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_882 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_883 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_885 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_887 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_888 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_889 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_890 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_891 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_892 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_893 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_894 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_895 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_897 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_898 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_899 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_901 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_902 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_903 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_904 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_905 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_906 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_907 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_908 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_909 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_910 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_911 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_912 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_914 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_915 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_916 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_917 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_918 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_919 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_920 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_921 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_922 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_923 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_924 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_925 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_926 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_927 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_928 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_929 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_930 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_931 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_932 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_934 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_935 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_936 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_937 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_938 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_940 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_941 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_942 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_943 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_944 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_945 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_946 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_947 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_949 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_950 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_951 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_952 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_953 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_954 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_955 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_957 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_958 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_959 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_960 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_961 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_962 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_963 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_964 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_965 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_967 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_968 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_971 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_972 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_973 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_974 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_975 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_976 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_977 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_978 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_979 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_980 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_981 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_982 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_983 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_984 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_985 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_986 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_987 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_988 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_989 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_990 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_991 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_992 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_993 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_994 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_996 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_997 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_998 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_999 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1001 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1002 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1003 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1004 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1005 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1006 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1007 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1008 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1009 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1010 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1011 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1013 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1015 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1016 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1018 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1019 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1021 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1022 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1023 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1024 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1025 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1027 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1028 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1029 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1030 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1031 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1032 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1033 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1034 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1035 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1036 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1037 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1038 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1039 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1040 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1041 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1042 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1043 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1045 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1046 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1047 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1048 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1049 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1050 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1051 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1052 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1053 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1054 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1055 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1056 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1057 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1058 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1060 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1061 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1062 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1063 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1064 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1065 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1067 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1069 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1071 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1072 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1074 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1075 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1076 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1077 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1078 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1080 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1081 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1082 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1083 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1084 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1085 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1086 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1087 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1088 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1089 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1091 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1092 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1093 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1095 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1096 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1097 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1098 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1099 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1100 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1101 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1102 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1103 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1104 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1105 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1106 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1107 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1108 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1109 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1110 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1112 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1113 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1115 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1116 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1117 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1118 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1119 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1120 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1121 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1122 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1123 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1125 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1127 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1129 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1132 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1133 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1134 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1139 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1140 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1141 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1143 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1145 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1146 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1147 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1148 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1149 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1150 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1151 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1152 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1153 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1154 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1155 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1156 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1157 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1161 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1162 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1163 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1164 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1165 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1166 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1167 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1170 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1171 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1172 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1173 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1174 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1176 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1178 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1179 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1180 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1182 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1183 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1184 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1185 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1186 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1187 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1188 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1189 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1190 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1191 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1192 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1193 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1195 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1197 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1199 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1200 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1202 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1203 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1204 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1205 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1206 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1207 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1208 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1209 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1210 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1211 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1212 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1213 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1214 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1215 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1217 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1219 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1223 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1225 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1226 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1227 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1230 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1232 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1233 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1234 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1235 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1236 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1237 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1238 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1239 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1241 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1242 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1243 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1244 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1245 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1246 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1247 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1248 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1249 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1250 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1251 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1252 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1253 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1254 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1255 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1256 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1257 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1258 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1259 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1260 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1261 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1262 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1270 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1271 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1272 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1273 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1274 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1275 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1276 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1277 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1278 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1279 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1281 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1284 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1285 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1286 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1287 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1289 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1291 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1294 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1295 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1296 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1297 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1300 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1307 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1308 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1312 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1313 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1314 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1315 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1316 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1317 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1319 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1321 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1322 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1323 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1325 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1327 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1328 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1329 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1331 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1333 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1334 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1335 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1336 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1337 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1338 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1340 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1342 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1343 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1345 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1347 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1349 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1351 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1355 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1360 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1362 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1363 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1364 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1365 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1366 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1367 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1368 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1370 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1377 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1378 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1379 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1383 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1384 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1385 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1386 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1387 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1388 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1389 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1390 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1392 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1393 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1394 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1400 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1402 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1404 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1408 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1410 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1411 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1412 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1414 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1415 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1416 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1417 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1418 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1419 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1422 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1423 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1425 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1426 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1427 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1428 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1429 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1431 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1432 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1434 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1435 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1436 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1438 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1441 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1442 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1450 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1452 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1457 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1460 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1468 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1469 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1478 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1479 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1480 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1482 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1484 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1485 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1486 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1488 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1489 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1515 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1516 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1518 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1519 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1521 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1524 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1680 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1685 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1747 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1754 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1772 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1802 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1814 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1817 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1833 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1834 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1845 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1846 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1875 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1888 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1895 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1969 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1981 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2049 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2232 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2238 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2239 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2268 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2296 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2297 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2328 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2329 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ;
+   wire soc_top_u_top_u_core_id_in_ready;
+   wire soc_top_u_top_u_core_id_stage_i_alu_op_b_mux_sel_dec;
+   wire soc_top_u_top_u_core_id_stage_i_branch_in_dec;
+   wire soc_top_u_top_u_core_id_stage_i_branch_set;
+   wire soc_top_u_top_u_core_id_stage_i_controller_run;
+   wire soc_top_u_top_u_core_id_stage_i_div_en_dec;
+   wire soc_top_u_top_u_core_id_stage_i_dret_insn_dec;
+   wire soc_top_u_top_u_core_id_stage_i_ebrk_insn;
+   wire soc_top_u_top_u_core_id_stage_i_ecall_insn_dec;
+   wire soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds;
+   wire soc_top_u_top_u_core_id_stage_i_id_fsm_q;
+   wire soc_top_u_top_u_core_id_stage_i_illegal_insn_dec;
+   wire soc_top_u_top_u_core_id_stage_i_imm_a_mux_sel;
+   wire soc_top_u_top_u_core_id_stage_i_jump_in_dec;
+   wire soc_top_u_top_u_core_id_stage_i_jump_set;
+   wire soc_top_u_top_u_core_id_stage_i_jump_set_dec;
+   wire soc_top_u_top_u_core_id_stage_i_lsu_req_dec;
+   wire soc_top_u_top_u_core_id_stage_i_mret_insn_dec;
+   wire soc_top_u_top_u_core_id_stage_i_mult_en_dec;
+   wire soc_top_u_top_u_core_id_stage_i_mv_instr;
+   wire soc_top_u_top_u_core_id_stage_i_n_516_BAR;
+   wire soc_top_u_top_u_core_id_stage_i_n_609;
+   wire soc_top_u_top_u_core_id_stage_i_n_1176;
+   wire soc_top_u_top_u_core_id_stage_i_rf_ren_a;
+   wire soc_top_u_top_u_core_id_stage_i_rf_ren_b;
+   wire soc_top_u_top_u_core_id_stage_i_rf_we_dec;
+   wire soc_top_u_top_u_core_id_stage_i_stall_wb;
+   wire soc_top_u_top_u_core_id_stage_i_wb_exception;
+   wire soc_top_u_top_u_core_id_stage_i_wfi_insn_dec;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_discard_req_q;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_0;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_1;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_2;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_3;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_4;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_5;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_6;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_7;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_9;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_10;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_12;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_13;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_14;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_15;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_16;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_17;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_18;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_20;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_21;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_22;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_23;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_26;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_27;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_28;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_29;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_31;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_34;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_35;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_37;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_40;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_42;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_44;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_48;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_50;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_52;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_54;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_59;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_64;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_66;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_valid_req_q;
+   wire soc_top_u_top_u_core_illegal_c_insn_id;
+   wire soc_top_u_top_u_core_instr_is_compressed_id;
+   wire soc_top_u_top_u_core_instr_req_int;
+   wire soc_top_u_top_u_core_instr_valid_clear;
+   wire soc_top_u_top_u_core_instr_valid_id;
+   wire \soc_top_u_top_u_core_irqs[irq_external] ;
+   wire \soc_top_u_top_u_core_irqs[irq_timer] ;
+   wire soc_top_u_top_u_core_is_fp_instr;
+   wire soc_top_u_top_u_core_load_store_unit_i_data_or_pmp_err;
+   wire soc_top_u_top_u_core_load_store_unit_i_data_sign_ext_q;
+   wire soc_top_u_top_u_core_load_store_unit_i_data_we_q;
+   wire soc_top_u_top_u_core_load_store_unit_i_handle_misaligned_q;
+   wire soc_top_u_top_u_core_load_store_unit_i_lsu_err_q;
+   wire soc_top_u_top_u_core_load_store_unit_i_n_861;
+   wire soc_top_u_top_u_core_load_store_unit_i_split_misaligned_access;
+   wire soc_top_u_top_u_core_lsu_load_err;
+   wire soc_top_u_top_u_core_lsu_sign_ext;
+   wire soc_top_u_top_u_core_lsu_store_err;
+   wire soc_top_u_top_u_core_mult_sel_ex;
+   wire soc_top_u_top_u_core_out_valid_fpu2c;
+   wire soc_top_u_top_u_core_pc_set;
+   wire soc_top_u_top_u_core_trigger_match;
+   wire soc_top_u_top_u_core_use_fp_rs2;
+   wire \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.fp_load_q ;
+   wire \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.fp_rf_we_wb_q ;
+   wire \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_we_wb_q ;
+   wire \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_count_q ;
+   wire \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ;
+   wire soc_top_u_uart_u_uart_core_n_188;
+   wire soc_top_u_uart_u_uart_core_read_fifo_buffer_empty;
+   wire soc_top_u_uart_u_uart_core_rx_clr;
+   wire soc_top_u_uart_u_uart_core_rx_done;
+   wire soc_top_u_uart_u_uart_core_rx_en;
+   wire soc_top_u_uart_u_uart_core_rx_fifo_clr;
+   wire soc_top_u_uart_u_uart_core_rx_fifo_rst;
+   wire soc_top_u_uart_u_uart_core_rx_sbit;
+   wire soc_top_u_uart_u_uart_core_rx_status;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_0;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_1;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_2;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_3;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_4;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_5;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_6;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_7;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_8;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_9;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_10;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_11;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_12;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_13;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_14;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_15;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_16;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_17;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_18;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_19;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_20;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_21;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_22;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_23;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_24;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_25;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_26;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_27;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_28;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_29;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_30;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_31;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_32;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_33;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_34;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_35;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_36;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_37;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_38;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_39;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_40;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_41;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_42;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_43;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_44;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_45;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_46;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_47;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_48;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_49;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_50;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_51;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_52;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_53;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_54;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_55;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_56;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_57;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_58;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_59;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_60;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_61;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_62;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_63;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_64;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_65;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_66;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_67;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_68;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_69;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_70;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_71;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_72;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_73;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_74;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_75;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_0;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_1;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_2;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_3;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_4;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_5;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_7;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_8;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_9;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_10;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_11;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_12;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_13;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_14;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_16;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_17;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_18;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_21;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_22;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_23;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_25;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_28;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_29;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_30;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_31;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_34;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_36;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_39;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_40;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_42;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_44;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_47;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_49;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_51;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_55;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_60;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_62;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_66;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_217;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_576;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_577;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_578;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_579;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_580;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_581;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_582;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_583;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_584;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_585;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_586;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_587;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_588;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_589;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_590;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_591;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_592;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_593;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_594;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_595;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_596;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_597;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_598;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_599;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_600;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_601;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_602;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_603;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_604;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_605;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_606;
+   wire soc_top_u_uart_u_uart_core_tx_done;
+   wire soc_top_u_uart_u_uart_core_tx_en;
+   wire soc_top_u_uart_u_uart_core_tx_en_sel;
+   wire soc_top_u_uart_u_uart_core_tx_fifo_clear;
+   wire soc_top_u_uart_u_uart_core_tx_fifo_init;
+   wire soc_top_u_uart_u_uart_core_tx_fifo_reset;
+   wire soc_top_u_uart_u_uart_core_write_fifo_buffer_empty;
+   wire soc_top_u_uart_u_uart_core_write_fifo_n_1309;
+   wire \soc_top_u_uart_u_uart_core_write_fifo_rdata_o[0]_5752 ;
+   wire \soc_top_uart_to_xbar[d_valid] ;
+   wire \soc_top_xbar_to_lsu[d_error] ;
+   wire \soc_top_xbar_to_lsu[d_valid] ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_0 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_1 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_2 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_3 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_4 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_5 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_6 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_7 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_8 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_9 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_10 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_11 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_12 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_13 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_14 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_15 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_16 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_17 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_18 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_19 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_20 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_21 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_22 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_23 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_24 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_25 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_26 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_27 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_28 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_29 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_30 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_31 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_32 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_33 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_34 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_35 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_36 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_37 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_38 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_39 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_40 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_41 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_42 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_43 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_44 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_45 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_46 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_47 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_48 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_49 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_50 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_51 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_52 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_53 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_54 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_55 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_56 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_57 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_58 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_59 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_60 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_61 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_62 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_63 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_64 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_65 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_66 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_67 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_68 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_69 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_70 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_71 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_72 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_73 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_74 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_75 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_76 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_77 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_78 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_79 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_80 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_81 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_82 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_83 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_84 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_85 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_86 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_87 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_88 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_89 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_90 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_91 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_92 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_93 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_94 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_95 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_96 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_97 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_98 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_99 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_100 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_101 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_102 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_103 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_104 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_105 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_106 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_107 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_108 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_109 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_110 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_111 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_112 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_113 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_114 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_115 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_116 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_117 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_118 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_119 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_120 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_121 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_122 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_123 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_124 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_125 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_126 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_127 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_128 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_129 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_130 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_131 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_132 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_133 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_134 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_135 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_136 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_137 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_138 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_139 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_140 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_141 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_142 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_143 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_144 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_145 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_146 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_147 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_148 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_149 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_150 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_151 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_152 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_153 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_154 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_155 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_156 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_157 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_158 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_159 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_160 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_161 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_162 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_163 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_164 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_165 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_166 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_167 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_168 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_169 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_170 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_171 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_172 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_173 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_174 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_175 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_176 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_177 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_178 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_179 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_180 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_181 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_182 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_183 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_184 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_185 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_186 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_187 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_188 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_189 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_190 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_191 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_192 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_193 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_194 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_195 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_196 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_197 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_198 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_199 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_200 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_201 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_202 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_203 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_204 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_205 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_206 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_207 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_208 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_209 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_210 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_211 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_212 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_213 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_214 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_215 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_216 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_218 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_219 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_220 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_222 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_223 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_224 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_225 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_226 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_227 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_228 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_229 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_230 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_231 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_232 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_233 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_234 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_235 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_236 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_237 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_238 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_239 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_240 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_241 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_242 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_243 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_244 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_245 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_246 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_247 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_248 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_249 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_250 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_251 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_252 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_253 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_254 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_255 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_256 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_257 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_258 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_259 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_260 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_261 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_262 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_263 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_264 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_265 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_266 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_267 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_268 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_269 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_270 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_271 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_272 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_273 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_274 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_275 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_276 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_277 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_278 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_279 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_280 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_281 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_282 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_283 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_284 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_285 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_286 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_287 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_288 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_289 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_290 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_291 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_292 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_293 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_294 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_295 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_296 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_297 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_298 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_376 ;
+   wire \soc_top_u_dut/byte_count[1] ;
+   wire \soc_top_u_dut/byte_count[0] ;
+   wire \soc_top_u_dut/ctrl_fsm_cs[1] ;
+   wire \soc_top_u_dut/ctrl_fsm_cs[0] ;
+   wire \soc_top_u_dut/n_0 ;
+   wire \soc_top_u_dut/n_1 ;
+   wire \soc_top_u_dut/n_41 ;
+   wire \soc_top_u_dut/n_42 ;
+   wire \soc_top_u_dut/n_43 ;
+   wire \soc_top_u_dut/n_44 ;
+   wire \soc_top_u_dut/n_45 ;
+   wire \soc_top_u_dut/n_46 ;
+   wire \soc_top_u_dut/n_47 ;
+   wire \soc_top_u_dut/n_48 ;
+   wire \soc_top_u_dut/n_49 ;
+   wire \soc_top_u_dut/n_50 ;
+   wire \soc_top_u_dut/n_51 ;
+   wire \soc_top_u_dut/n_52 ;
+   wire \soc_top_u_dut/n_53 ;
+   wire \soc_top_u_dut/n_54 ;
+   wire \soc_top_u_dut/n_55 ;
+   wire \soc_top_u_dut/n_56 ;
+   wire \soc_top_u_dut/n_57 ;
+   wire \soc_top_u_dut/n_58 ;
+   wire \soc_top_u_dut/n_59 ;
+   wire \soc_top_u_dut/n_60 ;
+   wire \soc_top_u_dut/n_61 ;
+   wire \soc_top_u_dut/n_62 ;
+   wire \soc_top_u_dut/n_63 ;
+   wire \soc_top_u_dut/n_64 ;
+   wire \soc_top_u_dut/n_65 ;
+   wire \soc_top_u_dut/n_66 ;
+   wire \soc_top_u_dut/n_67 ;
+   wire \soc_top_u_dut/n_68 ;
+   wire \soc_top_u_dut/n_69 ;
+   wire \soc_top_u_dut/n_70 ;
+   wire \soc_top_u_dut/n_71 ;
+   wire \soc_top_u_dut/n_72 ;
+   wire \soc_top_u_dut/n_73 ;
+   wire \soc_top_u_dut/n_74 ;
+   wire \soc_top_u_dut/n_75 ;
+   wire \soc_top_u_dut/n_76 ;
+   wire \soc_top_u_dut/n_77 ;
+   wire \soc_top_u_dut/n_78 ;
+   wire \soc_top_u_dut/n_79 ;
+   wire \soc_top_u_dut/n_80 ;
+   wire \soc_top_u_dut/n_81 ;
+   wire \soc_top_u_dut/n_82 ;
+   wire \soc_top_u_dut/n_83 ;
+   wire \soc_top_u_dut/n_84 ;
+   wire \soc_top_u_dut/n_85 ;
+   wire \soc_top_u_dut/n_86 ;
+   wire \soc_top_u_dut/n_87 ;
+   wire \soc_top_u_dut/n_88 ;
+   wire \soc_top_u_dut/n_89 ;
+   wire \soc_top_u_dut/n_90 ;
+   wire \soc_top_u_dut/n_91 ;
+   wire \soc_top_u_dut/n_92 ;
+   wire \soc_top_u_dut/n_93 ;
+   wire \soc_top_u_dut/n_94 ;
+   wire \soc_top_u_dut/n_95 ;
+   wire \soc_top_u_dut/n_96 ;
+   wire \soc_top_u_dut/n_97 ;
+   wire \soc_top_u_dut/n_98 ;
+   wire \soc_top_u_dut/n_99 ;
+   wire \soc_top_u_dut/n_100 ;
+   wire \soc_top_u_dut/n_101 ;
+   wire \soc_top_u_dut/n_102 ;
+   wire \soc_top_u_dut/n_103 ;
+   wire \soc_top_u_dut/n_104 ;
+   wire \soc_top_u_dut/n_105 ;
+   wire \soc_top_u_dut/n_106 ;
+   wire \soc_top_u_dut/n_107 ;
+   wire \soc_top_u_dut/n_108 ;
+   wire \soc_top_u_dut/n_109 ;
+   wire \soc_top_u_dut/n_110 ;
+   wire \soc_top_u_dut/n_111 ;
+   wire \soc_top_u_dut/n_112 ;
+   wire \soc_top_u_dut/n_113 ;
+   wire \soc_top_u_dut/n_114 ;
+   wire \soc_top_u_dut/n_115 ;
+   wire \soc_top_u_dut/n_116 ;
+   wire \soc_top_u_dut/n_117 ;
+   wire \soc_top_u_dut/n_118 ;
+   wire \soc_top_u_dut/n_119 ;
+   wire \soc_top_u_dut/n_120 ;
+   wire \soc_top_u_dut/n_121 ;
+   wire \soc_top_u_dut/n_122 ;
+   wire \soc_top_u_dut/n_123 ;
+   wire \soc_top_u_dut/n_124 ;
+   wire \soc_top_u_dut/n_125 ;
+   wire \soc_top_u_dut/n_126 ;
+   wire \soc_top_u_dut/n_127 ;
+   wire \soc_top_u_dut/n_128 ;
+   wire \soc_top_u_dut/n_129 ;
+   wire \soc_top_u_dut/n_130 ;
+   wire \soc_top_u_dut/n_131 ;
+   wire \soc_top_u_dut/n_132 ;
+   wire \soc_top_u_dut/n_133 ;
+   wire \soc_top_u_dut/n_134 ;
+   wire \soc_top_u_dut/n_135 ;
+   wire \soc_top_u_dut/n_136 ;
+   wire \soc_top_u_dut/n_137 ;
+   wire \soc_top_u_dut/n_138 ;
+   wire \soc_top_u_dut/n_139 ;
+   wire \soc_top_u_dut/n_140 ;
+   wire \soc_top_u_dut/n_141 ;
+   wire \soc_top_u_dut/n_142 ;
+   wire \soc_top_u_dut/n_143 ;
+   wire \soc_top_u_dut/n_144 ;
+   wire \soc_top_u_dut/n_145 ;
+   wire \soc_top_u_dut/n_146 ;
+   wire \soc_top_u_dut/n_147 ;
+   wire \soc_top_u_dut/n_148 ;
+   wire \soc_top_u_dut/n_149 ;
+   wire \soc_top_u_dut/n_150 ;
+   wire \soc_top_u_dut/n_151 ;
+   wire \soc_top_u_dut/n_152 ;
+   wire \soc_top_u_dut/n_153 ;
+   wire \soc_top_u_dut/n_154 ;
+   wire \soc_top_u_dut/n_155 ;
+   wire \soc_top_u_dut/n_156 ;
+   wire \soc_top_u_dut/n_157 ;
+   wire \soc_top_u_dut/n_158 ;
+   wire \soc_top_u_dut/n_159 ;
+   wire \soc_top_u_dut/n_160 ;
+   wire \soc_top_u_dut/n_161 ;
+   wire \soc_top_u_dut/n_162 ;
+   wire \soc_top_u_dut/n_163 ;
+   wire \soc_top_u_dut/n_164 ;
+   wire \soc_top_u_dut/n_165 ;
+   wire \soc_top_u_dut/n_166 ;
+   wire \soc_top_u_dut/n_167 ;
+   wire \soc_top_u_dut/n_168 ;
+   wire \soc_top_u_dut/n_169 ;
+   wire \soc_top_u_dut/n_170 ;
+   wire \soc_top_u_dut/n_171 ;
+   wire \soc_top_u_dut/n_172 ;
+   wire \soc_top_u_dut/n_173 ;
+   wire \soc_top_u_dut/n_174 ;
+   wire \soc_top_u_dut/n_175 ;
+   wire \soc_top_u_dut/n_176 ;
+   wire \soc_top_u_dut/n_177 ;
+   wire \soc_top_u_dut/n_178 ;
+   wire \soc_top_u_dut/n_179 ;
+   wire \soc_top_u_dut/n_180 ;
+   wire \soc_top_u_dut/n_181 ;
+   wire \soc_top_u_spi_host_spi_host_shift/cnt[5] ;
+   wire \soc_top_u_spi_host_spi_host_shift/cnt[4] ;
+   wire \soc_top_u_spi_host_spi_host_shift/cnt[3] ;
+   wire \soc_top_u_spi_host_spi_host_shift/cnt[2] ;
+   wire \soc_top_u_spi_host_spi_host_shift/cnt[1] ;
+   wire \soc_top_u_spi_host_spi_host_shift/cnt[0] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[31] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[30] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[29] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[28] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[27] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[26] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[25] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[24] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[23] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[22] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[21] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[20] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[19] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[18] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[17] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[16] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[15] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[14] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[13] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[12] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[11] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[10] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[9] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[8] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[7] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[6] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[5] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[4] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[3] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[2] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[1] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[0] ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_0 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_1 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_3 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_4 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_5 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_6 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_7 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_8 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_9 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_10 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_11 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_12 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_13 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_14 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_15 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_16 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_17 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_18 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_19 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_20 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_21 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_22 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_23 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_24 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_25 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_26 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_27 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_28 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_29 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_30 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_31 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_32 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_33 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_34 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_35 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_36 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_37 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_38 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_39 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_40 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_41 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_42 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_43 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_44 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_45 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_46 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_47 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_48 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_49 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_50 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_51 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_52 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_53 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_54 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_55 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_56 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_57 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_58 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_59 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_60 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_61 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_62 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_63 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_64 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_65 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_66 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_67 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_68 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_69 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_70 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_71 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_72 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_73 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_74 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_75 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_76 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_77 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_78 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_79 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_80 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_81 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_82 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_83 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_84 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_85 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_86 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_87 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_88 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_89 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_90 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_91 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_92 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_93 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_94 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_95 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_96 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_97 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_98 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_99 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_100 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_101 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_102 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_103 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_104 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_105 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_106 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_107 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_108 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_109 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_110 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_111 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_112 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_113 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_114 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_115 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_116 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_117 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_118 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_119 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_120 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_121 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_122 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_123 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_124 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_125 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_126 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_127 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_128 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_129 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_130 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_131 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_132 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_133 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_134 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_135 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_136 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_137 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_138 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_139 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_140 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_141 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_142 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_143 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_145 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_146 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_147 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_148 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_149 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_150 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_151 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_152 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_153 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_154 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_155 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_156 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_157 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_158 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_159 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_160 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_161 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_162 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_163 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_164 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_165 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_166 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_167 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_168 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_169 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_170 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_171 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_173 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_174 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_175 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_176 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_177 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_178 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_179 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_180 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_181 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_182 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_183 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_184 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_185 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_186 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_187 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_188 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_189 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_190 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_191 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_192 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_193 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_194 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_195 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_198 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_199 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_200 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_201 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_203 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_206 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_207 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_208 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_209 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_210 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_211 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_212 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_213 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_214 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_215 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_216 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_217 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_218 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_219 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_220 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_222 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_223 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_224 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_225 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_226 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_227 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_228 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_229 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_230 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_231 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_233 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_234 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_235 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_236 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_237 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_238 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_239 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_240 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_241 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_242 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_243 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_244 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_245 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_246 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_247 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_248 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_249 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_250 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_251 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_252 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_253 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_254 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_255 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_256 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_257 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_258 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_259 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_260 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_261 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_262 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_263 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_264 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_265 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_266 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_267 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_268 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_269 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_270 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_271 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_272 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_273 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_274 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_275 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_276 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_277 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_278 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_279 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_280 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_281 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_282 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_283 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_284 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_285 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_286 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_287 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_288 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_289 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_291 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_292 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_293 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_294 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_295 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_349 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_350 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_351 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_352 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_3 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_14 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_22 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_29 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_33 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_41 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_46 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_47 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_51 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_68 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_70 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_81 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_84 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_86 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_91 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_102 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_111 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_115 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_117 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_127 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_128 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_133 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_144 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_145 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_146 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_179 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_181 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_183 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_187 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_190 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_191 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_193 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_206 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_217 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_219 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_222 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_223 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_227 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_231 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_244 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_250 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_253 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_254 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_256 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_258 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_259 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_264 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_267 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_270 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_280 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_290 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_303 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_308 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_317 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_324 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_342 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_347 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_351 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_355 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_360 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_384 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_390 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_392 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_401 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_422 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_446 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_502 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_504 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_505 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_506 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_511 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_512 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_513 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_532 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_535 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_539 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_540 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_542 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_543 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_557 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_626 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_627 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_676 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_754 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_755 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_756 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_762 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_763 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_844 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1021 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1022 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1023 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1026 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1474 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1475 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1479 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1480 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1482 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1485 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1489 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1492 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1494 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1495 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1496 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1497 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1501 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1510 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1514 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1529 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1536 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1564 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1565 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1566 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1570 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1574 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1581 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1583 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1584 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1585 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1586 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1587 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1595 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1603 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1605 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1632 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1633 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1640 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1641 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1644 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1645 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1646 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1647 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1649 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1651 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1653 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1656 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1659 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1663 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1667 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1668 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1670 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1671 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1673 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1674 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1678 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1680 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1681 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1682 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1683 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1684 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1686 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1688 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1692 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1701 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1704 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1708 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1709 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1710 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1713 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1714 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1716 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1718 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1719 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1720 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1721 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1724 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1741 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1744 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1745 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1746 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1752 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1753 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1761 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1764 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1772 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1773 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1775 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1788 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1790 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1791 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1792 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1794 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1796 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1797 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1894 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1895 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1896 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1897 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1898 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1899 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1907 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1908 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1920 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1921 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1922 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1923 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1924 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1928 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1929 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1931 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1934 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1935 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1940 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1941 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1944 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1945 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1947 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1951 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1952 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1955 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1957 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1959 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1960 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1961 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1962 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1963 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1964 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1965 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1969 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1970 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1971 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1972 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1973 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1974 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1975 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1977 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1978 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1979 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1980 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1981 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1982 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1983 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1984 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1985 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1986 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1987 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1988 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1990 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2026 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2051 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2052 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2054 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2055 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2056 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2057 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2278 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2280 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2281 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2283 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2284 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2290 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2292 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2294 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2295 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2298 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[3] ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[2] ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[1] ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[0] ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/ebreak_into_debug ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/exc_req_q ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/illegal_insn_q ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/load_err_q ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_2 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_3 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_4 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_5 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_6 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_7 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_8 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_9 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_10 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_11 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_12 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_13 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_14 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_15 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_16 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_17 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_18 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_19 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_20 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_21 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_22 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_23 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_24 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_25 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_26 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_27 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_28 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_29 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_30 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_31 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_33 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_35 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_36 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_37 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_38 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_39 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_40 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_41 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_42 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_43 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_44 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_45 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_46 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_47 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_48 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_49 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_50 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_51 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_53 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_54 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_55 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_56 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_57 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_58 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_59 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_60 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_61 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_67 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_68 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_69 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_70 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_71 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_76 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_77 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_79 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_80 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_81 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_83 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_84 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_86 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_87 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_88 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_90 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_91 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_92 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_93 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_94 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_95 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_96 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_97 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_98 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_99 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_100 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_150 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_151 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_155 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_157 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_167 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_185 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_271 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_272 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_273 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_275 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_276 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_280 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_285 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_286 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_296 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_297 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_302 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_303 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_306 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_310 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_311 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_314 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_315 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_316 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_319 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_322 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_323 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_324 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_4137_BAR ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/stall ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/store_err_q ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/UNCONNECTED ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/logic_0_1_net ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_0 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_59 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_71 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_103 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_148 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_160 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_164 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_170 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_172 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_173 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_174 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_182 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_183 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_184 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_188 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_189 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_192 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_193 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_194 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_197 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_199 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_201 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_202 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_203 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_204 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_206 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_207 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_208 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_209 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_210 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_211 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_212 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_213 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_215 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_216 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_219 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_220 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_222 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_223 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_225 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_226 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_227 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_229 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_239 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_248 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_256 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_257 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_258 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_259 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_267 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_271 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_276 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_279 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_280 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_283 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_284 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_285 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_286 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_288 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_291 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_292 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_293 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_294 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_295 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_296 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_297 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_298 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_299 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_300 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_302 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_303 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_305 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_310 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_311 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_314 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_316 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_318 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_319 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_320 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_321 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_322 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_323 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_332 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_333 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_334 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_335 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_336 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_337 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_339 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_340 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_343 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_344 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_345 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_346 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_347 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_348 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_349 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_350 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_351 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_353 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_357 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_360 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_361 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_364 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_367 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_368 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_369 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_370 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_372 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_373 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_374 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_377 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_378 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_379 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_380 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_381 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_382 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_383 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_384 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_385 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_386 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_389 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_393 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_394 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_395 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_396 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_397 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_398 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_399 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_400 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_401 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_404 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_405 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_406 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_407 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_408 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_409 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_411 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_412 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_413 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_415 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_416 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_417 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_418 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_422 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_423 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_424 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_425 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_428 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_431 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_432 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_433 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_436 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_437 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_451 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_452 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_453 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_454 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_455 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_458 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_459 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_461 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_464 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_465 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_468 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_469 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_470 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_472 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_473 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_474 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_476 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_479 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_480 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_481 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_482 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_484 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_485 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_486 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_488 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_493 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_494 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_496 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_497 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_498 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_501 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_503 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_504 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_505 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_506 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_507 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_508 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_510 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_511 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_512 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_515 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_516 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_518 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_519 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_520 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_521 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_522 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_524 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_525 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_526 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_527 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_529 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_530 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_534 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_535 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_536 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_539 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_540 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_541 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_542 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_543 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_544 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_545 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_547 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_548 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_553 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_554 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_555 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_556 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_557 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_558 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_561 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_563 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_565 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_566 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_569 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_570 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_573 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_575 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_576 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_578 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_579 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_582 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_583 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_584 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_588 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_590 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_594 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_595 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_598 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_599 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_600 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_601 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_602 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_605 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_609 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_612 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_615 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_616 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_618 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_619 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_620 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_622 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_623 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_625 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_630 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_631 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_665 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_669 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_670 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_675 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_681 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_686 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_687 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_691 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_692 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_701 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_712 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_713 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_720 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_730 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_731 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_732 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_735 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_736 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_743 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_802 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_804 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_805 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_839 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_846 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_851 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_855 ;
+   wire \soc_top_u_uart_rx_prog/r_Bit_Index[2] ;
+   wire \soc_top_u_uart_rx_prog/r_Bit_Index[1] ;
+   wire \soc_top_u_uart_rx_prog/r_Bit_Index[0] ;
+   wire \soc_top_u_uart_rx_prog/r_Clock_Count[15] ;
+   wire \soc_top_u_uart_rx_prog/r_Clock_Count[14] ;
+   wire \soc_top_u_uart_rx_prog/r_Clock_Count[13] ;
+   wire \soc_top_u_uart_rx_prog/r_Clock_Count[12] ;
+   wire \soc_top_u_uart_rx_prog/r_Clock_Count[11] ;
+   wire \soc_top_u_uart_rx_prog/r_Clock_Count[10] ;
+   wire \soc_top_u_uart_rx_prog/r_Clock_Count[9] ;
+   wire \soc_top_u_uart_rx_prog/r_Clock_Count[8] ;
+   wire \soc_top_u_uart_rx_prog/r_Clock_Count[7] ;
+   wire \soc_top_u_uart_rx_prog/r_Clock_Count[6] ;
+   wire \soc_top_u_uart_rx_prog/r_Clock_Count[5] ;
+   wire \soc_top_u_uart_rx_prog/r_Clock_Count[4] ;
+   wire \soc_top_u_uart_rx_prog/r_Clock_Count[3] ;
+   wire \soc_top_u_uart_rx_prog/r_Clock_Count[2] ;
+   wire \soc_top_u_uart_rx_prog/r_Clock_Count[1] ;
+   wire \soc_top_u_uart_rx_prog/r_Clock_Count[0] ;
+   wire \soc_top_u_uart_rx_prog/r_SM_Main[2] ;
+   wire \soc_top_u_uart_rx_prog/r_SM_Main[1] ;
+   wire \soc_top_u_uart_rx_prog/r_SM_Main[0] ;
+   wire \soc_top_u_uart_rx_prog/n_0 ;
+   wire \soc_top_u_uart_rx_prog/n_1 ;
+   wire \soc_top_u_uart_rx_prog/n_2 ;
+   wire \soc_top_u_uart_rx_prog/n_3 ;
+   wire \soc_top_u_uart_rx_prog/n_4 ;
+   wire \soc_top_u_uart_rx_prog/n_5 ;
+   wire \soc_top_u_uart_rx_prog/n_6 ;
+   wire \soc_top_u_uart_rx_prog/n_7 ;
+   wire \soc_top_u_uart_rx_prog/n_8 ;
+   wire \soc_top_u_uart_rx_prog/n_9 ;
+   wire \soc_top_u_uart_rx_prog/n_10 ;
+   wire \soc_top_u_uart_rx_prog/n_12 ;
+   wire \soc_top_u_uart_rx_prog/n_13 ;
+   wire \soc_top_u_uart_rx_prog/n_14 ;
+   wire \soc_top_u_uart_rx_prog/n_15 ;
+   wire \soc_top_u_uart_rx_prog/n_17 ;
+   wire \soc_top_u_uart_rx_prog/n_18 ;
+   wire \soc_top_u_uart_rx_prog/n_19 ;
+   wire \soc_top_u_uart_rx_prog/n_20 ;
+   wire \soc_top_u_uart_rx_prog/n_22 ;
+   wire \soc_top_u_uart_rx_prog/n_23 ;
+   wire \soc_top_u_uart_rx_prog/n_24 ;
+   wire \soc_top_u_uart_rx_prog/n_25 ;
+   wire \soc_top_u_uart_rx_prog/n_26 ;
+   wire \soc_top_u_uart_rx_prog/n_27 ;
+   wire \soc_top_u_uart_rx_prog/n_28 ;
+   wire \soc_top_u_uart_rx_prog/n_29 ;
+   wire \soc_top_u_uart_rx_prog/n_30 ;
+   wire \soc_top_u_uart_rx_prog/n_31 ;
+   wire \soc_top_u_uart_rx_prog/n_32 ;
+   wire \soc_top_u_uart_rx_prog/n_33 ;
+   wire \soc_top_u_uart_rx_prog/n_34 ;
+   wire \soc_top_u_uart_rx_prog/n_35 ;
+   wire \soc_top_u_uart_rx_prog/n_36 ;
+   wire \soc_top_u_uart_rx_prog/n_37 ;
+   wire \soc_top_u_uart_rx_prog/n_38 ;
+   wire \soc_top_u_uart_rx_prog/n_39 ;
+   wire \soc_top_u_uart_rx_prog/n_40 ;
+   wire \soc_top_u_uart_rx_prog/n_41 ;
+   wire \soc_top_u_uart_rx_prog/n_42 ;
+   wire \soc_top_u_uart_rx_prog/n_43 ;
+   wire \soc_top_u_uart_rx_prog/n_44 ;
+   wire \soc_top_u_uart_rx_prog/n_46 ;
+   wire \soc_top_u_uart_rx_prog/n_47 ;
+   wire \soc_top_u_uart_rx_prog/n_48 ;
+   wire \soc_top_u_uart_rx_prog/n_49 ;
+   wire \soc_top_u_uart_rx_prog/n_50 ;
+   wire \soc_top_u_uart_rx_prog/n_51 ;
+   wire \soc_top_u_uart_rx_prog/n_52 ;
+   wire \soc_top_u_uart_rx_prog/n_53 ;
+   wire \soc_top_u_uart_rx_prog/n_54 ;
+   wire \soc_top_u_uart_rx_prog/n_55 ;
+   wire \soc_top_u_uart_rx_prog/n_56 ;
+   wire \soc_top_u_uart_rx_prog/n_57 ;
+   wire \soc_top_u_uart_rx_prog/n_58 ;
+   wire \soc_top_u_uart_rx_prog/n_59 ;
+   wire \soc_top_u_uart_rx_prog/n_60 ;
+   wire \soc_top_u_uart_rx_prog/n_61 ;
+   wire \soc_top_u_uart_rx_prog/n_62 ;
+   wire \soc_top_u_uart_rx_prog/n_63 ;
+   wire \soc_top_u_uart_rx_prog/n_64 ;
+   wire \soc_top_u_uart_rx_prog/n_65 ;
+   wire \soc_top_u_uart_rx_prog/n_66 ;
+   wire \soc_top_u_uart_rx_prog/n_67 ;
+   wire \soc_top_u_uart_rx_prog/n_68 ;
+   wire \soc_top_u_uart_rx_prog/n_69 ;
+   wire \soc_top_u_uart_rx_prog/n_70 ;
+   wire \soc_top_u_uart_rx_prog/n_71 ;
+   wire \soc_top_u_uart_rx_prog/n_72 ;
+   wire \soc_top_u_uart_rx_prog/n_73 ;
+   wire \soc_top_u_uart_rx_prog/n_74 ;
+   wire \soc_top_u_uart_rx_prog/n_75 ;
+   wire \soc_top_u_uart_rx_prog/n_76 ;
+   wire \soc_top_u_uart_rx_prog/n_77 ;
+   wire \soc_top_u_uart_rx_prog/n_78 ;
+   wire \soc_top_u_uart_rx_prog/n_79 ;
+   wire \soc_top_u_uart_rx_prog/n_80 ;
+   wire \soc_top_u_uart_rx_prog/n_81 ;
+   wire \soc_top_u_uart_rx_prog/n_82 ;
+   wire \soc_top_u_uart_rx_prog/n_83 ;
+   wire \soc_top_u_uart_rx_prog/n_84 ;
+   wire \soc_top_u_uart_rx_prog/n_85 ;
+   wire \soc_top_u_uart_rx_prog/n_86 ;
+   wire \soc_top_u_uart_rx_prog/n_87 ;
+   wire \soc_top_u_uart_rx_prog/n_88 ;
+   wire \soc_top_u_uart_rx_prog/n_90 ;
+   wire \soc_top_u_uart_rx_prog/n_91 ;
+   wire \soc_top_u_uart_rx_prog/n_92 ;
+   wire \soc_top_u_uart_rx_prog/n_93 ;
+   wire \soc_top_u_uart_rx_prog/n_94 ;
+   wire \soc_top_u_uart_rx_prog/n_95 ;
+   wire \soc_top_u_uart_rx_prog/n_96 ;
+   wire \soc_top_u_uart_rx_prog/n_97 ;
+   wire \soc_top_u_uart_rx_prog/n_98 ;
+   wire \soc_top_u_uart_rx_prog/n_99 ;
+   wire \soc_top_u_uart_rx_prog/n_100 ;
+   wire \soc_top_u_uart_rx_prog/n_101 ;
+   wire \soc_top_u_uart_rx_prog/n_102 ;
+   wire \soc_top_u_uart_rx_prog/n_103 ;
+   wire \soc_top_u_uart_rx_prog/n_104 ;
+   wire \soc_top_u_uart_rx_prog/n_105 ;
+   wire \soc_top_u_uart_rx_prog/n_106 ;
+   wire \soc_top_u_uart_rx_prog/n_107 ;
+   wire \soc_top_u_uart_rx_prog/n_108 ;
+   wire \soc_top_u_uart_rx_prog/n_109 ;
+   wire \soc_top_u_uart_rx_prog/n_110 ;
+   wire \soc_top_u_uart_rx_prog/n_111 ;
+   wire \soc_top_u_uart_rx_prog/n_112 ;
+   wire \soc_top_u_uart_rx_prog/n_113 ;
+   wire \soc_top_u_uart_rx_prog/n_114 ;
+   wire \soc_top_u_uart_rx_prog/n_115 ;
+   wire \soc_top_u_uart_rx_prog/n_116 ;
+   wire \soc_top_u_uart_rx_prog/n_117 ;
+   wire \soc_top_u_uart_rx_prog/n_118 ;
+   wire \soc_top_u_uart_rx_prog/n_119 ;
+   wire \soc_top_u_uart_rx_prog/n_120 ;
+   wire \soc_top_u_uart_rx_prog/n_121 ;
+   wire \soc_top_u_uart_rx_prog/n_122 ;
+   wire \soc_top_u_uart_rx_prog/n_123 ;
+   wire \soc_top_u_uart_rx_prog/n_124 ;
+   wire \soc_top_u_uart_rx_prog/n_125 ;
+   wire \soc_top_u_uart_rx_prog/n_126 ;
+   wire \soc_top_u_uart_rx_prog/n_127 ;
+   wire \soc_top_u_uart_rx_prog/n_128 ;
+   wire \soc_top_u_uart_rx_prog/n_129 ;
+   wire \soc_top_u_uart_rx_prog/n_130 ;
+   wire \soc_top_u_uart_rx_prog/n_131 ;
+   wire \soc_top_u_uart_rx_prog/n_132 ;
+   wire \soc_top_u_uart_rx_prog/n_133 ;
+   wire \soc_top_u_uart_rx_prog/n_134 ;
+   wire \soc_top_u_uart_rx_prog/n_135 ;
+   wire \soc_top_u_uart_rx_prog/n_136 ;
+   wire \soc_top_u_uart_rx_prog/n_137 ;
+   wire \soc_top_u_uart_rx_prog/n_138 ;
+   wire \soc_top_u_uart_rx_prog/n_139 ;
+   wire \soc_top_u_uart_rx_prog/n_140 ;
+   wire \soc_top_u_uart_rx_prog/n_142 ;
+   wire \soc_top_u_uart_rx_prog/n_143 ;
+   wire \soc_top_u_uart_rx_prog/n_144 ;
+   wire \soc_top_u_uart_rx_prog/n_145 ;
+   wire \soc_top_u_uart_rx_prog/n_147 ;
+   wire \soc_top_u_uart_rx_prog/n_148 ;
+   wire \soc_top_u_uart_rx_prog/n_149 ;
+   wire \soc_top_u_uart_rx_prog/n_150 ;
+   wire \soc_top_u_uart_rx_prog/n_151 ;
+   wire \soc_top_u_uart_rx_prog/n_152 ;
+   wire \soc_top_u_uart_rx_prog/n_153 ;
+   wire \soc_top_u_uart_rx_prog/n_155 ;
+   wire \soc_top_u_uart_rx_prog/n_156 ;
+   wire \soc_top_u_uart_rx_prog/n_157 ;
+   wire \soc_top_u_uart_rx_prog/n_158 ;
+   wire \soc_top_u_uart_rx_prog/n_159 ;
+   wire \soc_top_u_uart_rx_prog/n_160 ;
+   wire \soc_top_u_uart_rx_prog/n_161 ;
+   wire \soc_top_u_uart_rx_prog/n_162 ;
+   wire \soc_top_u_uart_rx_prog/n_163 ;
+   wire \soc_top_u_uart_rx_prog/n_164 ;
+   wire \soc_top_u_uart_rx_prog/n_165 ;
+   wire \soc_top_u_uart_rx_prog/n_166 ;
+   wire \soc_top_u_uart_rx_prog/n_167 ;
+   wire \soc_top_u_uart_rx_prog/n_168 ;
+   wire \soc_top_u_uart_rx_prog/n_169 ;
+   wire \soc_top_u_uart_rx_prog/n_170 ;
+   wire \soc_top_u_uart_rx_prog/n_171 ;
+   wire \soc_top_u_uart_rx_prog/n_172 ;
+   wire \soc_top_u_uart_rx_prog/n_173 ;
+   wire \soc_top_u_uart_rx_prog/n_174 ;
+   wire \soc_top_u_uart_rx_prog/n_175 ;
+   wire \soc_top_u_uart_rx_prog/n_176 ;
+   wire \soc_top_u_uart_rx_prog/n_177 ;
+   wire \soc_top_u_uart_rx_prog/n_178 ;
+   wire \soc_top_u_uart_rx_prog/n_179 ;
+   wire \soc_top_u_uart_rx_prog/n_180 ;
+   wire \soc_top_u_uart_rx_prog/n_181 ;
+   wire \soc_top_u_uart_rx_prog/n_182 ;
+   wire \soc_top_u_uart_rx_prog/n_183 ;
+   wire \soc_top_u_uart_rx_prog/n_184 ;
+   wire \soc_top_u_uart_rx_prog/n_185 ;
+   wire \soc_top_u_uart_rx_prog/n_186 ;
+   wire \soc_top_u_uart_rx_prog/n_187 ;
+   wire \soc_top_u_uart_rx_prog/n_188 ;
+   wire \soc_top_u_uart_rx_prog/n_189 ;
+   wire \soc_top_u_uart_rx_prog/n_190 ;
+   wire \soc_top_u_uart_rx_prog/n_210 ;
+   wire \soc_top_u_uart_rx_prog/n_211 ;
+   wire \soc_top_u_uart_rx_prog/n_212 ;
+   wire \soc_top_u_uart_rx_prog/n_213 ;
+   wire \soc_top_u_uart_rx_prog/n_214 ;
+   wire \soc_top_u_uart_rx_prog/r_Rx_Data ;
+   wire \soc_top_u_uart_rx_prog/r_Rx_Data_R ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index[2] ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index[1] ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index[0] ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[15] ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[14] ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[13] ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[12] ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[11] ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[10] ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[9] ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[8] ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[7] ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[6] ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[5] ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[4] ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[3] ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[2] ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[1] ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[0] ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_SM_Main[2] ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_SM_Main[1] ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_SM_Main[0] ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_0 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_1 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_2 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_3 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_4 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_5 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_6 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_7 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_8 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_9 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_10 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_11 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_12 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_13 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_14 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_15 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_16 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_17 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_18 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_19 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_20 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_21 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_22 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_23 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_24 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_25 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_26 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_27 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_28 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_29 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_30 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_31 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_32 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_33 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_34 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_35 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_36 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_37 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_38 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_39 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_40 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_41 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_42 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_43 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_44 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_45 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_46 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_47 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_48 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_49 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_50 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_51 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_52 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_53 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_54 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_55 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_57 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_58 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_60 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_61 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_62 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_63 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_64 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_65 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_67 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_68 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_69 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_70 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_71 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_72 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_73 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_75 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_76 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_77 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_78 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_79 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_80 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_81 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_82 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_83 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_84 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_85 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_86 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_87 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_88 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_89 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_90 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_91 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_92 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_93 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_94 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_95 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_96 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_97 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_98 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_99 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_100 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_101 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_102 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_103 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_104 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_105 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_106 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_107 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_108 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_109 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_110 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_111 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_112 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_113 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_114 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_115 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_116 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_117 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_118 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_119 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_120 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_121 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_122 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_123 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_124 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_125 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_126 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_127 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_128 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_129 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_130 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_131 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_132 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_133 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_134 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_136 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_137 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_138 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_139 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_140 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_141 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_142 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_143 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_144 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_145 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_147 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_148 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_149 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_150 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_151 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_152 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_153 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_154 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_155 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_156 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_157 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_158 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_159 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_160 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_161 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_162 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_163 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_164 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_165 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_166 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_167 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_168 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_169 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_170 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_171 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_172 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_173 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_174 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_175 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_176 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_177 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_178 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_179 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_180 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_181 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_182 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_183 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_184 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_185 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_186 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_187 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_188 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_189 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_190 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_191 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_192 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_193 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_194 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_195 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_215 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_216 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_217 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_Rx_Data ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_Rx_Data_R ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_Bit_Index[2] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_Bit_Index[1] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_Bit_Index[0] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[15] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[14] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[13] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[12] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[11] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[10] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[9] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[8] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[7] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[6] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[5] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[4] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[3] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[2] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[1] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[0] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_SM_Main[1] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_SM_Main[0] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[7] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[6] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[5] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[4] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[3] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[2] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[1] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[0] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_0 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_1 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_2 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_3 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_4 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_5 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_6 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_7 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_8 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_9 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_10 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_11 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_12 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_13 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_14 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_15 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_16 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_17 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_18 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_19 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_20 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_21 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_22 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_23 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_24 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_25 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_26 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_27 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_28 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_29 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_30 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_31 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_32 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_33 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_34 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_35 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_36 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_37 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_38 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_39 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_40 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_41 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_42 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_43 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_44 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_45 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_46 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_47 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_48 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_49 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_50 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_51 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_52 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_53 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_54 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_55 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_56 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_57 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_58 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_59 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_60 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_61 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_62 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_63 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_64 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_65 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_66 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_67 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_68 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_69 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_70 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_71 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_72 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_73 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_74 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_75 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_76 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_77 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_78 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_79 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_80 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_81 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_82 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_83 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_84 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_85 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_86 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_87 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_88 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_89 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_93 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_94 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_95 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_96 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_97 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_98 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_99 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_100 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_101 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_102 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_103 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_104 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_105 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_106 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_107 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_108 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_109 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_110 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_111 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_112 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_113 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_114 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_115 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_116 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_117 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_118 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_119 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_120 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_121 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_122 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_123 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_124 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_125 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_126 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_127 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_128 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_129 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_130 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_131 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_132 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_133 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_134 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_135 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_136 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_137 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_138 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_139 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_140 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_141 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_142 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_143 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_144 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_145 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_146 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_147 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_148 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_149 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_150 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_151 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_152 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_153 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_154 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_155 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_156 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_157 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_158 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_159 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_160 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_161 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_162 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_163 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_164 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_165 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_166 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_194 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_195 ;
+
+   assign wbs_ack_o = io_oeb[26];
+   assign wbs_dat_o[31] = io_oeb[26];
+   assign wbs_dat_o[30] = io_oeb[26];
+   assign wbs_dat_o[29] = io_oeb[26];
+   assign wbs_dat_o[28] = io_oeb[26];
+   assign wbs_dat_o[27] = io_oeb[26];
+   assign wbs_dat_o[26] = io_oeb[26];
+   assign wbs_dat_o[25] = io_oeb[26];
+   assign wbs_dat_o[24] = io_oeb[26];
+   assign wbs_dat_o[23] = io_oeb[26];
+   assign wbs_dat_o[22] = io_oeb[26];
+   assign wbs_dat_o[21] = io_oeb[26];
+   assign wbs_dat_o[20] = io_oeb[26];
+   assign wbs_dat_o[19] = io_oeb[26];
+   assign wbs_dat_o[18] = io_oeb[26];
+   assign wbs_dat_o[17] = io_oeb[26];
+   assign wbs_dat_o[16] = io_oeb[26];
+   assign wbs_dat_o[15] = io_oeb[26];
+   assign wbs_dat_o[14] = io_oeb[26];
+   assign wbs_dat_o[13] = io_oeb[26];
+   assign wbs_dat_o[12] = io_oeb[26];
+   assign wbs_dat_o[11] = io_oeb[26];
+   assign wbs_dat_o[10] = io_oeb[26];
+   assign wbs_dat_o[9] = io_oeb[26];
+   assign wbs_dat_o[8] = io_oeb[26];
+   assign wbs_dat_o[7] = io_oeb[26];
+   assign wbs_dat_o[6] = io_oeb[26];
+   assign wbs_dat_o[5] = io_oeb[26];
+   assign wbs_dat_o[4] = io_oeb[26];
+   assign wbs_dat_o[3] = io_oeb[26];
+   assign wbs_dat_o[2] = io_oeb[26];
+   assign wbs_dat_o[1] = io_oeb[26];
+   assign wbs_dat_o[0] = io_oeb[26];
+   assign la_data_out[127] = io_oeb[26];
+   assign la_data_out[126] = io_oeb[26];
+   assign la_data_out[125] = io_oeb[26];
+   assign la_data_out[124] = io_oeb[26];
+   assign la_data_out[123] = io_oeb[26];
+   assign la_data_out[122] = io_oeb[26];
+   assign la_data_out[121] = io_oeb[26];
+   assign la_data_out[120] = io_oeb[26];
+   assign la_data_out[119] = io_oeb[26];
+   assign la_data_out[118] = io_oeb[26];
+   assign la_data_out[117] = io_oeb[26];
+   assign la_data_out[116] = io_oeb[26];
+   assign la_data_out[115] = io_oeb[26];
+   assign la_data_out[114] = io_oeb[26];
+   assign la_data_out[113] = io_oeb[26];
+   assign la_data_out[112] = io_oeb[26];
+   assign la_data_out[111] = io_oeb[26];
+   assign la_data_out[110] = io_oeb[26];
+   assign la_data_out[109] = io_oeb[26];
+   assign la_data_out[108] = io_oeb[26];
+   assign la_data_out[107] = io_oeb[26];
+   assign la_data_out[106] = io_oeb[26];
+   assign la_data_out[105] = io_oeb[26];
+   assign la_data_out[104] = io_oeb[26];
+   assign la_data_out[103] = io_oeb[26];
+   assign la_data_out[102] = io_oeb[26];
+   assign la_data_out[101] = io_oeb[26];
+   assign la_data_out[100] = io_oeb[26];
+   assign la_data_out[99] = io_oeb[26];
+   assign la_data_out[98] = io_oeb[26];
+   assign la_data_out[97] = io_oeb[26];
+   assign la_data_out[96] = io_oeb[26];
+   assign la_data_out[95] = io_oeb[26];
+   assign la_data_out[94] = io_oeb[26];
+   assign la_data_out[93] = io_oeb[26];
+   assign la_data_out[92] = io_oeb[26];
+   assign la_data_out[91] = io_oeb[26];
+   assign la_data_out[90] = io_oeb[26];
+   assign la_data_out[89] = io_oeb[26];
+   assign la_data_out[88] = io_oeb[26];
+   assign la_data_out[87] = io_oeb[26];
+   assign la_data_out[86] = io_oeb[26];
+   assign la_data_out[85] = io_oeb[26];
+   assign la_data_out[84] = io_oeb[26];
+   assign la_data_out[83] = io_oeb[26];
+   assign la_data_out[82] = io_oeb[26];
+   assign la_data_out[81] = io_oeb[26];
+   assign la_data_out[80] = io_oeb[26];
+   assign la_data_out[79] = io_oeb[26];
+   assign la_data_out[78] = io_oeb[26];
+   assign la_data_out[77] = io_oeb[26];
+   assign la_data_out[76] = io_oeb[26];
+   assign la_data_out[75] = io_oeb[26];
+   assign la_data_out[74] = io_oeb[26];
+   assign la_data_out[73] = io_oeb[26];
+   assign la_data_out[72] = io_oeb[26];
+   assign la_data_out[71] = io_oeb[26];
+   assign la_data_out[70] = io_oeb[26];
+   assign la_data_out[69] = io_oeb[26];
+   assign la_data_out[68] = io_oeb[26];
+   assign la_data_out[67] = io_oeb[26];
+   assign la_data_out[66] = io_oeb[26];
+   assign la_data_out[65] = io_oeb[26];
+   assign la_data_out[64] = io_oeb[26];
+   assign la_data_out[63] = io_oeb[26];
+   assign la_data_out[62] = io_oeb[26];
+   assign la_data_out[61] = io_oeb[26];
+   assign la_data_out[60] = io_oeb[26];
+   assign la_data_out[59] = io_oeb[26];
+   assign la_data_out[58] = io_oeb[26];
+   assign la_data_out[57] = io_oeb[26];
+   assign la_data_out[56] = io_oeb[26];
+   assign la_data_out[55] = io_oeb[26];
+   assign la_data_out[54] = io_oeb[26];
+   assign la_data_out[53] = io_oeb[26];
+   assign la_data_out[52] = io_oeb[26];
+   assign la_data_out[51] = io_oeb[26];
+   assign la_data_out[50] = io_oeb[26];
+   assign la_data_out[49] = io_oeb[26];
+   assign la_data_out[48] = io_oeb[26];
+   assign la_data_out[47] = io_oeb[26];
+   assign la_data_out[46] = io_oeb[26];
+   assign la_data_out[45] = io_oeb[26];
+   assign la_data_out[44] = io_oeb[26];
+   assign la_data_out[43] = io_oeb[26];
+   assign la_data_out[42] = io_oeb[26];
+   assign la_data_out[41] = io_oeb[26];
+   assign la_data_out[40] = io_oeb[26];
+   assign la_data_out[39] = io_oeb[26];
+   assign la_data_out[38] = io_oeb[26];
+   assign la_data_out[37] = io_oeb[26];
+   assign la_data_out[36] = io_oeb[26];
+   assign la_data_out[35] = io_oeb[26];
+   assign la_data_out[34] = io_oeb[26];
+   assign la_data_out[33] = io_oeb[26];
+   assign la_data_out[32] = io_oeb[26];
+   assign la_data_out[31] = io_oeb[26];
+   assign la_data_out[30] = io_oeb[26];
+   assign la_data_out[29] = io_oeb[26];
+   assign la_data_out[28] = io_oeb[26];
+   assign la_data_out[27] = io_oeb[26];
+   assign la_data_out[26] = io_oeb[26];
+   assign la_data_out[25] = io_oeb[26];
+   assign la_data_out[24] = io_oeb[26];
+   assign la_data_out[23] = io_oeb[26];
+   assign la_data_out[22] = io_oeb[26];
+   assign la_data_out[21] = io_oeb[26];
+   assign la_data_out[20] = io_oeb[26];
+   assign la_data_out[19] = io_oeb[26];
+   assign la_data_out[18] = io_oeb[26];
+   assign la_data_out[17] = io_oeb[26];
+   assign la_data_out[16] = io_oeb[26];
+   assign la_data_out[15] = io_oeb[26];
+   assign la_data_out[14] = io_oeb[26];
+   assign la_data_out[13] = io_oeb[26];
+   assign la_data_out[12] = io_oeb[26];
+   assign la_data_out[11] = io_oeb[26];
+   assign la_data_out[10] = io_oeb[26];
+   assign la_data_out[9] = io_oeb[26];
+   assign la_data_out[8] = io_oeb[26];
+   assign la_data_out[7] = io_oeb[26];
+   assign la_data_out[6] = io_oeb[26];
+   assign la_data_out[5] = io_oeb[26];
+   assign la_data_out[4] = io_oeb[26];
+   assign la_data_out[3] = io_oeb[26];
+   assign la_data_out[2] = io_oeb[26];
+   assign la_data_out[1] = io_oeb[26];
+   assign la_data_out[0] = io_oeb[26];
+   assign io_out[26] = io_oeb[26];
+   assign io_out[7] = io_oeb[26];
+   assign io_out[5] = io_oeb[26];
+   assign io_out[2] = io_oeb[26];
+   assign io_out[0] = io_oeb[26];
+   assign io_oeb[7] = io_oeb[0];
+   assign io_oeb[6] = io_oeb[26];
+   assign io_oeb[5] = io_oeb[0];
+   assign io_oeb[4] = io_oeb[26];
+   assign io_oeb[2] = io_oeb[0];
+   assign user_irq[2] = io_oeb[26];
+   assign user_irq[1] = io_oeb[26];
+   assign user_irq[0] = io_oeb[26];
+
+   // Module instantiations
+   sky130_fd_sc_hd__clkbuf_4 CTS_cpc_drv_buf_00620 (
+	.A(CTS_144),
+	.X(CTS_157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_4 CTS_cpc_drv_buf_00619 (
+	.A(CTS_144),
+	.X(CTS_156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_cpc_drv_buf_00624 (
+	.A(CTS_57),
+	.X(CTS_155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_cpc_drv_buf_00623 (
+	.A(CTS_57),
+	.X(CTS_154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00148 (
+	.A(CTS_148),
+	.X(CTS_147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00146 (
+	.A(CTS_148),
+	.X(CTS_146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00144 (
+	.A(CTS_148),
+	.X(CTS_145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_1 CTS_ccl_a_buf_00142 (
+	.A(CTS_148),
+	.X(CTS_144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00140 (
+	.A(CTS_148),
+	.X(CTS_143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00138 (
+	.A(CTS_148),
+	.X(CTS_142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00136 (
+	.A(CTS_148),
+	.X(CTS_141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00132 (
+	.A(CTS_148),
+	.X(CTS_140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00130 (
+	.A(CTS_148),
+	.X(CTS_139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00104 (
+	.A(CTS_148),
+	.X(CTS_138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_2 CTS_ccl_a_buf_00102 (
+	.A(CTS_148),
+	.X(CTS_150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00100 (
+	.A(CTS_148),
+	.X(CTS_153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00157 (
+	.A(CTS_7),
+	.X(CTS_148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00150 (
+	.A(CTS_135),
+	.X(CTS_134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00134 (
+	.A(CTS_135),
+	.X(CTS_133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00128 (
+	.A(CTS_135),
+	.X(CTS_132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00126 (
+	.A(CTS_135),
+	.X(CTS_131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00124 (
+	.A(CTS_135),
+	.X(CTS_130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00122 (
+	.A(CTS_135),
+	.X(CTS_129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00120 (
+	.A(CTS_135),
+	.X(CTS_128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00118 (
+	.A(CTS_135),
+	.X(CTS_127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00116 (
+	.A(CTS_135),
+	.X(CTS_126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00114 (
+	.A(CTS_135),
+	.X(CTS_125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00112 (
+	.A(CTS_135),
+	.X(CTS_124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00110 (
+	.A(CTS_135),
+	.X(CTS_123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00108 (
+	.A(CTS_135),
+	.X(CTS_122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00106 (
+	.A(CTS_135),
+	.X(CTS_121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00098 (
+	.A(CTS_135),
+	.X(CTS_120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00096 (
+	.A(CTS_135),
+	.X(CTS_119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00094 (
+	.A(CTS_135),
+	.X(CTS_118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00092 (
+	.A(CTS_135),
+	.X(CTS_117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00090 (
+	.A(CTS_135),
+	.X(CTS_152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00155 (
+	.A(CTS_7),
+	.X(CTS_135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00395 (
+	.A(CTS_105),
+	.X(CTS_104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00383 (
+	.A(CTS_103),
+	.X(CTS_102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00381 (
+	.A(CTS_103),
+	.X(CTS_101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00379 (
+	.A(CTS_103),
+	.X(CTS_100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_4 CTS_ccl_a_buf_00377 (
+	.A(CTS_103),
+	.X(CTS_99), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00367 (
+	.A(CTS_103),
+	.X(CTS_98), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00365 (
+	.A(CTS_103),
+	.X(CTS_97), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00363 (
+	.A(CTS_103),
+	.X(CTS_96), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00361 (
+	.A(CTS_103),
+	.X(CTS_95), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00359 (
+	.A(CTS_103),
+	.X(CTS_94), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00357 (
+	.A(CTS_103),
+	.X(CTS_93), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00353 (
+	.A(CTS_103),
+	.X(CTS_92), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00351 (
+	.A(CTS_103),
+	.X(CTS_91), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00349 (
+	.A(CTS_103),
+	.X(CTS_90), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00347 (
+	.A(CTS_103),
+	.X(CTS_89), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00345 (
+	.A(CTS_103),
+	.X(CTS_88), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00343 (
+	.A(CTS_103),
+	.X(CTS_87), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00341 (
+	.A(CTS_103),
+	.X(CTS_83), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00339 (
+	.A(CTS_103),
+	.X(CTS_82), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00393 (
+	.A(CTS_105),
+	.X(CTS_103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00375 (
+	.A(CTS_81),
+	.X(CTS_80), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00373 (
+	.A(CTS_81),
+	.X(CTS_79), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_4 CTS_ccl_a_buf_00371 (
+	.A(CTS_81),
+	.X(CTS_78), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00369 (
+	.A(CTS_81),
+	.X(CTS_77), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00355 (
+	.A(CTS_81),
+	.X(CTS_57), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00337 (
+	.A(CTS_81),
+	.X(CTS_151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_cid_buf_00609 (
+	.A(CTS_74),
+	.X(CTS_149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_cid_buf_00605 (
+	.A(CTS_65),
+	.X(CTS_137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00391 (
+	.A(CTS_105),
+	.X(CTS_81), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_16 CTS_cid_buf_00602 (
+	.A(CTS_8),
+	.X(CTS_105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_4 CTS_ccl_a_buf_00495 (
+	.A(CTS_2),
+	.X(CTS_136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00487 (
+	.A(CTS_54),
+	.X(CTS_53), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00485 (
+	.A(CTS_54),
+	.X(CTS_52), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00483 (
+	.A(CTS_54),
+	.X(CTS_51), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00481 (
+	.A(CTS_54),
+	.X(CTS_50), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00479 (
+	.A(CTS_54),
+	.X(CTS_49), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00477 (
+	.A(CTS_54),
+	.X(CTS_48), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00475 (
+	.A(CTS_54),
+	.X(CTS_47), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00473 (
+	.A(CTS_54),
+	.X(CTS_46), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00471 (
+	.A(CTS_54),
+	.X(CTS_45), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00469 (
+	.A(CTS_54),
+	.X(CTS_44), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00467 (
+	.A(CTS_54),
+	.X(CTS_43), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00465 (
+	.A(CTS_54),
+	.X(CTS_42), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00463 (
+	.A(CTS_54),
+	.X(CTS_41), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00461 (
+	.A(CTS_54),
+	.X(CTS_40), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00459 (
+	.A(CTS_54),
+	.X(CTS_39), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00457 (
+	.A(CTS_54),
+	.X(CTS_38), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00455 (
+	.A(CTS_54),
+	.X(CTS_37), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00453 (
+	.A(CTS_54),
+	.X(CTS_36), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00451 (
+	.A(CTS_54),
+	.X(CTS_35), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00449 (
+	.A(CTS_54),
+	.X(CTS_34), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00447 (
+	.A(CTS_54),
+	.X(CTS_33), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00445 (
+	.A(CTS_54),
+	.X(CTS_32), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00443 (
+	.A(CTS_54),
+	.X(CTS_31), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00441 (
+	.A(CTS_54),
+	.X(CTS_56), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00490 (
+	.A(CTS_9),
+	.X(CTS_54), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_4 CTS_ccl_a_buf_00500 (
+	.A(CTS_10),
+	.X(CTS_55), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00598 (
+	.A(CTS_28),
+	.X(CTS_27), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00596 (
+	.A(CTS_28),
+	.X(CTS_26), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00594 (
+	.A(CTS_28),
+	.X(CTS_25), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00592 (
+	.A(CTS_28),
+	.X(CTS_24), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00590 (
+	.A(CTS_28),
+	.X(CTS_23), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00588 (
+	.A(CTS_28),
+	.X(CTS_22), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00586 (
+	.A(CTS_28),
+	.X(CTS_21), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00584 (
+	.A(CTS_28),
+	.X(CTS_20), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00582 (
+	.A(CTS_28),
+	.X(CTS_19), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00580 (
+	.A(CTS_28),
+	.X(CTS_18), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00578 (
+	.A(CTS_28),
+	.X(CTS_17), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00576 (
+	.A(CTS_28),
+	.X(CTS_16), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00574 (
+	.A(CTS_28),
+	.X(CTS_15), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00572 (
+	.A(CTS_28),
+	.X(CTS_14), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00570 (
+	.A(CTS_28),
+	.X(CTS_13), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00568 (
+	.A(CTS_28),
+	.X(CTS_12), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00566 (
+	.A(CTS_28),
+	.X(CTS_30), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00564 (
+	.A(CTS_28),
+	.X(CTS_29), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00601 (
+	.A(CTS_11),
+	.X(CTS_28), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_145 (
+	.CLK(CTS_104),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_144 (
+	.CLK(CTS_104),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_143 (
+	.CLK(CTS_104),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_142 (
+	.CLK(CTS_104),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_141 (
+	.CLK(CTS_104),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_140 (
+	.CLK(CTS_103),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_86), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_139 (
+	.CLK(CTS_81),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_76), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_138 (
+	.CLK(CTS_81),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_75), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_1 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_137 (
+	.CLK(CTS_81),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_74), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_136 (
+	.CLK(CTS_81),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_73), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_135 (
+	.CLK(CTS_81),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_72), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_134 (
+	.CLK(CTS_81),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_71), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_133 (
+	.CLK(CTS_81),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_70), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_132 (
+	.CLK(CTS_103),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_85), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_131 (
+	.CLK(CTS_104),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_130 (
+	.CLK(CTS_81),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_69), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_129 (
+	.CLK(CTS_104),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_128 (
+	.CLK(CTS_104),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_127 (
+	.CLK(CTS_104),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_126 (
+	.CLK(CTS_104),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_125 (
+	.CLK(CTS_81),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_68), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_124 (
+	.CLK(CTS_81),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_67), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_123 (
+	.CLK(CTS_81),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_66), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_1 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_122 (
+	.CLK(CTS_81),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_65), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_121 (
+	.CLK(CTS_81),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_64), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_120 (
+	.CLK(CTS_81),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_63), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_119 (
+	.CLK(CTS_103),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_84), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_118 (
+	.CLK(CTS_81),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_62), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_117 (
+	.CLK(CTS_81),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_61), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_116 (
+	.CLK(CTS_81),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_60), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_115 (
+	.CLK(CTS_81),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_59), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_114 (
+	.CLK(CTS_104),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone (
+	.CLK(CTS_81),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_58), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_9 (
+	.A(CTS_4),
+	.X(CTS_11), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_8 (
+	.A(CTS_4),
+	.X(CTS_10), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_16 CTS_cfh_buf_00009 (
+	.A(CTS_5),
+	.X(CTS_4), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_5 (
+	.A(CTS_3),
+	.X(CTS_9), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_4 (
+	.A(CTS_3),
+	.X(CTS_2), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_16 CTS_cfh_buf_00006 (
+	.A(CTS_5),
+	.X(CTS_3), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_10 (
+	.A(CTS_1),
+	.X(CTS_8), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_6 (
+	.A(CTS_1),
+	.X(CTS_7), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_16 CTS_cfh_buf_00003 (
+	.A(CTS_5),
+	.X(CTS_1), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_16 CTS_cfh_buf_00002 (
+	.A(CTS_5),
+	.X(CTS_6), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_16 CTS_cfh_buf_00001 (
+	.A(wb_clk_i),
+	.X(CTS_5), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC170_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sum_33 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [33]),
+	.Y(FE_DBTN170_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sum_33), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC164_soc_top_xbar_to_timer_a_data_27 (
+	.A(\soc_top_xbar_to_timer[a_data] [27]),
+	.Y(FE_DBTN164_soc_top_xbar_to_timer_a_data_27), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC163_soc_top_xbar_to_timer_a_data_21 (
+	.A(\soc_top_xbar_to_timer[a_data] [21]),
+	.Y(FE_DBTN163_soc_top_xbar_to_timer_a_data_21), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 FE_DBTC162_soc_top_xbar_to_timer_a_data_14 (
+	.A(\soc_top_xbar_to_timer[a_data] [14]),
+	.Y(FE_DBTN162_soc_top_xbar_to_timer_a_data_14), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC161_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sum_30 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [30]),
+	.Y(FE_DBTN161_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sum_30), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC160_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sum_26 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [26]),
+	.Y(FE_DBTN160_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sum_26), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 FE_DBTC159_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_leading_zero_count_3 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [3]),
+	.Y(FE_DBTN159_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_leading_zero_count_3), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 FE_DBTC158_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_leading_zero_count_0 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [0]),
+	.Y(FE_DBTN158_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_leading_zero_count_0), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC157_soc_top_u_top_u_core_instr_rdata_id_31 (
+	.A(soc_top_u_top_u_core_instr_rdata_id[31]),
+	.Y(FE_DBTN157_soc_top_u_top_u_core_instr_rdata_id_31), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC156_soc_top_u_top_u_core_instr_rdata_id_20 (
+	.A(soc_top_u_top_u_core_instr_rdata_id[20]),
+	.Y(FE_DBTN156_soc_top_u_top_u_core_instr_rdata_id_20), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 FE_DBTC155_soc_top_u_top_u_core_instr_rdata_id_13 (
+	.A(soc_top_u_top_u_core_instr_rdata_id[13]),
+	.Y(FE_DBTN155_soc_top_u_top_u_core_instr_rdata_id_13), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC154_soc_top_u_top_u_core_instr_rdata_id_12 (
+	.A(soc_top_u_top_u_core_instr_rdata_id[12]),
+	.Y(FE_DBTN154_soc_top_u_top_u_core_instr_rdata_id_12), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC153_soc_top_u_top_u_core_instr_rdata_alu_id_30 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.Y(FE_DBTN153_soc_top_u_top_u_core_instr_rdata_alu_id_30), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC152_soc_top_u_top_u_core_instr_rdata_alu_id_29 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.Y(FE_DBTN152_soc_top_u_top_u_core_instr_rdata_alu_id_29), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC151_soc_top_u_top_u_core_instr_rdata_alu_id_28 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
+	.Y(FE_DBTN151_soc_top_u_top_u_core_instr_rdata_alu_id_28), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC150_soc_top_u_top_u_core_instr_rdata_alu_id_27 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
+	.Y(FE_DBTN150_soc_top_u_top_u_core_instr_rdata_alu_id_27), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC149_soc_top_u_top_u_core_instr_rdata_alu_id_26 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[26]),
+	.Y(FE_DBTN149_soc_top_u_top_u_core_instr_rdata_alu_id_26), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC148_soc_top_u_top_u_core_instr_rdata_alu_id_18 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[18]),
+	.Y(FE_DBTN148_soc_top_u_top_u_core_instr_rdata_alu_id_18), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC147_soc_top_u_top_u_core_instr_rdata_alu_id_17 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[17]),
+	.Y(FE_DBTN147_soc_top_u_top_u_core_instr_rdata_alu_id_17), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC146_soc_top_u_top_u_core_instr_rdata_alu_id_16 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[16]),
+	.Y(FE_DBTN146_soc_top_u_top_u_core_instr_rdata_alu_id_16), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 FE_DBTC145_soc_top_u_top_u_core_rf_raddr_b_4 (
+	.A(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.Y(FE_DBTN145_soc_top_u_top_u_core_rf_raddr_b_4), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 FE_DBTC144_soc_top_u_top_u_core_alu_operator_ex_1 (
+	.A(soc_top_u_top_u_core_alu_operator_ex[1]),
+	.Y(FE_DBTN144_soc_top_u_top_u_core_alu_operator_ex_1), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC143_soc_top_u_top_u_core_multdiv_operator_ex_1 (
+	.A(soc_top_u_top_u_core_multdiv_operator_ex[1]),
+	.Y(FE_DBTN143_soc_top_u_top_u_core_multdiv_operator_ex_1), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 FE_DBTC142_soc_top_u_top_u_core_fp_operands_0_28 (
+	.A(\soc_top_u_top_u_core_fp_operands[0] [28]),
+	.Y(FE_DBTN142_soc_top_u_top_u_core_fp_operands_0_28), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC141_soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs_2 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
+	.Y(FE_DBTN141_soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs_2), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 FE_DBTC140_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_16 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [16]),
+	.Y(FE_DBTN140_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_16), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC139_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_0 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
+	.Y(FE_DBTN139_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_0), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 FE_DBTC138_soc_top_u_dccm_bank_sel_1 (
+	.A(soc_top_u_dccm_bank_sel[1]),
+	.Y(FE_DBTN138_soc_top_u_dccm_bank_sel_1), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC137_n_79412 (
+	.A(n_79412),
+	.Y(FE_DBTN137_n_79412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC136_n_89917 (
+	.A(n_89917),
+	.Y(FE_DBTN136_n_89917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 FE_DBTC135_n_88477 (
+	.A(n_88477),
+	.Y(FE_DBTN135_n_88477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC134_n_40154 (
+	.A(n_40154),
+	.Y(FE_DBTN134_n_40154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC133_n_90635 (
+	.A(n_90635),
+	.Y(FE_DBTN133_n_90635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC132_n_63885 (
+	.A(n_63885),
+	.Y(FE_DBTN132_n_63885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC131_n_42726 (
+	.A(n_42726),
+	.Y(FE_DBTN131_n_42726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC130_csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_add_365_53_n_2898 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2898 ),
+	.Y(FE_DBTN130_csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_add_365_53_n_2898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC129_csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_add_365_53_n_3093 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3093 ),
+	.Y(FE_DBTN129_csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_add_365_53_n_3093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC128_n_57800 (
+	.A(n_57800),
+	.Y(FE_DBTN128_n_57800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC127_n_93072 (
+	.A(n_93072),
+	.Y(FE_DBTN127_n_93072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC126_n_70008 (
+	.A(n_70008),
+	.Y(FE_DBTN126_n_70008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC125_n_84427 (
+	.A(n_84427),
+	.Y(FE_DBTN125_n_84427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC124_n_74612 (
+	.A(n_74612),
+	.Y(FE_DBTN124_n_74612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC123_soc_top_u_top_u_core_pc_set (
+	.A(soc_top_u_top_u_core_pc_set),
+	.Y(FE_DBTN123_soc_top_u_top_u_core_pc_set), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC122_n_59102 (
+	.A(n_59102),
+	.Y(FE_DBTN122_n_59102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 FE_DBTC120_n_84419 (
+	.A(n_84419),
+	.Y(FE_DBTN120_n_84419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC119_n_87578 (
+	.A(n_87578),
+	.Y(FE_DBTN119_n_87578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC118_n_84455 (
+	.A(n_84455),
+	.Y(FE_DBTN118_n_84455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC117_n_72176 (
+	.A(n_72176),
+	.Y(FE_DBTN117_n_72176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC116_n_72527 (
+	.A(n_72527),
+	.Y(FE_DBTN116_n_72527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 FE_DBTC115_n_72188 (
+	.A(n_72188),
+	.Y(FE_DBTN115_n_72188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 FE_DBTC114_n_3234 (
+	.A(n_3234),
+	.Y(FE_DBTN114_n_3234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC113_n_35471 (
+	.A(n_35471),
+	.Y(FE_DBTN113_n_35471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC112_n_12763 (
+	.A(n_12763),
+	.Y(FE_DBTN112_n_12763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC111_n_62295 (
+	.A(n_62295),
+	.Y(FE_DBTN111_n_62295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC110_n_13396 (
+	.A(n_13396),
+	.Y(FE_DBTN110_n_13396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 FE_DBTC109_n_62758 (
+	.A(n_62758),
+	.Y(FE_DBTN109_n_62758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC108_n_71084 (
+	.A(n_71084),
+	.Y(FE_DBTN108_n_71084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC107_n_16532 (
+	.A(n_16532),
+	.Y(FE_DBTN107_n_16532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC106_n_17712 (
+	.A(n_17712),
+	.Y(FE_DBTN106_n_17712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC105_n_17742 (
+	.A(n_17742),
+	.Y(FE_DBTN105_n_17742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 FE_DBTC104_n_17765 (
+	.A(n_17765),
+	.Y(FE_DBTN104_n_17765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC103_n_71622 (
+	.A(n_71622),
+	.Y(FE_DBTN103_n_71622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 FE_DBTC102_n_18754 (
+	.A(n_18754),
+	.Y(FE_DBTN102_n_18754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC101_n_21768 (
+	.A(n_21768),
+	.Y(FE_DBTN101_n_21768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC100_n_23967 (
+	.A(n_23967),
+	.Y(FE_DBTN100_n_23967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC99_n_25132 (
+	.A(n_25132),
+	.Y(FE_DBTN99_n_25132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 FE_DBTC98_n_26214 (
+	.A(n_26214),
+	.Y(FE_DBTN98_n_26214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 FE_DBTC97_n_60382 (
+	.A(n_60382),
+	.Y(FE_DBTN97_n_60382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 FE_DBTC96_n_57702 (
+	.A(n_57702),
+	.Y(FE_DBTN96_n_57702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC95_n_27635 (
+	.A(n_27635),
+	.Y(FE_DBTN95_n_27635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC94_n_27641 (
+	.A(n_27641),
+	.Y(FE_DBTN94_n_27641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC93_n_27649 (
+	.A(n_27649),
+	.Y(FE_DBTN93_n_27649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC92_n_27674 (
+	.A(n_27674),
+	.Y(FE_DBTN92_n_27674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 FE_DBTC91_n_27677 (
+	.A(n_27677),
+	.Y(FE_DBTN91_n_27677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC90_n_72277 (
+	.A(n_72277),
+	.Y(FE_DBTN90_n_72277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 FE_DBTC89_n_30785 (
+	.A(n_30785),
+	.Y(FE_DBTN89_n_30785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_12 FE_DBTC88_n_31300 (
+	.A(n_31300),
+	.Y(FE_DBTN88_n_31300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 FE_DBTC87_n_31302 (
+	.A(n_31302),
+	.Y(FE_DBTN87_n_31302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC86_n_31303 (
+	.A(n_31303),
+	.Y(FE_DBTN86_n_31303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 FE_DBTC85_n_59637 (
+	.A(n_59637),
+	.Y(FE_DBTN85_n_59637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC84_n_84225 (
+	.A(n_84225),
+	.Y(FE_DBTN84_n_84225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 FE_DBTC83_n_57117 (
+	.A(n_57117),
+	.Y(FE_DBTN83_n_57117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC82_n_87862 (
+	.A(n_87862),
+	.Y(FE_DBTN82_n_87862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC81_n_38089 (
+	.A(n_38089),
+	.Y(FE_DBTN81_n_38089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC80_n_84985 (
+	.A(n_84985),
+	.Y(FE_DBTN80_n_84985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC79_n_53716 (
+	.A(n_53716),
+	.Y(FE_DBTN79_n_53716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC78_n_81343 (
+	.A(n_81343),
+	.Y(FE_DBTN78_n_81343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC77_n_60716 (
+	.A(n_60716),
+	.Y(FE_DBTN77_n_60716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC76_n_78163 (
+	.A(n_78163),
+	.Y(FE_DBTN76_n_78163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC75_n_46359 (
+	.A(n_46359),
+	.Y(FE_DBTN75_n_46359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC74_n_58492 (
+	.A(n_58492),
+	.Y(FE_DBTN74_n_58492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC73_n_61059 (
+	.A(n_61059),
+	.Y(FE_DBTN73_n_61059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 FE_DBTC72_n_90623 (
+	.A(n_90623),
+	.Y(FE_DBTN72_n_90623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__bufinv_8 FE_DBTC71_n_79000 (
+	.A(n_79000),
+	.Y(FE_DBTN71_n_79000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__bufinv_16 FE_DBTC70_n_87553 (
+	.A(n_87553),
+	.Y(FE_DBTN70_n_87553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC69_n_53970 (
+	.A(n_53970),
+	.Y(FE_DBTN69_n_53970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__bufinv_8 FE_DBTC68_n_54295 (
+	.A(n_54295),
+	.Y(FE_DBTN68_n_54295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC67_n_55310 (
+	.A(n_55310),
+	.Y(FE_DBTN67_n_55310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC66_n_79636 (
+	.A(n_79636),
+	.Y(FE_DBTN66_n_79636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC65_n_58755 (
+	.A(n_58755),
+	.Y(FE_DBTN65_n_58755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC64_n_59187 (
+	.A(n_59187),
+	.Y(FE_DBTN64_n_59187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 FE_DBTC63_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sll_527_36_n_957 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_957 ),
+	.Y(FE_DBTN63_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sll_527_36_n_957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC62_n_69924 (
+	.A(n_69924),
+	.Y(FE_DBTN62_n_69924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC61_n_58241 (
+	.A(n_58241),
+	.Y(FE_DBTN61_n_58241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 FE_DBTC60_n_58731 (
+	.A(n_58731),
+	.Y(FE_DBTN60_n_58731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC59_n_58812 (
+	.A(n_58812),
+	.Y(FE_DBTN59_n_58812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC58_n_59277 (
+	.A(n_59277),
+	.Y(FE_DBTN58_n_59277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC57_n_78875 (
+	.A(n_78875),
+	.Y(FE_DBTN57_n_78875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC56_n_59622 (
+	.A(n_59622),
+	.Y(FE_DBTN56_n_59622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC55_n_78653 (
+	.A(n_78653),
+	.Y(FE_DBTN55_n_78653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 FE_DBTC54_n_78756 (
+	.A(n_78756),
+	.Y(FE_DBTN54_n_78756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC53_n_60824 (
+	.A(n_60824),
+	.Y(FE_DBTN53_n_60824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 FE_DBTC52_n_61107 (
+	.A(n_61107),
+	.Y(FE_DBTN52_n_61107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 FE_DBTC51_n_61429 (
+	.A(n_61429),
+	.Y(FE_DBTN51_n_61429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC50_n_61465 (
+	.A(n_61465),
+	.Y(FE_DBTN50_n_61465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC49_n_85250 (
+	.A(n_85250),
+	.Y(FE_DBTN49_n_85250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC48_n_62712 (
+	.A(n_62712),
+	.Y(FE_DBTN48_n_62712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 FE_DBTC47_n_63753 (
+	.A(n_63753),
+	.Y(FE_DBTN47_n_63753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC46_n_64232 (
+	.A(n_64232),
+	.Y(FE_DBTN46_n_64232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC45_n_64238 (
+	.A(n_64238),
+	.Y(FE_DBTN45_n_64238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC44_n_64842 (
+	.A(n_64842),
+	.Y(FE_DBTN44_n_64842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC43_n_90647 (
+	.A(n_90647),
+	.Y(FE_DBTN43_n_90647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC42_n_64880 (
+	.A(n_64880),
+	.Y(FE_DBTN42_n_64880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 FE_DBTC41_n_70004 (
+	.A(n_70004),
+	.Y(FE_DBTN41_n_70004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 FE_DBTC40_n_84431 (
+	.A(n_84431),
+	.Y(FE_DBTN40_n_84431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 FE_DBTC39_n_68259 (
+	.A(n_68259),
+	.Y(FE_DBTN39_n_68259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC38_n_72887 (
+	.A(n_72887),
+	.Y(FE_DBTN38_n_72887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC37_n_68466 (
+	.A(n_68466),
+	.Y(FE_DBTN37_n_68466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC36_n_74984 (
+	.A(n_74984),
+	.Y(FE_DBTN36_n_74984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC35_n_69877 (
+	.A(n_69877),
+	.Y(FE_DBTN35_n_69877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC34_n_72054 (
+	.A(n_72054),
+	.Y(FE_DBTN34_n_72054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC33_n_84501 (
+	.A(n_84501),
+	.Y(FE_DBTN33_n_84501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC31_n_73576 (
+	.A(n_73576),
+	.Y(FE_DBTN31_n_73576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC30_n_85206 (
+	.A(n_85206),
+	.Y(FE_DBTN30_n_85206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC29_n_85472 (
+	.A(n_85472),
+	.Y(FE_DBTN29_n_85472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC28_n_74938 (
+	.A(n_74938),
+	.Y(FE_DBTN28_n_74938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC27_n_77115 (
+	.A(n_77115),
+	.Y(FE_DBTN27_n_77115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC26_n_77195 (
+	.A(n_77195),
+	.Y(FE_DBTN26_n_77195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC25_n_78248 (
+	.A(n_78248),
+	.Y(FE_DBTN25_n_78248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 FE_DBTC24_n_77220 (
+	.A(n_77220),
+	.Y(FE_DBTN24_n_77220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 FE_DBTC23_n_78660 (
+	.A(n_78660),
+	.Y(FE_DBTN23_n_78660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 FE_DBTC22_n_78025 (
+	.A(n_78025),
+	.Y(FE_DBTN22_n_78025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 FE_DBTC21_n_78083 (
+	.A(n_78083),
+	.Y(FE_DBTN21_n_78083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 FE_DBTC20_n_79311 (
+	.A(n_79311),
+	.Y(FE_DBTN20_n_79311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC19_n_78517 (
+	.A(n_78517),
+	.Y(FE_DBTN19_n_78517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 FE_DBTC18_n_78720 (
+	.A(n_78720),
+	.Y(FE_DBTN18_n_78720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC17_n_78901 (
+	.A(n_78901),
+	.Y(FE_DBTN17_n_78901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC16_n_80166 (
+	.A(n_80166),
+	.Y(FE_DBTN16_n_80166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC15_n_79746 (
+	.A(n_79746),
+	.Y(FE_DBTN15_n_79746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 FE_DBTC14_n_80113 (
+	.A(n_80113),
+	.Y(FE_DBTN14_n_80113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC13_n_80192 (
+	.A(n_80192),
+	.Y(FE_DBTN13_n_80192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 FE_DBTC12_n_81281 (
+	.A(n_81281),
+	.Y(FE_DBTN12_n_81281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC11_n_81301 (
+	.A(n_81301),
+	.Y(FE_DBTN11_n_81301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC10_n_85255 (
+	.A(n_85255),
+	.Y(FE_DBTN10_n_85255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 FE_DBTC9_n_87477 (
+	.A(n_87477),
+	.Y(FE_DBTN9_n_87477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC8_n_84026 (
+	.A(n_84026),
+	.Y(FE_DBTN8_n_84026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC7_n_84281 (
+	.A(n_84281),
+	.Y(FE_DBTN7_n_84281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC6_n_86735 (
+	.A(n_86735),
+	.Y(FE_DBTN6_n_86735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC5_n_88141 (
+	.A(n_88141),
+	.Y(FE_DBTN5_n_88141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC4_n_89310 (
+	.A(n_89310),
+	.Y(FE_DBTN4_n_89310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC3_n_93122 (
+	.A(n_93122),
+	.Y(FE_DBTN3_n_93122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC2_n_93141 (
+	.A(n_93141),
+	.Y(FE_DBTN2_n_93141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC1_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sll_527_36_n_535 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_535 ),
+	.Y(FE_DBTN1_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sll_527_36_n_535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 FE_DBTC0_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_621 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_621 ),
+	.Y(FE_DBTN0_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_gen_target[0].u_target/irq_id_q_reg[0]  (
+	.CLK(CTS_129),
+	.D(\soc_top_intr_controller_gen_target[0].u_target/n_297 ),
+	.Q(\soc_top_intr_controller_irq_id_o[0] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_gen_target[0].u_target/irq_id_q_reg[1]  (
+	.CLK(CTS_129),
+	.D(\soc_top_intr_controller_gen_target[0].u_target/n_296 ),
+	.Q(\soc_top_intr_controller_irq_id_o[0] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_gen_target[0].u_target/irq_id_q_reg[2]  (
+	.CLK(CTS_129),
+	.D(\soc_top_intr_controller_gen_target[0].u_target/n_282 ),
+	.Q(\soc_top_intr_controller_irq_id_o[0] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_intr_controller_gen_target[0].u_target/n_223 ),
+	.SCE(\soc_top_intr_controller_gen_target[0].u_target/n_286 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_gen_target[0].u_target/irq_id_q_reg[3]  (
+	.CLK(CTS_129),
+	.D(\soc_top_intr_controller_gen_target[0].u_target/n_293 ),
+	.Q(\soc_top_intr_controller_irq_id_o[0] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_gen_target[0].u_target/irq_id_q_reg[4]  (
+	.CLK(CTS_129),
+	.D(\soc_top_intr_controller_gen_target[0].u_target/n_287 ),
+	.Q(\soc_top_intr_controller_irq_id_o[0] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_gen_target[0].u_target/irq_id_q_reg[5]  (
+	.CLK(CTS_129),
+	.D(\soc_top_intr_controller_gen_target[0].u_target/n_286 ),
+	.Q(\soc_top_intr_controller_irq_id_o[0] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_gen_target[0].u_target/irq_q_reg  (
+	.CLK(CTS_151),
+	.D(\soc_top_intr_controller_gen_target[0].u_target/n_298 ),
+	.Q(soc_top_intr_req),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13546__2398  (
+	.A1(\soc_top_intr_controller_reg2hw[threshold0][q] [1]),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_290 ),
+	.A3(\soc_top_intr_controller_gen_target[0].u_target/n_292 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_295 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_298 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_intr_controller_gen_target[0].u_target/g13548__5107  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_288 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_274 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_287 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_268 ),
+	.C1(\soc_top_intr_controller_gen_target[0].u_target/n_294 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_297 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_intr_controller_gen_target[0].u_target/g13549__6260  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_287 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_263 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_288 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_272 ),
+	.C1(\soc_top_intr_controller_gen_target[0].u_target/n_289 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_296 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 \soc_top_intr_controller_gen_target[0].u_target/g13550__4319  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_292 ),
+	.A2(\soc_top_intr_controller_reg2hw[threshold0][q] [1]),
+	.B1(\soc_top_intr_controller_reg2hw[threshold0][q] [0]),
+	.C1(\soc_top_intr_controller_gen_target[0].u_target/n_291 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_295 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_intr_controller_gen_target[0].u_target/g13552__8428  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_289 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_71 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_286 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_229 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_294 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_intr_controller_gen_target[0].u_target/g13553__5526  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_287 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_256 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_288 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_258 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_293 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 \soc_top_intr_controller_gen_target[0].u_target/g13555__6783  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_285 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_278 ),
+	.A3(\soc_top_intr_controller_gen_target[0].u_target/n_279 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_286 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_232 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_291 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 \soc_top_intr_controller_gen_target[0].u_target/g13556__3680  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_280 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_231 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_286 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_292 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_intr_controller_gen_target[0].u_target/g13558__1617  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_278 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_286 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_290 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 \soc_top_intr_controller_gen_target[0].u_target/g13559__2802  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_173 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_223 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_285 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_289 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_intr_controller_gen_target[0].u_target/g13560__1705  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_276 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_286 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_288 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_intr_controller_gen_target[0].u_target/g13561__5122  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_277 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_286 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_287 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_intr_controller_gen_target[0].u_target/g13562  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_286 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_285 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 \soc_top_intr_controller_gen_target[0].u_target/g13563__8246  (
+	.A1_N(\soc_top_intr_controller_gen_target[0].u_target/n_278 ),
+	.A2_N(\soc_top_intr_controller_gen_target[0].u_target/n_284 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_176 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_223 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_286 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g13564__7098  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_231 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_283 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_281 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_284 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_intr_controller_gen_target[0].u_target/g13565__6131  (
+	.A_N(\soc_top_intr_controller_gen_target[0].u_target/n_279 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_232 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_283 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13566__1881  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_264 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_277 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_267 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_276 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_282 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g13567  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_280 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_281 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13568__5115  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_269 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_270 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_277 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_280 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 \soc_top_intr_controller_gen_target[0].u_target/g13569__7482  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_266 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_265 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_277 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_279 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g13570__4733  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_277 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_262 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_278 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_intr_controller_gen_target[0].u_target/g13571  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_277 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_276 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13572__6161  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_262 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_275 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_233 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_256 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_277 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g13573__9315  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_271 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_273 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_269 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_275 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13574__9945  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_245 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_257 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_194 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_261 ),
+	.C1(\soc_top_intr_controller_gen_target[0].u_target/n_260 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_274 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target/g13575__2883  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_265 ),
+	.B_N(\soc_top_intr_controller_gen_target[0].u_target/n_266 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_273 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13576__2346  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_170 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_261 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_235 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_257 ),
+	.C1(\soc_top_intr_controller_gen_target[0].u_target/n_259 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_272 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g13577  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_270 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_271 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13578__1666  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_244 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_243 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_256 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_268 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \soc_top_intr_controller_gen_target[0].u_target/g13579__7410  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_230 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_257 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_261 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_267 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13580__6417  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_247 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_257 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_241 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_258 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_270 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13581__5477  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_248 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_242 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_256 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_269 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 \soc_top_intr_controller_gen_target[0].u_target/g13582__2398  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_224 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_226 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_256 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_264 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13583__5107  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_237 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_236 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_256 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_263 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13584__6260  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_250 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_240 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_256 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_266 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13585__4319  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_246 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_257 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_239 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_258 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_265 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_intr_controller_gen_target[0].u_target/g13586__8428  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_234 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_258 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_262 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g13587__5526  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_257 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_227 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_261 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13588__6783  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_77 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_174 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_228 ),
+	.C1(\soc_top_intr_controller_gen_target[0].u_target/n_257 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_260 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_intr_controller_gen_target[0].u_target/g13589__3680  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_257 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_228 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_161 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_259 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g13590  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_258 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_257 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target/g13591__1617  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_255 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_234 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_230 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_180 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_258 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 \soc_top_intr_controller_gen_target[0].u_target/g13592__2802  (
+	.A1_N(\soc_top_intr_controller_gen_target[0].u_target/n_233 ),
+	.A2_N(\soc_top_intr_controller_gen_target[0].u_target/n_254 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_179 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_225 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_256 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13593__1705  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_241 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_252 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_253 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_255 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g13594__5122  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_249 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_251 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_242 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_254 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13595__8246  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_238 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_246 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_247 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_253 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 \soc_top_intr_controller_gen_target[0].u_target/g13596__7098  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_238 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_247 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_246 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_252 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_intr_controller_gen_target[0].u_target/g13597__6131  (
+	.A_N(\soc_top_intr_controller_gen_target[0].u_target/n_240 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_250 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_251 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g13598  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_248 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_249 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13599__1881  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_184 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_185 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_230 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_245 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13600__5115  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_186 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_182 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_224 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_244 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13601__7482  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_195 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_183 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_226 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_243 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13602__4733  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_192 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_207 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_224 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_250 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13603__6161  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_189 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_201 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_224 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_248 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13604__9315  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_191 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_198 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_230 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_247 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13605__9945  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_187 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_188 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_230 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_246 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_intr_controller_gen_target[0].u_target/g13606  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_238 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_239 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13607__2883  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_165 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_163 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_224 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_237 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13608__2346  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_171 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_164 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_226 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_236 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13609__1666  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_168 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_167 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_230 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_235 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13610__7410  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_199 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_190 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_226 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_242 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13611__6417  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_203 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_178 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_228 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_241 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13612__5477  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_208 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_193 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_226 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_240 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13613__2398  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_197 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_228 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_175 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_227 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_238 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g13614__5107  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_228 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_181 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_234 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g13615__6260  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_224 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_177 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_233 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 \soc_top_intr_controller_gen_target[0].u_target/g13616__4319  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_204 ),
+	.A1(\soc_top_intr_controller_reg2hw[prio32][q] [0]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_223 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_232 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_intr_controller_gen_target[0].u_target/g13617__8428  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_223 ),
+	.A2(\soc_top_intr_controller_reg2hw[prio32][q] [1]),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_206 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_231 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 \soc_top_intr_controller_gen_target[0].u_target/g13618__5526  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_74 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_172 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_223 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_229 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 \soc_top_intr_controller_gen_target[0].u_target/g13619__6783  (
+	.A1_N(\soc_top_intr_controller_gen_target[0].u_target/n_180 ),
+	.A2_N(\soc_top_intr_controller_gen_target[0].u_target/n_376 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_96 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_169 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_230 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_intr_controller_gen_target[0].u_target/g13620  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_228 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_227 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_intr_controller_gen_target[0].u_target/g13621  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_226 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_225 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 \soc_top_intr_controller_gen_target[0].u_target/g13622__3680  (
+	.A1_N(\soc_top_intr_controller_gen_target[0].u_target/n_181 ),
+	.A2_N(\soc_top_intr_controller_gen_target[0].u_target/n_222 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_89 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_170 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_228 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 \soc_top_intr_controller_gen_target[0].u_target/g13623__1617  (
+	.A1_N(\soc_top_intr_controller_gen_target[0].u_target/n_90 ),
+	.A2_N(\soc_top_intr_controller_gen_target[0].u_target/n_171 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_179 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_218 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_226 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 \soc_top_intr_controller_gen_target[0].u_target/g13624__2802  (
+	.A1_N(\soc_top_intr_controller_gen_target[0].u_target/n_177 ),
+	.A2_N(\soc_top_intr_controller_gen_target[0].u_target/n_219 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_94 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_166 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_224 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_intr_controller_gen_target[0].u_target/g13625__1705  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_220 ),
+	.B(soc_top_intr_controller_ip[36]),
+	.C(\soc_top_intr_controller_reg2hw[ie0][36][q] ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_223 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_intr_controller_gen_target[0].u_target/g13626__5122  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_213 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_178 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_215 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_222 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13628__8246  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_214 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_216 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_176 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_220 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g13629__7098  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_189 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_211 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_202 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_219 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g13630__6131  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_190 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_209 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_200 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_218 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \soc_top_intr_controller_gen_target[0].u_target/g13632__1881  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_35 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_204 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_206 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_216 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_intr_controller_gen_target[0].u_target/g13633__5115  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_196 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_175 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_203 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_215 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_intr_controller_gen_target[0].u_target/g13634__7482  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_205 ),
+	.A2(\soc_top_intr_controller_reg2hw[prio32][q] [0]),
+	.B1(\soc_top_intr_controller_reg2hw[prio32][q] [1]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_214 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_intr_controller_gen_target[0].u_target/g13635__4733  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_196 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_203 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_175 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_213 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 \soc_top_intr_controller_gen_target[0].u_target/g13636__6161  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_198 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_188 ),
+	.C_N(\soc_top_intr_controller_gen_target[0].u_target/n_187 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_212 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target/g13637__9315  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_207 ),
+	.B_N(\soc_top_intr_controller_gen_target[0].u_target/n_192 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_211 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_intr_controller_gen_target[0].u_target/g13638__9945  (
+	.A_N(\soc_top_intr_controller_gen_target[0].u_target/n_188 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_187 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_210 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_intr_controller_gen_target[0].u_target/g13639__2883  (
+	.A_N(\soc_top_intr_controller_gen_target[0].u_target/n_193 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_208 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_209 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g13640  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_204 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_205 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g13641  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_201 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_202 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g13642  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_199 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_200 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_intr_controller_gen_target[0].u_target/g13643  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_196 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_197 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13644__2346  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_78 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_81 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_171 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_195 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 \soc_top_intr_controller_gen_target[0].u_target/g13645__1666  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_80 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_73 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_170 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_194 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13646__7410  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_108 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_110 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_171 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_208 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13647__6417  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_115 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_114 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_163 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_207 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13648__5477  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_111 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_173 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_97 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_172 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_206 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13649__2398  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_100 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_173 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_104 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_172 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_204 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13650__5107  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_130 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_119 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_170 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_203 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13651__6260  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_135 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_103 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_163 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_201 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13652__4319  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_107 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_112 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_171 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_199 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13653__8428  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_122 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_133 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_167 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_198 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13654__5526  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_99 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_118 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_170 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_196 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13655__6783  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_86 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_166 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_84 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_165 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_186 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13656__3680  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_87 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_85 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_167 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_185 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13657__1617  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_79 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_169 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_88 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_168 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_184 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13658__2802  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_83 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_82 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_164 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_183 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13659__1705  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_76 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_75 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_163 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_182 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13660__5122  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_125 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_117 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_164 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_193 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13661__8246  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_132 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_166 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_131 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_165 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_192 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13662__7098  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_120 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_169 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_126 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_168 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_191 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13663__6131  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_101 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_116 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_164 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_190 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13664__1881  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_137 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_166 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_124 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_165 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_189 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13665__5115  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_134 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_128 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_167 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_188 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13666__7482  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_127 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_169 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_129 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_168 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_187 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_intr_controller_gen_target[0].u_target/g13667__4733  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_161 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_174 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_181 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_intr_controller_gen_target[0].u_target/g13668__6161  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_95 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_167 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_180 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \soc_top_intr_controller_gen_target[0].u_target/g13669__9315  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_93 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_164 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_179 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 \soc_top_intr_controller_gen_target[0].u_target/g13670__9945  (
+	.A0(\soc_top_intr_controller_reg2hw[prio1][q] [1]),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_113 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_161 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_178 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_intr_controller_gen_target[0].u_target/g13671__2883  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_92 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_163 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_177 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_intr_controller_gen_target[0].u_target/g13672__2346  (
+	.A_N(\soc_top_intr_controller_gen_target[0].u_target/n_91 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_173 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_176 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13673__1666  (
+	.A0(\soc_top_intr_controller_reg2hw[prio1][q] [0]),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_106 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_161 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_175 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g13674  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_173 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_172 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_intr_controller_gen_target[0].u_target/g13675__7410  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_40 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_161 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_174 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_intr_controller_gen_target[0].u_target/g13676__6417  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_160 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_91 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_72 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_38 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_173 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \soc_top_intr_controller_gen_target[0].u_target/g13677__5477  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_81 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_17 ),
+	.B1_N(\soc_top_intr_controller_gen_target[0].u_target/n_162 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_171 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 \soc_top_intr_controller_gen_target[0].u_target/g13678__2398  (
+	.A1_N(\soc_top_intr_controller_gen_target[0].u_target/n_89 ),
+	.A2_N(\soc_top_intr_controller_gen_target[0].u_target/n_159 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_13 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_80 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_170 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g13679  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_169 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_168 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_intr_controller_gen_target[0].u_target/g13680  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_166 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_165 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 \soc_top_intr_controller_gen_target[0].u_target/g13681__5107  (
+	.A1_N(\soc_top_intr_controller_gen_target[0].u_target/n_96 ),
+	.A2_N(\soc_top_intr_controller_gen_target[0].u_target/n_156 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_19 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_79 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_169 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target/g13682__6260  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_158 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_95 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_85 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_26 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_167 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 \soc_top_intr_controller_gen_target[0].u_target/g13683__4319  (
+	.A1_N(\soc_top_intr_controller_gen_target[0].u_target/n_94 ),
+	.A2_N(\soc_top_intr_controller_gen_target[0].u_target/n_155 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_43 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_86 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_166 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target/g13684__8428  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_157 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_93 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_82 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_23 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_164 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target/g13685__5526  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_154 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_92 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_75 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_15 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_163 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13686__6783  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_149 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_152 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_90 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_162 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \soc_top_intr_controller_gen_target[0].u_target/g13687__3680  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_40 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_153 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_42 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_77 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_161 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13688__1617  (
+	.A1_N(\soc_top_intr_controller_gen_target[0].u_target/n_111 ),
+	.A2_N(\soc_top_intr_controller_gen_target[0].u_target/n_148 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_97 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_142 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_160 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_intr_controller_gen_target[0].u_target/g13689__2802  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_150 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_130 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_147 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_159 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g13690__1705  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_123 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_145 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_133 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_158 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g13691__5122  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_102 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_140 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_116 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_157 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g13692__8246  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_121 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_143 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_126 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_156 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g13693__7098  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_138 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_144 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_124 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_155 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g13694__6131  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_136 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_139 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_103 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_154 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \soc_top_intr_controller_gen_target[0].u_target/g13695__1881  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_113 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_141 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_151 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_153 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \soc_top_intr_controller_gen_target[0].u_target/g13696__5115  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_110 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_146 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_112 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_152 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13697__7482  (
+	.A1(\soc_top_intr_controller_reg2hw[prio1][q] [0]),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_105 ),
+	.B1(\soc_top_intr_controller_reg2hw[prio1][q] [1]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_151 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 \soc_top_intr_controller_gen_target[0].u_target/g13698__4733  (
+	.A_N(\soc_top_intr_controller_gen_target[0].u_target/n_99 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_118 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_119 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_150 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_intr_controller_gen_target[0].u_target/g13699__6161  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_108 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_109 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_107 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_149 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 \soc_top_intr_controller_gen_target[0].u_target/g13700__9315  (
+	.A_N(\soc_top_intr_controller_gen_target[0].u_target/n_100 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_104 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_97 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_148 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_intr_controller_gen_target[0].u_target/g13701__9945  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_118 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_98 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_119 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_147 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g13702__2883  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_107 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_108 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_146 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_intr_controller_gen_target[0].u_target/g13703__2346  (
+	.A_N(\soc_top_intr_controller_gen_target[0].u_target/n_128 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_134 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_145 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target/g13704__1666  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_132 ),
+	.B_N(\soc_top_intr_controller_gen_target[0].u_target/n_131 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_144 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target/g13705__7410  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_127 ),
+	.B_N(\soc_top_intr_controller_gen_target[0].u_target/n_129 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_143 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target/g13706__6417  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_100 ),
+	.B_N(\soc_top_intr_controller_gen_target[0].u_target/n_104 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_142 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 \soc_top_intr_controller_gen_target[0].u_target/g13707__5477  (
+	.A(\soc_top_intr_controller_reg2hw[prio1][q] [0]),
+	.B(\soc_top_intr_controller_reg2hw[prio1][q] [1]),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_105 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_141 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_intr_controller_gen_target[0].u_target/g13708__2398  (
+	.A_N(\soc_top_intr_controller_gen_target[0].u_target/n_117 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_125 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_140 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_intr_controller_gen_target[0].u_target/g13709__5107  (
+	.A_N(\soc_top_intr_controller_gen_target[0].u_target/n_114 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_115 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_139 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g13710  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_137 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_138 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g13711  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_135 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_136 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g13712  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_122 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_123 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g13713  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_120 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_121 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13714__6260  (
+	.A0(\soc_top_intr_controller_reg2hw[prio23][q] [1]),
+	.A1(\soc_top_intr_controller_reg2hw[prio22][q] [1]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_86 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_137 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13715__4319  (
+	.A0(\soc_top_intr_controller_reg2hw[prio17][q] [1]),
+	.A1(\soc_top_intr_controller_reg2hw[prio16][q] [1]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_76 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_135 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13716__8428  (
+	.A0(\soc_top_intr_controller_reg2hw[prio9][q] [0]),
+	.A1(\soc_top_intr_controller_reg2hw[prio8][q] [0]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_87 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_134 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13717__5526  (
+	.A0(\soc_top_intr_controller_reg2hw[prio11][q] [1]),
+	.A1(\soc_top_intr_controller_reg2hw[prio10][q] [1]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_85 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_133 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13718__6783  (
+	.A0(\soc_top_intr_controller_reg2hw[prio23][q] [0]),
+	.A1(\soc_top_intr_controller_reg2hw[prio22][q] [0]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_86 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_132 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13719__3680  (
+	.A0(\soc_top_intr_controller_reg2hw[prio21][q] [0]),
+	.A1(\soc_top_intr_controller_reg2hw[prio20][q] [0]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_84 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_131 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13720__1617  (
+	.A0(\soc_top_intr_controller_reg2hw[prio7][q] [1]),
+	.A1(\soc_top_intr_controller_reg2hw[prio6][q] [1]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_80 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_130 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13721__2802  (
+	.A0(\soc_top_intr_controller_reg2hw[prio13][q] [0]),
+	.A1(\soc_top_intr_controller_reg2hw[prio12][q] [0]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_88 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_129 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13722__1705  (
+	.A0(\soc_top_intr_controller_reg2hw[prio11][q] [0]),
+	.A1(\soc_top_intr_controller_reg2hw[prio10][q] [0]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_85 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_128 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13723__5122  (
+	.A0(\soc_top_intr_controller_reg2hw[prio15][q] [0]),
+	.A1(\soc_top_intr_controller_reg2hw[prio14][q] [0]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_79 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_127 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13724__8246  (
+	.A0(\soc_top_intr_controller_reg2hw[prio13][q] [1]),
+	.A1(\soc_top_intr_controller_reg2hw[prio12][q] [1]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_88 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_126 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13725__7098  (
+	.A0(\soc_top_intr_controller_reg2hw[prio25][q] [0]),
+	.A1(\soc_top_intr_controller_reg2hw[prio24][q] [0]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_83 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_125 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13726__6131  (
+	.A0(\soc_top_intr_controller_reg2hw[prio21][q] [1]),
+	.A1(\soc_top_intr_controller_reg2hw[prio20][q] [1]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_84 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_124 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13727__1881  (
+	.A0(\soc_top_intr_controller_reg2hw[prio9][q] [1]),
+	.A1(\soc_top_intr_controller_reg2hw[prio8][q] [1]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_87 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_122 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13728__5115  (
+	.A0(\soc_top_intr_controller_reg2hw[prio15][q] [1]),
+	.A1(\soc_top_intr_controller_reg2hw[prio14][q] [1]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_79 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_120 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13729__7482  (
+	.A0(\soc_top_intr_controller_reg2hw[prio5][q] [1]),
+	.A1(\soc_top_intr_controller_reg2hw[prio4][q] [1]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_73 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_119 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13730__4733  (
+	.A0(\soc_top_intr_controller_reg2hw[prio5][q] [0]),
+	.A1(\soc_top_intr_controller_reg2hw[prio4][q] [0]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_73 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_118 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_intr_controller_gen_target[0].u_target/g13731  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_110 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_109 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_intr_controller_gen_target[0].u_target/g13732  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_105 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_106 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g13733  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_101 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_102 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_intr_controller_gen_target[0].u_target/g13734  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_99 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_98 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13735__6161  (
+	.A0(\soc_top_intr_controller_reg2hw[prio27][q] [0]),
+	.A1(\soc_top_intr_controller_reg2hw[prio26][q] [0]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_82 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_117 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13736__9315  (
+	.A0(\soc_top_intr_controller_reg2hw[prio27][q] [1]),
+	.A1(\soc_top_intr_controller_reg2hw[prio26][q] [1]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_82 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_116 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13737__9945  (
+	.A0(\soc_top_intr_controller_reg2hw[prio17][q] [0]),
+	.A1(\soc_top_intr_controller_reg2hw[prio16][q] [0]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_76 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_115 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13738__2883  (
+	.A0(\soc_top_intr_controller_reg2hw[prio19][q] [0]),
+	.A1(\soc_top_intr_controller_reg2hw[prio18][q] [0]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_75 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_114 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 \soc_top_intr_controller_gen_target[0].u_target/g13739__2346  (
+	.A0(\soc_top_intr_controller_reg2hw[prio2][q] [1]),
+	.A1(\soc_top_intr_controller_reg2hw[prio3][q] [1]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_77 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_113 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13740__1666  (
+	.A0(\soc_top_intr_controller_reg2hw[prio31][q] [1]),
+	.A1(\soc_top_intr_controller_reg2hw[prio30][q] [1]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_81 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_112 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13741__7410  (
+	.A0(\soc_top_intr_controller_reg2hw[prio35][q] [1]),
+	.A1(\soc_top_intr_controller_reg2hw[prio34][q] [1]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_72 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_111 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13742__6417  (
+	.A0(\soc_top_intr_controller_reg2hw[prio31][q] [0]),
+	.A1(\soc_top_intr_controller_reg2hw[prio30][q] [0]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_81 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_110 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13743__5477  (
+	.A0(\soc_top_intr_controller_reg2hw[prio29][q] [0]),
+	.A1(\soc_top_intr_controller_reg2hw[prio28][q] [0]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_78 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_108 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13744__2398  (
+	.A0(\soc_top_intr_controller_reg2hw[prio29][q] [1]),
+	.A1(\soc_top_intr_controller_reg2hw[prio28][q] [1]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_78 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_107 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13745__5107  (
+	.A0(\soc_top_intr_controller_reg2hw[prio2][q] [0]),
+	.A1(\soc_top_intr_controller_reg2hw[prio3][q] [0]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_77 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_105 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13746__6260  (
+	.A0(\soc_top_intr_controller_reg2hw[prio33][q] [0]),
+	.A1(\soc_top_intr_controller_reg2hw[prio32][q] [0]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_74 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_104 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13747__4319  (
+	.A0(\soc_top_intr_controller_reg2hw[prio19][q] [1]),
+	.A1(\soc_top_intr_controller_reg2hw[prio18][q] [1]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_75 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_103 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13748__8428  (
+	.A0(\soc_top_intr_controller_reg2hw[prio25][q] [1]),
+	.A1(\soc_top_intr_controller_reg2hw[prio24][q] [1]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_83 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_101 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13749__5526  (
+	.A0(\soc_top_intr_controller_reg2hw[prio35][q] [0]),
+	.A1(\soc_top_intr_controller_reg2hw[prio34][q] [0]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_72 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_100 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13750__6783  (
+	.A0(\soc_top_intr_controller_reg2hw[prio7][q] [0]),
+	.A1(\soc_top_intr_controller_reg2hw[prio6][q] [0]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_80 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_99 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13751__3680  (
+	.A0(\soc_top_intr_controller_reg2hw[prio33][q] [1]),
+	.A1(\soc_top_intr_controller_reg2hw[prio32][q] [1]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_74 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_97 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_intr_controller_gen_target[0].u_target/g13752__1617  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_88 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_45 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_96 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g13753__2802  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_87 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_25 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_95 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_intr_controller_gen_target[0].u_target/g13754__1705  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_84 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_36 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_94 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g13755__5122  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_83 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_37 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_93 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g13756__8246  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_76 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_24 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_92 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g13757__7098  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_74 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_16 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_91 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g13758__6131  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_78 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_22 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_90 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_intr_controller_gen_target[0].u_target/g13759__1881  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_73 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_20 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_89 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13760__5115  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_45 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_67 ),
+	.B1(\soc_top_intr_controller_reg2hw[ie0][13][q] ),
+	.C1(soc_top_intr_controller_ip[13]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_88 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13761__7482  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_25 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_66 ),
+	.B1(\soc_top_intr_controller_reg2hw[ie0][9][q] ),
+	.C1(soc_top_intr_controller_ip[9]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_87 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13762__4733  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_43 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_70 ),
+	.B1(\soc_top_intr_controller_reg2hw[ie0][23][q] ),
+	.C1(soc_top_intr_controller_ip[23]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_86 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13763__6161  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_26 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_69 ),
+	.B1(\soc_top_intr_controller_reg2hw[ie0][11][q] ),
+	.C1(soc_top_intr_controller_ip[11]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_85 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13764__9315  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_36 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_60 ),
+	.B1(\soc_top_intr_controller_reg2hw[ie0][21][q] ),
+	.C1(soc_top_intr_controller_ip[21]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_84 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13765__9945  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_37 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_65 ),
+	.B1(\soc_top_intr_controller_reg2hw[ie0][25][q] ),
+	.C1(soc_top_intr_controller_ip[25]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_83 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13766__2883  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_23 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_64 ),
+	.B1(\soc_top_intr_controller_reg2hw[ie0][27][q] ),
+	.C1(soc_top_intr_controller_ip[27]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_82 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13767__2346  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_17 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_61 ),
+	.B1(\soc_top_intr_controller_reg2hw[ie0][31][q] ),
+	.C1(soc_top_intr_controller_ip[31]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_81 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_intr_controller_gen_target[0].u_target/g13768  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_72 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_71 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13769__1666  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_13 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_63 ),
+	.B1(\soc_top_intr_controller_reg2hw[ie0][7][q] ),
+	.C1(soc_top_intr_controller_ip[7]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_80 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13770__7410  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_19 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_68 ),
+	.B1(\soc_top_intr_controller_reg2hw[ie0][15][q] ),
+	.C1(soc_top_intr_controller_ip[15]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_79 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13771__6417  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_22 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_59 ),
+	.B1(\soc_top_intr_controller_reg2hw[ie0][29][q] ),
+	.C1(soc_top_intr_controller_ip[29]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_78 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 \soc_top_intr_controller_gen_target[0].u_target/g13772__5477  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_41 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_57 ),
+	.B1(\soc_top_intr_controller_reg2hw[ie0][3][q] ),
+	.C1(soc_top_intr_controller_ip[3]),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_77 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13773__2398  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_24 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_58 ),
+	.B1(\soc_top_intr_controller_reg2hw[ie0][17][q] ),
+	.C1(soc_top_intr_controller_ip[17]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_76 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13774__5107  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_15 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_55 ),
+	.B1(\soc_top_intr_controller_reg2hw[ie0][19][q] ),
+	.C1(soc_top_intr_controller_ip[19]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_75 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13775__6260  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_16 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_62 ),
+	.B1(\soc_top_intr_controller_reg2hw[ie0][33][q] ),
+	.C1(soc_top_intr_controller_ip[33]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_74 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13776__4319  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_20 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_56 ),
+	.B1(\soc_top_intr_controller_reg2hw[ie0][5][q] ),
+	.C1(soc_top_intr_controller_ip[5]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_73 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13777__8428  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_38 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_54 ),
+	.B1(\soc_top_intr_controller_reg2hw[ie0][35][q] ),
+	.C1(soc_top_intr_controller_ip[35]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_72 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_intr_controller_gen_target[0].u_target/g13778__5526  (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio23][q] [1]),
+	.A2_N(\soc_top_intr_controller_gen_target[0].u_target/n_18 ),
+	.B1(\soc_top_intr_controller_reg2hw[prio22][q] [1]),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_52 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_70 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g13779__6783  (
+	.A(\soc_top_intr_controller_reg2hw[prio11][q] [1]),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_33 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_1 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_69 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g13780__3680  (
+	.A(\soc_top_intr_controller_reg2hw[prio15][q] [1]),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_28 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_9 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_68 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g13781__1617  (
+	.A(\soc_top_intr_controller_reg2hw[prio13][q] [1]),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_30 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_8 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_67 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g13782__2802  (
+	.A(\soc_top_intr_controller_reg2hw[prio9][q] [1]),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_10 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_3 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_66 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_intr_controller_gen_target[0].u_target/g13783__1705  (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio25][q] [1]),
+	.A2_N(\soc_top_intr_controller_gen_target[0].u_target/n_39 ),
+	.B1(\soc_top_intr_controller_reg2hw[prio24][q] [1]),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_51 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_65 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_intr_controller_gen_target[0].u_target/g13784__5122  (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio27][q] [1]),
+	.A2_N(\soc_top_intr_controller_gen_target[0].u_target/n_27 ),
+	.B1(\soc_top_intr_controller_reg2hw[prio26][q] [1]),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_50 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_64 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g13785__8246  (
+	.A(\soc_top_intr_controller_reg2hw[prio7][q] [1]),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_11 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_2 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_63 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g13786__7098  (
+	.A(\soc_top_intr_controller_reg2hw[prio33][q] [1]),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_12 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_0 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_62 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g13787__6131  (
+	.A(\soc_top_intr_controller_reg2hw[prio31][q] [1]),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_32 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_5 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_61 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_intr_controller_gen_target[0].u_target/g13788__1881  (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio21][q] [1]),
+	.A2_N(\soc_top_intr_controller_gen_target[0].u_target/n_44 ),
+	.B1(\soc_top_intr_controller_reg2hw[prio20][q] [1]),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_53 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_60 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_intr_controller_gen_target[0].u_target/g13789__5115  (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio29][q] [1]),
+	.A2_N(\soc_top_intr_controller_gen_target[0].u_target/n_21 ),
+	.B1(\soc_top_intr_controller_reg2hw[prio28][q] [1]),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_48 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_59 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_intr_controller_gen_target[0].u_target/g13790__7482  (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio17][q] [1]),
+	.A2_N(\soc_top_intr_controller_gen_target[0].u_target/n_46 ),
+	.B1(\soc_top_intr_controller_reg2hw[prio16][q] [1]),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_49 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_58 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g13791__4733  (
+	.A(\soc_top_intr_controller_reg2hw[prio3][q] [1]),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_31 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_7 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_57 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g13792__6161  (
+	.A(\soc_top_intr_controller_reg2hw[prio5][q] [1]),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_34 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_6 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_56 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_intr_controller_gen_target[0].u_target/g13793__9315  (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio19][q] [1]),
+	.A2_N(\soc_top_intr_controller_gen_target[0].u_target/n_14 ),
+	.B1(\soc_top_intr_controller_reg2hw[prio18][q] [1]),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_47 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_55 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g13794__9945  (
+	.A(\soc_top_intr_controller_reg2hw[prio35][q] [1]),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_29 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_4 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_54 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g13795__2883  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_44 ),
+	.B(\soc_top_intr_controller_reg2hw[prio21][q] [1]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_53 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g13796__2346  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_18 ),
+	.B(\soc_top_intr_controller_reg2hw[prio23][q] [1]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_52 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g13797__1666  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_39 ),
+	.B(\soc_top_intr_controller_reg2hw[prio25][q] [1]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_51 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g13798__7410  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_27 ),
+	.B(\soc_top_intr_controller_reg2hw[prio27][q] [1]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_50 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g13799__6417  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_46 ),
+	.B(\soc_top_intr_controller_reg2hw[prio17][q] [1]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_49 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g13800__5477  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_21 ),
+	.B(\soc_top_intr_controller_reg2hw[prio29][q] [1]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_48 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g13801__2398  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_14 ),
+	.B(\soc_top_intr_controller_reg2hw[prio19][q] [1]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_47 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_intr_controller_gen_target[0].u_target/g13802  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_41 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_42 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g13803__5107  (
+	.A(\soc_top_intr_controller_reg2hw[prio32][q] [1]),
+	.B(\soc_top_intr_controller_reg2hw[prio32][q] [0]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_35 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target/g13804__6260  (
+	.A(\soc_top_intr_controller_reg2hw[prio4][q] [0]),
+	.B_N(\soc_top_intr_controller_reg2hw[prio5][q] [0]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_34 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target/g13805__4319  (
+	.A(\soc_top_intr_controller_reg2hw[prio16][q] [0]),
+	.B_N(\soc_top_intr_controller_reg2hw[prio17][q] [0]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_46 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g13806__8428  (
+	.A(soc_top_intr_controller_ip[12]),
+	.B(\soc_top_intr_controller_reg2hw[ie0][12][q] ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_45 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target/g13807__5526  (
+	.A(\soc_top_intr_controller_reg2hw[prio20][q] [0]),
+	.B_N(\soc_top_intr_controller_reg2hw[prio21][q] [0]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_44 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g13808__6783  (
+	.A(soc_top_intr_controller_ip[22]),
+	.B(\soc_top_intr_controller_reg2hw[ie0][22][q] ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_43 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target/g13809__3680  (
+	.A(\soc_top_intr_controller_reg2hw[prio10][q] [0]),
+	.B_N(\soc_top_intr_controller_reg2hw[prio11][q] [0]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_33 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target/g13810__1617  (
+	.A(\soc_top_intr_controller_reg2hw[prio30][q] [0]),
+	.B_N(\soc_top_intr_controller_reg2hw[prio31][q] [0]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_32 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target/g13811__2802  (
+	.A(\soc_top_intr_controller_reg2hw[prio2][q] [0]),
+	.B_N(\soc_top_intr_controller_reg2hw[prio3][q] [0]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_31 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g13812__1705  (
+	.A(soc_top_intr_controller_ip[2]),
+	.B(\soc_top_intr_controller_reg2hw[ie0][2][q] ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_41 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target/g13813__5122  (
+	.A(\soc_top_intr_controller_reg2hw[prio12][q] [0]),
+	.B_N(\soc_top_intr_controller_reg2hw[prio13][q] [0]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_30 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g13814__8246  (
+	.A(soc_top_intr_controller_ip[1]),
+	.B(\soc_top_intr_controller_reg2hw[ie0][1][q] ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_40 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target/g13815__7098  (
+	.A(\soc_top_intr_controller_reg2hw[prio24][q] [0]),
+	.B_N(\soc_top_intr_controller_reg2hw[prio25][q] [0]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_39 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g13816__6131  (
+	.A(soc_top_intr_controller_ip[34]),
+	.B(\soc_top_intr_controller_reg2hw[ie0][34][q] ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_38 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g13817__1881  (
+	.A(soc_top_intr_controller_ip[24]),
+	.B(\soc_top_intr_controller_reg2hw[ie0][24][q] ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_37 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target/g13818__5115  (
+	.A(\soc_top_intr_controller_reg2hw[prio34][q] [0]),
+	.B_N(\soc_top_intr_controller_reg2hw[prio35][q] [0]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_29 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target/g13819__7482  (
+	.A(\soc_top_intr_controller_reg2hw[prio14][q] [0]),
+	.B_N(\soc_top_intr_controller_reg2hw[prio15][q] [0]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_28 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g13820__4733  (
+	.A(soc_top_intr_controller_ip[20]),
+	.B(\soc_top_intr_controller_reg2hw[ie0][20][q] ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_36 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target/g13821__6161  (
+	.A(\soc_top_intr_controller_reg2hw[prio26][q] [0]),
+	.B_N(\soc_top_intr_controller_reg2hw[prio27][q] [0]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_27 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g13822__9315  (
+	.A(soc_top_intr_controller_ip[10]),
+	.B(\soc_top_intr_controller_reg2hw[ie0][10][q] ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_26 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g13823__9945  (
+	.A(soc_top_intr_controller_ip[8]),
+	.B(\soc_top_intr_controller_reg2hw[ie0][8][q] ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_25 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g13824__2883  (
+	.A(soc_top_intr_controller_ip[16]),
+	.B(\soc_top_intr_controller_reg2hw[ie0][16][q] ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_24 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g13825__2346  (
+	.A(soc_top_intr_controller_ip[26]),
+	.B(\soc_top_intr_controller_reg2hw[ie0][26][q] ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_23 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g13826__1666  (
+	.A(soc_top_intr_controller_ip[28]),
+	.B(\soc_top_intr_controller_reg2hw[ie0][28][q] ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_22 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target/g13827__7410  (
+	.A(\soc_top_intr_controller_reg2hw[prio28][q] [0]),
+	.B_N(\soc_top_intr_controller_reg2hw[prio29][q] [0]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_21 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g13828__6417  (
+	.A(soc_top_intr_controller_ip[4]),
+	.B(\soc_top_intr_controller_reg2hw[ie0][4][q] ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_20 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g13829__5477  (
+	.A(soc_top_intr_controller_ip[14]),
+	.B(\soc_top_intr_controller_reg2hw[ie0][14][q] ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_19 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target/g13830__2398  (
+	.A(\soc_top_intr_controller_reg2hw[prio22][q] [0]),
+	.B_N(\soc_top_intr_controller_reg2hw[prio23][q] [0]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_18 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g13831__5107  (
+	.A(soc_top_intr_controller_ip[30]),
+	.B(\soc_top_intr_controller_reg2hw[ie0][30][q] ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_17 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g13832__6260  (
+	.A(soc_top_intr_controller_ip[32]),
+	.B(\soc_top_intr_controller_reg2hw[ie0][32][q] ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_16 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target/g13833__4319  (
+	.A(\soc_top_intr_controller_reg2hw[prio32][q] [0]),
+	.B_N(\soc_top_intr_controller_reg2hw[prio33][q] [0]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_12 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target/g13834__8428  (
+	.A(\soc_top_intr_controller_reg2hw[prio6][q] [0]),
+	.B_N(\soc_top_intr_controller_reg2hw[prio7][q] [0]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_11 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target/g13835__5526  (
+	.A(\soc_top_intr_controller_reg2hw[prio8][q] [0]),
+	.B_N(\soc_top_intr_controller_reg2hw[prio9][q] [0]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_10 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g13836__6783  (
+	.A(soc_top_intr_controller_ip[18]),
+	.B(\soc_top_intr_controller_reg2hw[ie0][18][q] ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_15 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target/g13837__3680  (
+	.A(\soc_top_intr_controller_reg2hw[prio18][q] [0]),
+	.B_N(\soc_top_intr_controller_reg2hw[prio19][q] [0]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_14 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g13838__1617  (
+	.A(soc_top_intr_controller_ip[6]),
+	.B(\soc_top_intr_controller_reg2hw[ie0][6][q] ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_13 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g13839  (
+	.A(\soc_top_intr_controller_reg2hw[prio14][q] [1]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_9 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g13840  (
+	.A(\soc_top_intr_controller_reg2hw[prio12][q] [1]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_8 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g13841  (
+	.A(\soc_top_intr_controller_reg2hw[prio2][q] [1]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_7 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g13842  (
+	.A(\soc_top_intr_controller_reg2hw[prio4][q] [1]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_6 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g13843  (
+	.A(\soc_top_intr_controller_reg2hw[prio30][q] [1]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_5 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g13844  (
+	.A(\soc_top_intr_controller_reg2hw[prio34][q] [1]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_4 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g13845  (
+	.A(\soc_top_intr_controller_reg2hw[prio8][q] [1]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_3 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g13846  (
+	.A(\soc_top_intr_controller_reg2hw[prio6][q] [1]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_2 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g13847  (
+	.A(\soc_top_intr_controller_reg2hw[prio10][q] [1]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_1 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g13848  (
+	.A(\soc_top_intr_controller_reg2hw[prio32][q] [1]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_0 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 \soc_top_intr_controller_gen_target[0].u_target/g2__2802  (
+	.A1_N(\soc_top_intr_controller_gen_target[0].u_target/n_210 ),
+	.A2_N(\soc_top_intr_controller_gen_target[0].u_target/n_198 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_191 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_212 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_376 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/addr_q_reg[0]  (
+	.CLK(CTS_80),
+	.D(\soc_top_u_dut/n_130 ),
+	.Q(soc_top_iccm_ctrl_addr_o[0]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/addr_q_reg[1]  (
+	.CLK(CTS_80),
+	.D(\soc_top_u_dut/n_139 ),
+	.Q(soc_top_iccm_ctrl_addr_o[1]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/addr_q_reg[2]  (
+	.CLK(CTS_80),
+	.D(\soc_top_u_dut/n_145 ),
+	.Q(soc_top_iccm_ctrl_addr_o[2]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/addr_q_reg[3]  (
+	.CLK(CTS_80),
+	.D(\soc_top_u_dut/n_151 ),
+	.Q(soc_top_iccm_ctrl_addr_o[3]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/addr_q_reg[4]  (
+	.CLK(CTS_80),
+	.D(\soc_top_u_dut/n_156 ),
+	.Q(soc_top_iccm_ctrl_addr_o[4]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/addr_q_reg[5]  (
+	.CLK(CTS_80),
+	.D(\soc_top_u_dut/n_161 ),
+	.Q(soc_top_iccm_ctrl_addr_o[5]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/addr_q_reg[6]  (
+	.CLK(CTS_80),
+	.D(\soc_top_u_dut/n_167 ),
+	.Q(soc_top_iccm_ctrl_addr_o[6]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/addr_q_reg[7]  (
+	.CLK(CTS_80),
+	.D(\soc_top_u_dut/n_172 ),
+	.Q(soc_top_iccm_ctrl_addr_o[7]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/addr_q_reg[8]  (
+	.CLK(CTS_80),
+	.D(\soc_top_u_dut/n_179 ),
+	.Q(soc_top_iccm_ctrl_addr_o[8]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/addr_q_reg[9]  (
+	.CLK(CTS_80),
+	.D(\soc_top_u_dut/n_181 ),
+	.Q(soc_top_iccm_ctrl_addr_o[9]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/byte_count_reg[0]  (
+	.CLK(CTS_141),
+	.D(\soc_top_u_dut/n_58 ),
+	.Q(\soc_top_u_dut/byte_count[0] ),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/byte_count_reg[1]  (
+	.CLK(CTS_141),
+	.D(\soc_top_u_dut/n_94 ),
+	.Q(\soc_top_u_dut/byte_count[1] ),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_2 \soc_top_u_dut/ctrl_fsm_cs_reg[0]  (
+	.CLK(CTS_139),
+	.D(\soc_top_u_dut/n_138 ),
+	.Q(\soc_top_u_dut/ctrl_fsm_cs[0] ),
+	.SET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_2 \soc_top_u_dut/ctrl_fsm_cs_reg[1]  (
+	.CLK(CTS_139),
+	.D(\soc_top_u_dut/n_175 ),
+	.Q(\soc_top_u_dut/ctrl_fsm_cs[1] ),
+	.SET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_4 \soc_top_u_dut/reset_q_reg  (
+	.CLK(CTS_139),
+	.D(\soc_top_u_dut/n_176 ),
+	.Q(soc_top_prog_rst_ni),
+	.SET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q0_reg[0]  (
+	.CLK(CTS_156),
+	.D(\soc_top_u_dut/n_107 ),
+	.Q(soc_top_iccm_ctrl_data[24]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q0_reg[1]  (
+	.CLK(CTS_141),
+	.D(\soc_top_u_dut/n_106 ),
+	.Q(soc_top_iccm_ctrl_data[25]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q0_reg[2]  (
+	.CLK(CTS_156),
+	.D(\soc_top_u_dut/n_105 ),
+	.Q(soc_top_iccm_ctrl_data[26]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q0_reg[3]  (
+	.CLK(CTS_156),
+	.D(\soc_top_u_dut/n_113 ),
+	.Q(soc_top_iccm_ctrl_data[27]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q0_reg[4]  (
+	.CLK(CTS_156),
+	.D(\soc_top_u_dut/n_103 ),
+	.Q(soc_top_iccm_ctrl_data[28]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q0_reg[5]  (
+	.CLK(CTS_156),
+	.D(\soc_top_u_dut/n_102 ),
+	.Q(soc_top_iccm_ctrl_data[29]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q0_reg[6]  (
+	.CLK(CTS_156),
+	.D(\soc_top_u_dut/n_100 ),
+	.Q(soc_top_iccm_ctrl_data[30]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q0_reg[7]  (
+	.CLK(CTS_141),
+	.D(\soc_top_u_dut/n_98 ),
+	.Q(soc_top_iccm_ctrl_data[31]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q1_reg[0]  (
+	.CLK(CTS_141),
+	.D(\soc_top_u_dut/n_114 ),
+	.Q(soc_top_iccm_ctrl_data[16]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q1_reg[1]  (
+	.CLK(CTS_141),
+	.D(\soc_top_u_dut/n_127 ),
+	.Q(soc_top_iccm_ctrl_data[17]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q1_reg[2]  (
+	.CLK(CTS_141),
+	.D(\soc_top_u_dut/n_126 ),
+	.Q(soc_top_iccm_ctrl_data[18]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q1_reg[3]  (
+	.CLK(CTS_141),
+	.D(\soc_top_u_dut/n_125 ),
+	.Q(soc_top_iccm_ctrl_data[19]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q1_reg[4]  (
+	.CLK(CTS_141),
+	.D(\soc_top_u_dut/n_124 ),
+	.Q(soc_top_iccm_ctrl_data[20]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q1_reg[5]  (
+	.CLK(CTS_141),
+	.D(\soc_top_u_dut/n_123 ),
+	.Q(soc_top_iccm_ctrl_data[21]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q1_reg[6]  (
+	.CLK(CTS_141),
+	.D(\soc_top_u_dut/n_122 ),
+	.Q(soc_top_iccm_ctrl_data[22]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q1_reg[7]  (
+	.CLK(CTS_141),
+	.D(\soc_top_u_dut/n_121 ),
+	.Q(soc_top_iccm_ctrl_data[23]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q2_reg[0]  (
+	.CLK(CTS_141),
+	.D(\soc_top_u_dut/n_120 ),
+	.Q(soc_top_iccm_ctrl_data[8]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q2_reg[1]  (
+	.CLK(CTS_141),
+	.D(\soc_top_u_dut/n_119 ),
+	.Q(soc_top_iccm_ctrl_data[9]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q2_reg[2]  (
+	.CLK(CTS_141),
+	.D(\soc_top_u_dut/n_118 ),
+	.Q(soc_top_iccm_ctrl_data[10]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q2_reg[3]  (
+	.CLK(CTS_141),
+	.D(\soc_top_u_dut/n_117 ),
+	.Q(soc_top_iccm_ctrl_data[11]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q2_reg[4]  (
+	.CLK(CTS_141),
+	.D(\soc_top_u_dut/n_116 ),
+	.Q(soc_top_iccm_ctrl_data[12]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q2_reg[5]  (
+	.CLK(CTS_141),
+	.D(\soc_top_u_dut/n_115 ),
+	.Q(soc_top_iccm_ctrl_data[13]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q2_reg[6]  (
+	.CLK(CTS_141),
+	.D(\soc_top_u_dut/n_129 ),
+	.Q(soc_top_iccm_ctrl_data[14]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q2_reg[7]  (
+	.CLK(CTS_141),
+	.D(\soc_top_u_dut/n_104 ),
+	.Q(soc_top_iccm_ctrl_data[15]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q3_reg[0]  (
+	.CLK(CTS_141),
+	.D(\soc_top_u_dut/n_112 ),
+	.Q(soc_top_iccm_ctrl_data[0]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q3_reg[1]  (
+	.CLK(CTS_141),
+	.D(\soc_top_u_dut/n_111 ),
+	.Q(soc_top_iccm_ctrl_data[1]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q3_reg[2]  (
+	.CLK(CTS_141),
+	.D(\soc_top_u_dut/n_101 ),
+	.Q(soc_top_iccm_ctrl_data[2]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q3_reg[3]  (
+	.CLK(CTS_139),
+	.D(\soc_top_u_dut/n_110 ),
+	.Q(soc_top_iccm_ctrl_data[3]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q3_reg[4]  (
+	.CLK(CTS_141),
+	.D(\soc_top_u_dut/n_108 ),
+	.Q(soc_top_iccm_ctrl_data[4]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q3_reg[5]  (
+	.CLK(CTS_141),
+	.D(\soc_top_u_dut/n_128 ),
+	.Q(soc_top_iccm_ctrl_data[5]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q3_reg[6]  (
+	.CLK(CTS_139),
+	.D(\soc_top_u_dut/n_99 ),
+	.Q(soc_top_iccm_ctrl_data[6]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q3_reg[7]  (
+	.CLK(CTS_139),
+	.D(\soc_top_u_dut/n_109 ),
+	.Q(soc_top_iccm_ctrl_data[7]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/we_q_reg  (
+	.CLK(CTS_139),
+	.D(\soc_top_u_dut/n_142 ),
+	.Q(soc_top_iccm_ctrl_we),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5648__1705  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_180 ),
+	.Y(\soc_top_u_dut/n_181 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5650__5122  (
+	.A0(\soc_top_u_dut/n_177 ),
+	.A1(soc_top_iccm_ctrl_addr_o[9]),
+	.S(\soc_top_u_dut/n_47 ),
+	.Y(\soc_top_u_dut/n_180 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5651__8246  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_178 ),
+	.Y(\soc_top_u_dut/n_179 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5654__7098  (
+	.A0(\soc_top_u_dut/n_174 ),
+	.A1(soc_top_iccm_ctrl_addr_o[8]),
+	.S(\soc_top_u_dut/n_47 ),
+	.Y(\soc_top_u_dut/n_178 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_u_dut/g5655__6131  (
+	.A(soc_top_iccm_ctrl_addr_o[9]),
+	.B(\soc_top_u_dut/n_173 ),
+	.X(\soc_top_u_dut/n_177 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5657__1881  (
+	.A(\soc_top_u_dut/n_46 ),
+	.B(\soc_top_u_dut/n_171 ),
+	.Y(\soc_top_u_dut/n_176 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_dut/g5658__5115  (
+	.A1(\soc_top_u_dut/n_165 ),
+	.A2(soc_top_rx_dv_i),
+	.A3(\soc_top_u_dut/ctrl_fsm_cs[1] ),
+	.A4(\soc_top_u_dut/ctrl_fsm_cs[0] ),
+	.B1(\soc_top_u_dut/n_46 ),
+	.Y(\soc_top_u_dut/n_175 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_dut/g5659__7482  (
+	.A(soc_top_iccm_ctrl_addr_o[8]),
+	.B(\soc_top_u_dut/n_168 ),
+	.COUT(\soc_top_u_dut/n_173 ),
+	.SUM(\soc_top_u_dut/n_174 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5660__4733  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_170 ),
+	.Y(\soc_top_u_dut/n_172 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_dut/g5661__6161  (
+	.A1(\soc_top_u_dut/n_166 ),
+	.A2(\soc_top_u_dut/ctrl_fsm_cs[1] ),
+	.A3(\soc_top_u_dut/ctrl_fsm_cs[0] ),
+	.B1(soc_top_prog_rst_ni),
+	.Y(\soc_top_u_dut/n_171 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5662__9315  (
+	.A0(\soc_top_u_dut/n_169 ),
+	.A1(soc_top_iccm_ctrl_addr_o[7]),
+	.S(\soc_top_u_dut/n_47 ),
+	.Y(\soc_top_u_dut/n_170 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_dut/g5664__9945  (
+	.A(soc_top_iccm_ctrl_addr_o[7]),
+	.B(\soc_top_u_dut/n_162 ),
+	.COUT(\soc_top_u_dut/n_168 ),
+	.SUM(\soc_top_u_dut/n_169 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5665__2883  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_164 ),
+	.Y(\soc_top_u_dut/n_167 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_dut/g5666  (
+	.A(\soc_top_u_dut/n_165 ),
+	.Y(\soc_top_u_dut/n_166 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_dut/g5667__2346  (
+	.A1(\soc_top_u_dut/n_160 ),
+	.A2(soc_top_iccm_ctrl_data[6]),
+	.A3(soc_top_iccm_ctrl_data[7]),
+	.B1(\soc_top_u_dut/n_0 ),
+	.Y(\soc_top_u_dut/n_165 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5668__1666  (
+	.A0(\soc_top_u_dut/n_163 ),
+	.A1(soc_top_iccm_ctrl_addr_o[6]),
+	.S(\soc_top_u_dut/n_47 ),
+	.Y(\soc_top_u_dut/n_164 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_dut/g5670__7410  (
+	.A(soc_top_iccm_ctrl_addr_o[6]),
+	.B(\soc_top_u_dut/n_157 ),
+	.COUT(\soc_top_u_dut/n_162 ),
+	.SUM(\soc_top_u_dut/n_163 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5671__6417  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_159 ),
+	.Y(\soc_top_u_dut/n_161 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 \soc_top_u_dut/g5672__5477  (
+	.A_N(\soc_top_u_dut/n_155 ),
+	.B(soc_top_iccm_ctrl_data[4]),
+	.C(soc_top_iccm_ctrl_data[3]),
+	.D(soc_top_iccm_ctrl_data[5]),
+	.X(\soc_top_u_dut/n_160 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5673__2398  (
+	.A0(\soc_top_u_dut/n_158 ),
+	.A1(soc_top_iccm_ctrl_addr_o[5]),
+	.S(\soc_top_u_dut/n_47 ),
+	.Y(\soc_top_u_dut/n_159 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_dut/g5675__5107  (
+	.A(soc_top_iccm_ctrl_addr_o[5]),
+	.B(\soc_top_u_dut/n_152 ),
+	.COUT(\soc_top_u_dut/n_157 ),
+	.SUM(\soc_top_u_dut/n_158 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5676__6260  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_154 ),
+	.Y(\soc_top_u_dut/n_156 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_u_dut/g5677__4319  (
+	.A(\soc_top_u_dut/n_150 ),
+	.B(soc_top_iccm_ctrl_data[1]),
+	.C(soc_top_iccm_ctrl_data[2]),
+	.D(soc_top_iccm_ctrl_data[0]),
+	.Y(\soc_top_u_dut/n_155 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5678__8428  (
+	.A0(\soc_top_u_dut/n_153 ),
+	.A1(soc_top_iccm_ctrl_addr_o[4]),
+	.S(\soc_top_u_dut/n_47 ),
+	.Y(\soc_top_u_dut/n_154 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_dut/g5680__5526  (
+	.A(soc_top_iccm_ctrl_addr_o[4]),
+	.B(\soc_top_u_dut/n_147 ),
+	.COUT(\soc_top_u_dut/n_152 ),
+	.SUM(\soc_top_u_dut/n_153 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5681__6783  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_149 ),
+	.Y(\soc_top_u_dut/n_151 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 \soc_top_u_dut/g5682__3680  (
+	.A(soc_top_iccm_ctrl_data[18]),
+	.B(soc_top_iccm_ctrl_data[17]),
+	.C(soc_top_iccm_ctrl_data[16]),
+	.D(\soc_top_u_dut/n_146 ),
+	.Y(\soc_top_u_dut/n_150 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5683__1617  (
+	.A0(\soc_top_u_dut/n_148 ),
+	.A1(soc_top_iccm_ctrl_addr_o[3]),
+	.S(\soc_top_u_dut/n_47 ),
+	.Y(\soc_top_u_dut/n_149 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_dut/g5685__2802  (
+	.A(soc_top_iccm_ctrl_addr_o[3]),
+	.B(\soc_top_u_dut/n_140 ),
+	.COUT(\soc_top_u_dut/n_147 ),
+	.SUM(\soc_top_u_dut/n_148 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_dut/g5686__1705  (
+	.A(\soc_top_u_dut/n_144 ),
+	.B(\soc_top_u_dut/n_131 ),
+	.Y(\soc_top_u_dut/n_146 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5687__5122  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_143 ),
+	.Y(\soc_top_u_dut/n_145 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 \soc_top_u_dut/g5689__8246  (
+	.A(soc_top_iccm_ctrl_data[31]),
+	.B(soc_top_iccm_ctrl_data[22]),
+	.C(soc_top_iccm_ctrl_data[23]),
+	.D(\soc_top_u_dut/n_135 ),
+	.Y(\soc_top_u_dut/n_144 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5690__7098  (
+	.A0(\soc_top_u_dut/n_141 ),
+	.A1(soc_top_iccm_ctrl_addr_o[2]),
+	.S(\soc_top_u_dut/n_47 ),
+	.Y(\soc_top_u_dut/n_143 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5692__6131  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_137 ),
+	.Y(\soc_top_u_dut/n_142 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_dut/g5694__1881  (
+	.A(soc_top_iccm_ctrl_addr_o[2]),
+	.B(\soc_top_u_dut/n_132 ),
+	.COUT(\soc_top_u_dut/n_140 ),
+	.SUM(\soc_top_u_dut/n_141 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5695__5115  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_134 ),
+	.Y(\soc_top_u_dut/n_139 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_dut/g5696__7482  (
+	.A1(\soc_top_u_dut/n_46 ),
+	.A2(\soc_top_u_dut/n_41 ),
+	.B1(\soc_top_u_dut/n_136 ),
+	.Y(\soc_top_u_dut/n_138 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_dut/g5697__4733  (
+	.A1(\soc_top_u_dut/ctrl_fsm_cs[0] ),
+	.A2(soc_top_iccm_ctrl_we),
+	.B1(\soc_top_u_dut/n_136 ),
+	.Y(\soc_top_u_dut/n_137 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a311oi_1 \soc_top_u_dut/g5698__6161  (
+	.A1(\soc_top_u_dut/n_93 ),
+	.A2(soc_top_rx_byte_i[0]),
+	.A3(soc_top_rx_byte_i[1]),
+	.B1(\soc_top_u_dut/n_54 ),
+	.C1(\soc_top_u_dut/n_131 ),
+	.Y(\soc_top_u_dut/n_136 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 \soc_top_u_dut/g5699__9315  (
+	.A(soc_top_iccm_ctrl_data[21]),
+	.B(soc_top_iccm_ctrl_data[19]),
+	.C(soc_top_iccm_ctrl_data[20]),
+	.D(\soc_top_u_dut/n_97 ),
+	.X(\soc_top_u_dut/n_135 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5700__9945  (
+	.A0(\soc_top_u_dut/n_133 ),
+	.A1(soc_top_iccm_ctrl_addr_o[1]),
+	.S(\soc_top_u_dut/n_47 ),
+	.Y(\soc_top_u_dut/n_134 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_dut/g5702__2883  (
+	.A(soc_top_iccm_ctrl_addr_o[1]),
+	.B(\soc_top_u_dut/n_59 ),
+	.COUT(\soc_top_u_dut/n_132 ),
+	.SUM(\soc_top_u_dut/n_133 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5720__2346  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_96 ),
+	.Y(\soc_top_u_dut/n_130 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 \soc_top_u_dut/g5721__1666  (
+	.A(soc_top_iccm_ctrl_data[15]),
+	.B(soc_top_iccm_ctrl_data[14]),
+	.C(\soc_top_u_dut/n_92 ),
+	.Y(\soc_top_u_dut/n_131 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5737__7410  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_65 ),
+	.Y(\soc_top_u_dut/n_129 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5738__6417  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_84 ),
+	.Y(\soc_top_u_dut/n_128 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5739__5477  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_75 ),
+	.Y(\soc_top_u_dut/n_127 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5740__2398  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_74 ),
+	.Y(\soc_top_u_dut/n_126 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5741__5107  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_73 ),
+	.Y(\soc_top_u_dut/n_125 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5742__6260  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_72 ),
+	.Y(\soc_top_u_dut/n_124 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5743__4319  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_71 ),
+	.Y(\soc_top_u_dut/n_123 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5744__8428  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_70 ),
+	.Y(\soc_top_u_dut/n_122 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5745__5526  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_78 ),
+	.Y(\soc_top_u_dut/n_121 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5746__6783  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_68 ),
+	.Y(\soc_top_u_dut/n_120 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5747__3680  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_64 ),
+	.Y(\soc_top_u_dut/n_119 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5748__1617  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_67 ),
+	.Y(\soc_top_u_dut/n_118 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5749__2802  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_63 ),
+	.Y(\soc_top_u_dut/n_117 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5750__1705  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_66 ),
+	.Y(\soc_top_u_dut/n_116 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5751__5122  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_61 ),
+	.Y(\soc_top_u_dut/n_115 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5752__8246  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_76 ),
+	.Y(\soc_top_u_dut/n_114 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5754__7098  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_79 ),
+	.Y(\soc_top_u_dut/n_113 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5755__6131  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_90 ),
+	.Y(\soc_top_u_dut/n_112 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5756__1881  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_82 ),
+	.Y(\soc_top_u_dut/n_111 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5757__5115  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_88 ),
+	.Y(\soc_top_u_dut/n_110 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5758__7482  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_91 ),
+	.Y(\soc_top_u_dut/n_109 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5759__4733  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_87 ),
+	.Y(\soc_top_u_dut/n_108 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5760__6161  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_83 ),
+	.Y(\soc_top_u_dut/n_107 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5761__9315  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_81 ),
+	.Y(\soc_top_u_dut/n_106 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5762__9945  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_80 ),
+	.Y(\soc_top_u_dut/n_105 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5763__2883  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_62 ),
+	.Y(\soc_top_u_dut/n_104 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5764__2346  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_86 ),
+	.Y(\soc_top_u_dut/n_103 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5765__1666  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_69 ),
+	.Y(\soc_top_u_dut/n_102 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5766__7410  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_89 ),
+	.Y(\soc_top_u_dut/n_101 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5767__6417  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_77 ),
+	.Y(\soc_top_u_dut/n_100 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5768__5477  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_95 ),
+	.Y(\soc_top_u_dut/n_99 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5769__2398  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_85 ),
+	.Y(\soc_top_u_dut/n_98 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 \soc_top_u_dut/g5770__5107  (
+	.A(soc_top_iccm_ctrl_data[28]),
+	.B(soc_top_iccm_ctrl_data[27]),
+	.C(soc_top_iccm_ctrl_data[29]),
+	.D(\soc_top_u_dut/n_52 ),
+	.X(\soc_top_u_dut/n_97 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5771__6260  (
+	.A0(\soc_top_u_dut/n_60 ),
+	.A1(soc_top_iccm_ctrl_addr_o[0]),
+	.S(\soc_top_u_dut/n_47 ),
+	.Y(\soc_top_u_dut/n_96 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5772__4319  (
+	.A0(soc_top_rx_byte_i[6]),
+	.A1(soc_top_iccm_ctrl_data[6]),
+	.S(\soc_top_u_dut/n_54 ),
+	.Y(\soc_top_u_dut/n_95 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5773__8428  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_57 ),
+	.Y(\soc_top_u_dut/n_94 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 \soc_top_u_dut/g5774__5526  (
+	.A_N(\soc_top_u_dut/n_45 ),
+	.B(soc_top_rx_byte_i[2]),
+	.C(soc_top_rx_byte_i[3]),
+	.D(soc_top_rx_byte_i[4]),
+	.X(\soc_top_u_dut/n_93 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 \soc_top_u_dut/g5775__6783  (
+	.A(soc_top_iccm_ctrl_data[13]),
+	.B(soc_top_iccm_ctrl_data[12]),
+	.C(\soc_top_u_dut/n_51 ),
+	.X(\soc_top_u_dut/n_92 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5776__3680  (
+	.A0(soc_top_rx_byte_i[7]),
+	.A1(soc_top_iccm_ctrl_data[7]),
+	.S(\soc_top_u_dut/n_54 ),
+	.Y(\soc_top_u_dut/n_91 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5777__1617  (
+	.A0(soc_top_rx_byte_i[0]),
+	.A1(soc_top_iccm_ctrl_data[0]),
+	.S(\soc_top_u_dut/n_54 ),
+	.Y(\soc_top_u_dut/n_90 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5778__2802  (
+	.A0(soc_top_rx_byte_i[2]),
+	.A1(soc_top_iccm_ctrl_data[2]),
+	.S(\soc_top_u_dut/n_54 ),
+	.Y(\soc_top_u_dut/n_89 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5779__1705  (
+	.A0(soc_top_rx_byte_i[3]),
+	.A1(soc_top_iccm_ctrl_data[3]),
+	.S(\soc_top_u_dut/n_54 ),
+	.Y(\soc_top_u_dut/n_88 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5780__5122  (
+	.A0(soc_top_rx_byte_i[4]),
+	.A1(soc_top_iccm_ctrl_data[4]),
+	.S(\soc_top_u_dut/n_54 ),
+	.Y(\soc_top_u_dut/n_87 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5781__8246  (
+	.A0(soc_top_rx_byte_i[4]),
+	.A1(soc_top_iccm_ctrl_data[28]),
+	.S(\soc_top_u_dut/n_53 ),
+	.Y(\soc_top_u_dut/n_86 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5782__7098  (
+	.A0(soc_top_rx_byte_i[7]),
+	.A1(soc_top_iccm_ctrl_data[31]),
+	.S(\soc_top_u_dut/n_53 ),
+	.Y(\soc_top_u_dut/n_85 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5783__6131  (
+	.A0(soc_top_rx_byte_i[5]),
+	.A1(soc_top_iccm_ctrl_data[5]),
+	.S(\soc_top_u_dut/n_54 ),
+	.Y(\soc_top_u_dut/n_84 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5784__1881  (
+	.A0(soc_top_rx_byte_i[0]),
+	.A1(soc_top_iccm_ctrl_data[24]),
+	.S(\soc_top_u_dut/n_53 ),
+	.Y(\soc_top_u_dut/n_83 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5785__5115  (
+	.A0(soc_top_rx_byte_i[1]),
+	.A1(soc_top_iccm_ctrl_data[1]),
+	.S(\soc_top_u_dut/n_54 ),
+	.Y(\soc_top_u_dut/n_82 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5786__7482  (
+	.A0(soc_top_rx_byte_i[1]),
+	.A1(soc_top_iccm_ctrl_data[25]),
+	.S(\soc_top_u_dut/n_53 ),
+	.Y(\soc_top_u_dut/n_81 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5787__4733  (
+	.A0(soc_top_rx_byte_i[2]),
+	.A1(soc_top_iccm_ctrl_data[26]),
+	.S(\soc_top_u_dut/n_53 ),
+	.Y(\soc_top_u_dut/n_80 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5788__6161  (
+	.A0(soc_top_rx_byte_i[3]),
+	.A1(soc_top_iccm_ctrl_data[27]),
+	.S(\soc_top_u_dut/n_53 ),
+	.Y(\soc_top_u_dut/n_79 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5790__9315  (
+	.A0(soc_top_rx_byte_i[7]),
+	.A1(soc_top_iccm_ctrl_data[23]),
+	.S(\soc_top_u_dut/n_56 ),
+	.Y(\soc_top_u_dut/n_78 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5791__9945  (
+	.A0(soc_top_rx_byte_i[6]),
+	.A1(soc_top_iccm_ctrl_data[30]),
+	.S(\soc_top_u_dut/n_53 ),
+	.Y(\soc_top_u_dut/n_77 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5792__2883  (
+	.A0(soc_top_rx_byte_i[0]),
+	.A1(soc_top_iccm_ctrl_data[16]),
+	.S(\soc_top_u_dut/n_56 ),
+	.Y(\soc_top_u_dut/n_76 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5793__2346  (
+	.A0(soc_top_rx_byte_i[1]),
+	.A1(soc_top_iccm_ctrl_data[17]),
+	.S(\soc_top_u_dut/n_56 ),
+	.Y(\soc_top_u_dut/n_75 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5794__1666  (
+	.A0(soc_top_rx_byte_i[2]),
+	.A1(soc_top_iccm_ctrl_data[18]),
+	.S(\soc_top_u_dut/n_56 ),
+	.Y(\soc_top_u_dut/n_74 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5795__7410  (
+	.A0(soc_top_rx_byte_i[3]),
+	.A1(soc_top_iccm_ctrl_data[19]),
+	.S(\soc_top_u_dut/n_56 ),
+	.Y(\soc_top_u_dut/n_73 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5796__6417  (
+	.A0(soc_top_rx_byte_i[4]),
+	.A1(soc_top_iccm_ctrl_data[20]),
+	.S(\soc_top_u_dut/n_56 ),
+	.Y(\soc_top_u_dut/n_72 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5797__5477  (
+	.A0(soc_top_rx_byte_i[5]),
+	.A1(soc_top_iccm_ctrl_data[21]),
+	.S(\soc_top_u_dut/n_56 ),
+	.Y(\soc_top_u_dut/n_71 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5798__2398  (
+	.A0(soc_top_rx_byte_i[6]),
+	.A1(soc_top_iccm_ctrl_data[22]),
+	.S(\soc_top_u_dut/n_56 ),
+	.Y(\soc_top_u_dut/n_70 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5799__5107  (
+	.A0(soc_top_rx_byte_i[5]),
+	.A1(soc_top_iccm_ctrl_data[29]),
+	.S(\soc_top_u_dut/n_53 ),
+	.Y(\soc_top_u_dut/n_69 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5800__6260  (
+	.A0(soc_top_iccm_ctrl_data[8]),
+	.A1(soc_top_rx_byte_i[0]),
+	.S(\soc_top_u_dut/n_55 ),
+	.Y(\soc_top_u_dut/n_68 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5801__4319  (
+	.A0(soc_top_iccm_ctrl_data[10]),
+	.A1(soc_top_rx_byte_i[2]),
+	.S(\soc_top_u_dut/n_55 ),
+	.Y(\soc_top_u_dut/n_67 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5802__8428  (
+	.A0(soc_top_iccm_ctrl_data[12]),
+	.A1(soc_top_rx_byte_i[4]),
+	.S(\soc_top_u_dut/n_55 ),
+	.Y(\soc_top_u_dut/n_66 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5803__5526  (
+	.A0(soc_top_iccm_ctrl_data[14]),
+	.A1(soc_top_rx_byte_i[6]),
+	.S(\soc_top_u_dut/n_55 ),
+	.Y(\soc_top_u_dut/n_65 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5804__6783  (
+	.A0(soc_top_iccm_ctrl_data[9]),
+	.A1(soc_top_rx_byte_i[1]),
+	.S(\soc_top_u_dut/n_55 ),
+	.Y(\soc_top_u_dut/n_64 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5805__3680  (
+	.A0(soc_top_iccm_ctrl_data[11]),
+	.A1(soc_top_rx_byte_i[3]),
+	.S(\soc_top_u_dut/n_55 ),
+	.Y(\soc_top_u_dut/n_63 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5806__1617  (
+	.A0(soc_top_iccm_ctrl_data[15]),
+	.A1(soc_top_rx_byte_i[7]),
+	.S(\soc_top_u_dut/n_55 ),
+	.Y(\soc_top_u_dut/n_62 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5807__2802  (
+	.A0(soc_top_iccm_ctrl_data[13]),
+	.A1(soc_top_rx_byte_i[5]),
+	.S(\soc_top_u_dut/n_55 ),
+	.Y(\soc_top_u_dut/n_61 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_dut/g5808__1705  (
+	.A(soc_top_iccm_ctrl_addr_o[0]),
+	.B(\soc_top_u_dut/n_43 ),
+	.COUT(\soc_top_u_dut/n_59 ),
+	.SUM(\soc_top_u_dut/n_60 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5809__5122  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_50 ),
+	.Y(\soc_top_u_dut/n_58 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5810__8246  (
+	.A0(\soc_top_u_dut/n_44 ),
+	.A1(\soc_top_u_dut/byte_count[1] ),
+	.S(\soc_top_u_dut/n_42 ),
+	.Y(\soc_top_u_dut/n_57 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_dut/g5811__7098  (
+	.A(\soc_top_u_dut/n_49 ),
+	.B(\soc_top_u_dut/byte_count[0] ),
+	.Y(\soc_top_u_dut/n_56 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_dut/g5812__6131  (
+	.A(\soc_top_u_dut/byte_count[0] ),
+	.B(\soc_top_u_dut/n_48 ),
+	.Y(\soc_top_u_dut/n_55 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_dut/g5813__1881  (
+	.A_N(\soc_top_u_dut/n_48 ),
+	.B(\soc_top_u_dut/byte_count[0] ),
+	.Y(\soc_top_u_dut/n_54 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 \soc_top_u_dut/g5814__5115  (
+	.A(soc_top_iccm_ctrl_data[30]),
+	.B(soc_top_iccm_ctrl_data[26]),
+	.C(soc_top_iccm_ctrl_data[25]),
+	.D(soc_top_iccm_ctrl_data[24]),
+	.X(\soc_top_u_dut/n_52 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_u_dut/g5815__7482  (
+	.A(soc_top_iccm_ctrl_data[10]),
+	.B(soc_top_iccm_ctrl_data[8]),
+	.C(soc_top_iccm_ctrl_data[9]),
+	.D(soc_top_iccm_ctrl_data[11]),
+	.Y(\soc_top_u_dut/n_51 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_u_dut/g5816__4733  (
+	.A(\soc_top_u_dut/byte_count[0] ),
+	.B(\soc_top_u_dut/n_42 ),
+	.X(\soc_top_u_dut/n_50 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_dut/g5817__6161  (
+	.A_N(\soc_top_u_dut/byte_count[0] ),
+	.B(\soc_top_u_dut/n_49 ),
+	.Y(\soc_top_u_dut/n_53 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5818__9315  (
+	.A(\soc_top_u_dut/byte_count[1] ),
+	.B(\soc_top_u_dut/n_42 ),
+	.Y(\soc_top_u_dut/n_49 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_dut/g5819__9945  (
+	.A_N(\soc_top_u_dut/n_42 ),
+	.B(\soc_top_u_dut/byte_count[1] ),
+	.Y(\soc_top_u_dut/n_48 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 \soc_top_u_dut/g5820__2883  (
+	.A_N(\soc_top_u_dut/n_43 ),
+	.B(\soc_top_u_dut/n_42 ),
+	.X(\soc_top_u_dut/n_47 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_dut/g5821__2346  (
+	.A(soc_top_rx_byte_i[7]),
+	.B(soc_top_rx_byte_i[5]),
+	.C(soc_top_rx_byte_i[6]),
+	.Y(\soc_top_u_dut/n_45 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_u_dut/g5822__1666  (
+	.A(\soc_top_u_dut/byte_count[1] ),
+	.B(\soc_top_u_dut/byte_count[0] ),
+	.X(\soc_top_u_dut/n_44 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_dut/g5823__7410  (
+	.A1(\soc_top_u_dut/ctrl_fsm_cs[0] ),
+	.A2(\soc_top_u_dut/ctrl_fsm_cs[1] ),
+	.B1(\soc_top_u_dut/n_1 ),
+	.Y(\soc_top_u_dut/n_46 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_dut/g5824__6417  (
+	.A(\soc_top_u_dut/ctrl_fsm_cs[0] ),
+	.B_N(\soc_top_u_dut/ctrl_fsm_cs[1] ),
+	.Y(\soc_top_u_dut/n_43 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_dut/g5825__5477  (
+	.A(soc_top_rx_dv_i),
+	.B(\soc_top_u_dut/n_1 ),
+	.Y(\soc_top_u_dut/n_41 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_dut/g5826__2398  (
+	.A_N(\soc_top_u_dut/ctrl_fsm_cs[1] ),
+	.B(\soc_top_u_dut/ctrl_fsm_cs[0] ),
+	.Y(\soc_top_u_dut/n_42 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_dut/g5866  (
+	.A(io_in[7]),
+	.Y(\soc_top_u_dut/n_1 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_dut/g5867  (
+	.A(wb_rst_i),
+	.Y(\soc_top_u_dut/n_0 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_spi_host_spi_host_shift/FE_DBTC121_soc_top_u_spi_host_spi_host_tip  (
+	.A(soc_top_u_spi_host_spi_host_tip),
+	.Y(FE_DBTN121_soc_top_u_spi_host_spi_host_tip), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_spi_host_spi_host_shift/g5244  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_295 ),
+	.Y(soc_top_u_spi_host_spi_host_last_bit), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 \soc_top_u_spi_host_spi_host_shift/g5274__5107  (
+	.A(\soc_top_u_spi_host_spi_host_shift/cnt[5] ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_292 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_295 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \soc_top_u_spi_host_spi_host_shift/g5275__6260  (
+	.A(\soc_top_u_spi_host_spi_host_shift/cnt[4] ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_294 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_292 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \soc_top_u_spi_host_spi_host_shift/g5276__4319  (
+	.A(\soc_top_u_spi_host_spi_host_shift/cnt[3] ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_291 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_294 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 \soc_top_u_spi_host_spi_host_shift/g5277__8428  (
+	.A(\soc_top_u_spi_host_spi_host_shift/cnt[2] ),
+	.B(\soc_top_u_spi_host_spi_host_shift/cnt[1] ),
+	.C(\soc_top_u_spi_host_spi_host_shift/cnt[0] ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_291 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \soc_top_u_spi_host_spi_host_shift/g5278__5526  (
+	.A(\soc_top_u_spi_host_spi_host_shift/cnt[0] ),
+	.B(\soc_top_u_spi_host_spi_host_shift/cnt[1] ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_293 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_spi_host_spi_host_shift/cnt_reg[0]  (
+	.CLK(CTS_152),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_63 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/cnt[0] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_spi_host_spi_host_shift/cnt_reg[1]  (
+	.CLK(CTS_152),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_101 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/cnt[1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_spi_host_spi_host_shift/cnt_reg[2]  (
+	.CLK(CTS_51),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_102 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/cnt[2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_spi_host_spi_host_shift/cnt_reg[3]  (
+	.CLK(CTS_51),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_100 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/cnt[3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_spi_host_spi_host_shift/cnt_reg[4]  (
+	.CLK(CTS_128),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_103 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/cnt[4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_spi_host_shift/cnt_reg[5]  (
+	.CLK(CTS_152),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_64 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/cnt[5] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_spi_host_spi_host_shift/n_41 ),
+	.SCE(soc_top_u_spi_host_spi_host_tip), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[0]  (
+	.CLK(CTS_152),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_105 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[0] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[1]  (
+	.CLK(CTS_152),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_84 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[1] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[2]  (
+	.CLK(CTS_152),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_80 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[2] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[3]  (
+	.CLK(CTS_152),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_81 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[3] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[4]  (
+	.CLK(CTS_152),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_79 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[4] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[5]  (
+	.CLK(CTS_151),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_82 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[5] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[6]  (
+	.CLK(CTS_151),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_83 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[6] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[7]  (
+	.CLK(CTS_152),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_96 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[7] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[8]  (
+	.CLK(CTS_152),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_78 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[8] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[9]  (
+	.CLK(CTS_152),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_104 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[9] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[10]  (
+	.CLK(CTS_152),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_77 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[10] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[11]  (
+	.CLK(CTS_152),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_76 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[11] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[12]  (
+	.CLK(CTS_152),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_75 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[12] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[13]  (
+	.CLK(CTS_152),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_74 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[13] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[14]  (
+	.CLK(CTS_152),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_73 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[14] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[15]  (
+	.CLK(CTS_152),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_72 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[15] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[16]  (
+	.CLK(CTS_152),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_71 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[16] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[17]  (
+	.CLK(CTS_152),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_70 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[17] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[18]  (
+	.CLK(CTS_152),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_69 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[18] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[19]  (
+	.CLK(CTS_12),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_99 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[19] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[20]  (
+	.CLK(CTS_152),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_98 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[20] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[21]  (
+	.CLK(CTS_152),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_97 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[21] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[22]  (
+	.CLK(CTS_152),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_95 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[22] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[23]  (
+	.CLK(CTS_12),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_94 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[23] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[24]  (
+	.CLK(CTS_51),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_93 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[24] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[25]  (
+	.CLK(CTS_12),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_92 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[25] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[26]  (
+	.CLK(CTS_12),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_91 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[26] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[27]  (
+	.CLK(CTS_12),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_90 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[27] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[28]  (
+	.CLK(CTS_12),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_89 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[28] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[29]  (
+	.CLK(CTS_12),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_106 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[29] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[30]  (
+	.CLK(CTS_12),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_66 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[30] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[31]  (
+	.CLK(CTS_12),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_85 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[31] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[0]  (
+	.CLK(CTS_51),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_288 ),
+	.Q(soc_top_u_spi_host_spi_host_rx[0]),
+	.SCD(soc_top_u_spi_host_spi_host_rx[0]),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_258 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[1]  (
+	.CLK(CTS_51),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_288 ),
+	.Q(soc_top_u_spi_host_spi_host_rx[1]),
+	.SCD(soc_top_u_spi_host_spi_host_rx[1]),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_253 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[2]  (
+	.CLK(CTS_51),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_288 ),
+	.Q(soc_top_u_spi_host_spi_host_rx[2]),
+	.SCD(soc_top_u_spi_host_spi_host_rx[2]),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_261 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[3]  (
+	.CLK(CTS_51),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_288 ),
+	.Q(soc_top_u_spi_host_spi_host_rx[3]),
+	.SCD(soc_top_u_spi_host_spi_host_rx[3]),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_269 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[4]  (
+	.CLK(CTS_51),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_288 ),
+	.Q(soc_top_u_spi_host_spi_host_rx[4]),
+	.SCD(soc_top_u_spi_host_spi_host_rx[4]),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_243 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[5]  (
+	.CLK(CTS_51),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_288 ),
+	.Q(soc_top_u_spi_host_spi_host_rx[5]),
+	.SCD(soc_top_u_spi_host_spi_host_rx[5]),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_237 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[6]  (
+	.CLK(CTS_51),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_288 ),
+	.Q(soc_top_u_spi_host_spi_host_rx[6]),
+	.SCD(soc_top_u_spi_host_spi_host_rx[6]),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_268 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[7]  (
+	.CLK(CTS_51),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_288 ),
+	.Q(soc_top_u_spi_host_spi_host_rx[7]),
+	.SCD(soc_top_u_spi_host_spi_host_rx[7]),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_267 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[8]  (
+	.CLK(CTS_51),
+	.D(soc_top_u_spi_host_spi_host_rx[8]),
+	.Q(soc_top_u_spi_host_spi_host_rx[8]),
+	.SCD(\soc_top_u_spi_host_spi_host_shift/n_288 ),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_244 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[9]  (
+	.CLK(CTS_51),
+	.D(soc_top_u_spi_host_spi_host_rx[9]),
+	.Q(soc_top_u_spi_host_spi_host_rx[9]),
+	.SCD(\soc_top_u_spi_host_spi_host_shift/n_288 ),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_238 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[10]  (
+	.CLK(CTS_51),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_288 ),
+	.Q(soc_top_u_spi_host_spi_host_rx[10]),
+	.SCD(soc_top_u_spi_host_spi_host_rx[10]),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_242 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[11]  (
+	.CLK(CTS_51),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_288 ),
+	.Q(soc_top_u_spi_host_spi_host_rx[11]),
+	.SCD(soc_top_u_spi_host_spi_host_rx[11]),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_241 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[12]  (
+	.CLK(CTS_51),
+	.D(soc_top_u_spi_host_spi_host_rx[12]),
+	.Q(soc_top_u_spi_host_spi_host_rx[12]),
+	.SCD(\soc_top_u_spi_host_spi_host_shift/n_288 ),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_266 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[13]  (
+	.CLK(CTS_51),
+	.D(soc_top_u_spi_host_spi_host_rx[13]),
+	.Q(soc_top_u_spi_host_spi_host_rx[13]),
+	.SCD(\soc_top_u_spi_host_spi_host_shift/n_288 ),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_265 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[14]  (
+	.CLK(CTS_51),
+	.D(soc_top_u_spi_host_spi_host_rx[14]),
+	.Q(soc_top_u_spi_host_spi_host_rx[14]),
+	.SCD(\soc_top_u_spi_host_spi_host_shift/n_288 ),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_264 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[15]  (
+	.CLK(CTS_51),
+	.D(soc_top_u_spi_host_spi_host_rx[15]),
+	.Q(soc_top_u_spi_host_spi_host_rx[15]),
+	.SCD(\soc_top_u_spi_host_spi_host_shift/n_288 ),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_263 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[16]  (
+	.CLK(CTS_51),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_288 ),
+	.Q(soc_top_u_spi_host_spi_host_rx[16]),
+	.SCD(soc_top_u_spi_host_spi_host_rx[16]),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_262 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[17]  (
+	.CLK(CTS_51),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_288 ),
+	.Q(soc_top_u_spi_host_spi_host_rx[17]),
+	.SCD(soc_top_u_spi_host_spi_host_rx[17]),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_270 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[18]  (
+	.CLK(CTS_51),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_288 ),
+	.Q(soc_top_u_spi_host_spi_host_rx[18]),
+	.SCD(soc_top_u_spi_host_spi_host_rx[18]),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_260 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[19]  (
+	.CLK(CTS_51),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_288 ),
+	.Q(soc_top_u_spi_host_spi_host_rx[19]),
+	.SCD(soc_top_u_spi_host_spi_host_rx[19]),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_259 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[20]  (
+	.CLK(CTS_51),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_288 ),
+	.Q(soc_top_u_spi_host_spi_host_rx[20]),
+	.SCD(soc_top_u_spi_host_spi_host_rx[20]),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_236 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[21]  (
+	.CLK(CTS_51),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_288 ),
+	.Q(soc_top_u_spi_host_spi_host_rx[21]),
+	.SCD(soc_top_u_spi_host_spi_host_rx[21]),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_235 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[22]  (
+	.CLK(CTS_51),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_288 ),
+	.Q(soc_top_u_spi_host_spi_host_rx[22]),
+	.SCD(soc_top_u_spi_host_spi_host_rx[22]),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_234 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[23]  (
+	.CLK(CTS_51),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_288 ),
+	.Q(soc_top_u_spi_host_spi_host_rx[23]),
+	.SCD(soc_top_u_spi_host_spi_host_rx[23]),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_247 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[24]  (
+	.CLK(CTS_51),
+	.D(soc_top_u_spi_host_spi_host_rx[24]),
+	.Q(soc_top_u_spi_host_spi_host_rx[24]),
+	.SCD(\soc_top_u_spi_host_spi_host_shift/n_288 ),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_246 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[25]  (
+	.CLK(CTS_51),
+	.D(soc_top_u_spi_host_spi_host_rx[25]),
+	.Q(soc_top_u_spi_host_spi_host_rx[25]),
+	.SCD(\soc_top_u_spi_host_spi_host_shift/n_288 ),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_245 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[26]  (
+	.CLK(CTS_51),
+	.D(soc_top_u_spi_host_spi_host_rx[26]),
+	.Q(soc_top_u_spi_host_spi_host_rx[26]),
+	.SCD(\soc_top_u_spi_host_spi_host_shift/n_288 ),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_240 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[27]  (
+	.CLK(CTS_51),
+	.D(soc_top_u_spi_host_spi_host_rx[27]),
+	.Q(soc_top_u_spi_host_spi_host_rx[27]),
+	.SCD(\soc_top_u_spi_host_spi_host_shift/n_288 ),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_239 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[28]  (
+	.CLK(CTS_51),
+	.D(soc_top_u_spi_host_spi_host_rx[28]),
+	.Q(soc_top_u_spi_host_spi_host_rx[28]),
+	.SCD(\soc_top_u_spi_host_spi_host_shift/n_288 ),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_257 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[29]  (
+	.CLK(CTS_51),
+	.D(soc_top_u_spi_host_spi_host_rx[29]),
+	.Q(soc_top_u_spi_host_spi_host_rx[29]),
+	.SCD(\soc_top_u_spi_host_spi_host_shift/n_288 ),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_256 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[30]  (
+	.CLK(CTS_51),
+	.D(soc_top_u_spi_host_spi_host_rx[30]),
+	.Q(soc_top_u_spi_host_spi_host_rx[30]),
+	.SCD(\soc_top_u_spi_host_spi_host_shift/n_288 ),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_255 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[31]  (
+	.CLK(CTS_51),
+	.D(soc_top_u_spi_host_spi_host_rx[31]),
+	.Q(soc_top_u_spi_host_spi_host_rx[31]),
+	.SCD(\soc_top_u_spi_host_spi_host_shift/n_288 ),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_254 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_spi_host_spi_host_shift/s_out_reg  (
+	.CLK(CTS_152),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_289 ),
+	.Q(sd_o),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_spi_host_shift/tip_reg  (
+	.CLK(CTS_152),
+	.D(soc_top_u_spi_host_spi_host_tip),
+	.Q(soc_top_u_spi_host_spi_host_tip),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_spi_host_spi_host_shift/n_12 ),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_40 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a311o_1 \soc_top_u_spi_host_spi_host_shift/g9777__6783  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_149 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_133 ),
+	.A3(\soc_top_u_spi_host_spi_host_shift/data[4] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_156 ),
+	.C1(\soc_top_u_spi_host_spi_host_shift/n_287 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_289 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2111o_1 \soc_top_u_spi_host_spi_host_shift/g9778__3680  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_213 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_349 ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_280 ),
+	.C1(\soc_top_u_spi_host_spi_host_shift/n_285 ),
+	.D1(\soc_top_u_spi_host_spi_host_shift/n_286 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_288 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_u_spi_host_spi_host_shift/g9779__1617  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_283 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_279 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/n_219 ),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_278 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_287 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_spi_host_spi_host_shift/g9780__2802  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_228 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_251 ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_230 ),
+	.B2(\soc_top_u_spi_host_spi_host_shift/n_250 ),
+	.C1(\soc_top_u_spi_host_spi_host_shift/n_284 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_286 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_spi_host_spi_host_shift/g9781__1705  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_276 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_193 ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_277 ),
+	.B2(\soc_top_u_spi_host_spi_host_shift/n_194 ),
+	.C1(\soc_top_u_spi_host_spi_host_shift/n_282 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_285 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 \soc_top_u_spi_host_spi_host_shift/g9782__5122  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_271 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_272 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/n_275 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_284 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_spi_host_spi_host_shift/g9783__8246  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_148 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_133 ),
+	.A3(\soc_top_u_spi_host_spi_host_shift/data[22] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_281 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_283 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_spi_host_spi_host_shift/g9784__7098  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_191 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_274 ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_189 ),
+	.B2(\soc_top_u_spi_host_spi_host_shift/n_273 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_282 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_u_spi_host_spi_host_shift/g9785__6131  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_249 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_248 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/n_173 ),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_198 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_281 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_spi_host_spi_host_shift/g9786__1881  (
+	.A1_N(io_in[2]),
+	.A2_N(\soc_top_u_spi_host_spi_host_shift/n_59 ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_233 ),
+	.B2(\soc_top_u_spi_host_spi_host_shift/n_214 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_280 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a311oi_1 \soc_top_u_spi_host_spi_host_shift/g9787__5115  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_146 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_136 ),
+	.A3(\soc_top_u_spi_host_spi_host_shift/data[11] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_217 ),
+	.C1(\soc_top_u_spi_host_spi_host_shift/n_218 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_279 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a311oi_1 \soc_top_u_spi_host_spi_host_shift/g9788__7482  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_149 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_134 ),
+	.A3(\soc_top_u_spi_host_spi_host_shift/data[5] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_170 ),
+	.C1(\soc_top_u_spi_host_spi_host_shift/n_252 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_278 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g9789__4733  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_229 ),
+	.A2(soc_top_u_spi_host_spi_host_rx[5]),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_231 ),
+	.B2(soc_top_u_spi_host_spi_host_rx[7]),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_277 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g9790__6161  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_229 ),
+	.A2(soc_top_u_spi_host_spi_host_rx[4]),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_231 ),
+	.B2(soc_top_u_spi_host_spi_host_rx[6]),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_276 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_spi_host_spi_host_shift/g9791__9315  (
+	.A1_N(\soc_top_u_spi_host_spi_host_shift/n_349 ),
+	.A2_N(\soc_top_u_spi_host_spi_host_shift/n_216 ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_233 ),
+	.B2(\soc_top_u_spi_host_spi_host_shift/n_209 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_275 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_spi_host_spi_host_shift/g9792__9945  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_229 ),
+	.A2(soc_top_u_spi_host_spi_host_rx[0]),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_231 ),
+	.B2(soc_top_u_spi_host_spi_host_rx[2]),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_274 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_spi_host_spi_host_shift/g9793__2883  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_229 ),
+	.A2(soc_top_u_spi_host_spi_host_rx[1]),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_231 ),
+	.B2(soc_top_u_spi_host_spi_host_rx[3]),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_273 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_spi_host_spi_host_shift/g9794__2346  (
+	.A1_N(\soc_top_u_spi_host_spi_host_shift/n_349 ),
+	.A2_N(\soc_top_u_spi_host_spi_host_shift/n_207 ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_233 ),
+	.B2(\soc_top_u_spi_host_spi_host_shift/n_208 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_272 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_spi_host_spi_host_shift/g9795__1666  (
+	.A1_N(\soc_top_u_spi_host_spi_host_shift/n_349 ),
+	.A2_N(\soc_top_u_spi_host_spi_host_shift/n_351 ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_233 ),
+	.B2(\soc_top_u_spi_host_spi_host_shift/n_206 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_271 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g9796__7410  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_180 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_222 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_270 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g9797__6417  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_225 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_180 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_269 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g9798__5477  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_225 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_186 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_268 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g9799__2398  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_225 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_175 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_267 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g9800__5107  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_185 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_350 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_266 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g9801__6260  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_187 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_350 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_265 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g9802__4319  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_185 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_224 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_264 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g9803__8428  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_187 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_224 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_263 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g9804__5526  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_182 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_222 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_262 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g9805__6783  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_225 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_182 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_261 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g9806__3680  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_182 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_226 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_260 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g9807__1617  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_180 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_226 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_259 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g9808__2802  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_220 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_182 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_258 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g9809__1705  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_223 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_185 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_257 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g9810__5122  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_223 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_187 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_256 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g9811__8246  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_227 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_185 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_255 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g9812__7098  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_227 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_187 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_254 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g9813__6131  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_220 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_180 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_253 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_spi_host_spi_host_shift/g9814__1881  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_154 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_149 ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_62 ),
+	.B2(sd_o),
+	.C1(\soc_top_u_spi_host_spi_host_shift/n_157 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_252 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_spi_host_spi_host_shift/g9815__5115  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_181 ),
+	.A2(soc_top_u_spi_host_spi_host_rx[8]),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_188 ),
+	.B2(soc_top_u_spi_host_spi_host_rx[9]),
+	.C1(\soc_top_u_spi_host_spi_host_shift/n_211 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_251 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_spi_host_spi_host_shift/g9816__7482  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_181 ),
+	.A2(soc_top_u_spi_host_spi_host_rx[10]),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_188 ),
+	.B2(soc_top_u_spi_host_spi_host_rx[11]),
+	.C1(\soc_top_u_spi_host_spi_host_shift/n_212 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_250 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_spi_host_spi_host_shift/g9817__4733  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_145 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_136 ),
+	.A3(\soc_top_u_spi_host_spi_host_shift/data[25] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_210 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_249 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_spi_host_spi_host_shift/g9818__6161  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_145 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_140 ),
+	.A3(\soc_top_u_spi_host_spi_host_shift/data[17] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_215 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_248 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g9819__9315  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_175 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_226 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_247 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g9820__9945  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_223 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_177 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_246 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g9821__2883  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_223 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_179 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_245 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g9822__2346  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_177 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_350 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_244 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g9823__1666  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_220 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_186 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_243 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g9824__7410  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_225 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_176 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_242 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g9825__6417  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_225 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_178 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_241 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g9826__5477  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_227 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_177 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_240 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g9827__2398  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_227 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_179 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_239 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g9828__5107  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_179 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_350 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_238 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g9829__6260  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_220 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_175 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_237 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g9830__4319  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_186 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_222 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_236 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g9831__8428  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_175 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_222 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_235 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g9832__5526  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_186 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_226 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_234 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_spi_host_spi_host_shift/g9833  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_231 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_230 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_spi_host_spi_host_shift/g9834  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_229 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_228 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_spi_host_spi_host_shift/g9835  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_227 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_226 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_spi_host_spi_host_shift/g9836  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_225 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_224 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_spi_host_spi_host_shift/g9837  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_223 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_222 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_spi_host_spi_host_shift/g9838  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_350 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_220 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a311oi_1 \soc_top_u_spi_host_spi_host_shift/g9839__6783  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_148 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_140 ),
+	.A3(\soc_top_u_spi_host_spi_host_shift/data[19] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_163 ),
+	.C1(\soc_top_u_spi_host_spi_host_shift/n_171 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_219 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_u_spi_host_spi_host_shift/g9840__3680  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_166 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_164 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/n_167 ),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_165 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_218 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a311o_1 \soc_top_u_spi_host_spi_host_shift/g9841__1617  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_146 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_134 ),
+	.A3(\soc_top_u_spi_host_spi_host_shift/data[7] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_168 ),
+	.C1(\soc_top_u_spi_host_spi_host_shift/n_169 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_217 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g9842__2802  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_203 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_119 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_233 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g9844__1705  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_119 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_199 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_231 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g9845__5122  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_118 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_199 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_229 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g9846__8246  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_200 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_118 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_227 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g9847__7098  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_119 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_201 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_225 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g9848__6131  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_200 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_119 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_223 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g9850__1881  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_183 ),
+	.A2(soc_top_u_spi_host_spi_host_rx[30]),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_184 ),
+	.B2(soc_top_u_spi_host_spi_host_rx[31]),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_216 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_spi_host_spi_host_shift/g9851__5115  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_147 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_155 ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_195 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_215 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_spi_host_spi_host_shift/g9852__7482  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_192 ),
+	.A2(soc_top_u_spi_host_spi_host_rx[16]),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_190 ),
+	.B2(soc_top_u_spi_host_spi_host_rx[17]),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_214 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g9853__4733  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_193 ),
+	.A2(soc_top_u_spi_host_spi_host_rx[22]),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_194 ),
+	.B2(soc_top_u_spi_host_spi_host_rx[23]),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_213 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g9854__6161  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_183 ),
+	.A2(soc_top_u_spi_host_spi_host_rx[14]),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_184 ),
+	.B2(soc_top_u_spi_host_spi_host_rx[15]),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_212 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g9855__9315  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_183 ),
+	.A2(soc_top_u_spi_host_spi_host_rx[12]),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_184 ),
+	.B2(soc_top_u_spi_host_spi_host_rx[13]),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_211 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_u_spi_host_spi_host_shift/g9856__9945  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_160 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_159 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/n_162 ),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_174 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_210 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_spi_host_spi_host_shift/g9857__2883  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_181 ),
+	.A2(soc_top_u_spi_host_spi_host_rx[24]),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_188 ),
+	.B2(soc_top_u_spi_host_spi_host_rx[25]),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_209 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_spi_host_spi_host_shift/g9858__2346  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_183 ),
+	.A2(soc_top_u_spi_host_spi_host_rx[28]),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_184 ),
+	.B2(soc_top_u_spi_host_spi_host_rx[29]),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_208 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g9859__1666  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_181 ),
+	.A2(soc_top_u_spi_host_spi_host_rx[26]),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_188 ),
+	.B2(soc_top_u_spi_host_spi_host_rx[27]),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_207 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_spi_host_spi_host_shift/g9860__7410  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_193 ),
+	.A2(soc_top_u_spi_host_spi_host_rx[20]),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_194 ),
+	.B2(soc_top_u_spi_host_spi_host_rx[21]),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_206 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g9864__6417  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_59 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_352 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_203 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_spi_host_spi_host_shift/g9865__5477  (
+	.A_N(\soc_top_u_spi_host_spi_host_shift/n_14 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_352 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_201 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g9866__2398  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_14 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_352 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_200 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_spi_host_spi_host_shift/g9867__5107  (
+	.A_N(\soc_top_u_spi_host_spi_host_shift/n_59 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_352 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_199 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_spi_host_spi_host_shift/g9868__6260  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_148 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_143 ),
+	.A3(\soc_top_u_spi_host_spi_host_shift/data[30] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_161 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_198 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_spi_host_spi_host_shift/g9871__4319  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_146 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_141 ),
+	.A3(\soc_top_u_spi_host_spi_host_shift/data[15] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_158 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_195 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_spi_host_spi_host_shift/g9872  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_192 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_191 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_spi_host_spi_host_shift/g9873  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_190 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_189 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_spi_host_spi_host_shift/g9874  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_178 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_179 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_spi_host_spi_host_shift/g9875  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_176 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_177 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_spi_host_spi_host_shift/g9876__8428  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_148 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_136 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/data[27] ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_174 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_spi_host_spi_host_shift/g9877__5526  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_148 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_135 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/data[26] ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_173 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g9878__6783  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_125 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_152 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_194 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g9879__3680  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_125 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_151 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_193 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g9880__1617  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_124 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_151 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_192 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g9881__2802  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_124 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_152 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_190 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_spi_host_spi_host_shift/g9882__1705  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_153 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_125 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_188 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g9883__5122  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_153 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_138 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_187 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g9884__8246  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_137 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_151 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_186 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g9885__7098  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_150 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_138 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_185 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_spi_host_spi_host_shift/g9886__6131  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_125 ),
+	.B_N(\soc_top_u_spi_host_spi_host_shift/n_153 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_184 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_spi_host_spi_host_shift/g9887__1881  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_125 ),
+	.B_N(\soc_top_u_spi_host_spi_host_shift/n_150 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_183 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g9888__5115  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_142 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_151 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_182 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_spi_host_spi_host_shift/g9889__7482  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_150 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_125 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_181 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g9890__4733  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_142 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_152 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_180 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_spi_host_spi_host_shift/g9891__6161  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_142 ),
+	.B_N(\soc_top_u_spi_host_spi_host_shift/n_153 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_178 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_spi_host_spi_host_shift/g9892__9315  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_142 ),
+	.B_N(\soc_top_u_spi_host_spi_host_shift/n_150 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_176 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g9893__9945  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_137 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_152 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_175 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_spi_host_spi_host_shift/g9894__2883  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_145 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_133 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/data[20] ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_171 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_spi_host_spi_host_shift/g9895__2346  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_146 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_133 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/data[6] ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_170 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_spi_host_spi_host_shift/g9896__1666  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_149 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_143 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/data[12] ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_169 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_spi_host_spi_host_shift/g9897__7410  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_149 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_135 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/data[8] ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_168 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_spi_host_spi_host_shift/g9898__6417  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_146 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_143 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/data[14] ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_167 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_spi_host_spi_host_shift/g9899__5477  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_149 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_141 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/data[13] ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_166 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_spi_host_spi_host_shift/g9900__2398  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_146 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_135 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/data[10] ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_165 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_spi_host_spi_host_shift/g9901__5107  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_149 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_136 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/data[9] ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_164 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_spi_host_spi_host_shift/g9902__6260  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_145 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_134 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/data[21] ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_163 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_spi_host_spi_host_shift/g9903__4319  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_148 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_134 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/data[23] ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_162 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_spi_host_spi_host_shift/g9904__8428  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_145 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_141 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/data[29] ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_161 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_spi_host_spi_host_shift/g9905__5526  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_145 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_143 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/data[28] ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_160 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_spi_host_spi_host_shift/g9906__6783  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_145 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_135 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/data[24] ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_159 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_spi_host_spi_host_shift/g9907__3680  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_145 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_139 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/data[16] ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_158 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_spi_host_spi_host_shift/g9908__1617  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_146 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_139 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/data[2] ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_157 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_spi_host_spi_host_shift/g9909__2802  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_146 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_140 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/data[3] ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_156 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_spi_host_spi_host_shift/g9910__1705  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_139 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/data[18] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_141 ),
+	.B2(\soc_top_u_spi_host_spi_host_shift/data[31] ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_155 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g9911__5122  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_139 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/data[0] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_140 ),
+	.B2(\soc_top_u_spi_host_spi_host_shift/data[1] ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_154 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g9913__8246  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_86 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_132 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_153 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g9914__7098  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_132 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_87 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_152 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g9915__6131  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_132 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_86 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_151 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g9916__1881  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_87 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_132 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_150 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_spi_host_spi_host_shift/g9917  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_148 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_147 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_spi_host_spi_host_shift/g9919__5115  (
+	.A_N(\soc_top_u_spi_host_spi_host_shift/n_62 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_127 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/n_109 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_149 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_spi_host_spi_host_shift/g9920__7482  (
+	.A_N(\soc_top_u_spi_host_spi_host_shift/n_62 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_126 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/n_110 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_148 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_spi_host_spi_host_shift/g9921__4733  (
+	.A_N(\soc_top_u_spi_host_spi_host_shift/n_62 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_127 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/n_110 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_146 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_spi_host_spi_host_shift/g9922__6161  (
+	.A_N(\soc_top_u_spi_host_spi_host_shift/n_62 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_126 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/n_109 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_145 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g9923__9315  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_43 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_130 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_143 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g9924__9945  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_125 ),
+	.B(soc_top_system_rst_ni),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_142 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g9925__2883  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_42 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_130 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_141 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_spi_host_spi_host_shift/g9926__2346  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_131 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_43 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_140 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_spi_host_spi_host_shift/g9927__1666  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_43 ),
+	.B_N(\soc_top_u_spi_host_spi_host_shift/n_131 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_139 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_spi_host_spi_host_shift/g9928  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_137 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_138 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g9929__7410  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_124 ),
+	.B(soc_top_system_rst_ni),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_137 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g9930__6417  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_42 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_129 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_136 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g9931__5477  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_43 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_129 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_135 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g9932__2398  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_42 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_128 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_134 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g9933__5107  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_43 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_128 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_133 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_spi_host_spi_host_shift/g9934__6260  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_121 ),
+	.A2(soc_top_u_spi_host_spi_host_ctrl[11]),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_61 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_132 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g9935__4319  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_115 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_122 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_131 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g9936__8428  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_122 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_115 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_130 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g9937__5526  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_122 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_114 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_129 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_spi_host_spi_host_shift/g9938  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_127 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_126 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_spi_host_spi_host_shift/g9939  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_125 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_124 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_spi_host_spi_host_shift/g9940__6783  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_27 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_120 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_123 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 \soc_top_u_spi_host_spi_host_shift/g9941__3680  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_114 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_122 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_128 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_spi_host_spi_host_shift/g9942__1617  (
+	.A0(\soc_top_u_spi_host_spi_host_shift/n_31 ),
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_117 ),
+	.S(soc_top_u_spi_host_spi_host_ctrl[11]),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_127 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_2 \soc_top_u_spi_host_spi_host_shift/g9943__2802  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_20 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_29 ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_1 ),
+	.B2(\soc_top_u_spi_host_spi_host_shift/n_113 ),
+	.C1(\soc_top_u_spi_host_spi_host_shift/n_35 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_125 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_spi_host_spi_host_shift/g9944__1705  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_1 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_112 ),
+	.B1(soc_top_u_spi_host_spi_host_ctrl[11]),
+	.B2(\soc_top_u_spi_host_spi_host_shift/n_30 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_122 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_spi_host_spi_host_shift/g9945__5122  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_26 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_116 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_121 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_spi_host_spi_host_shift/g9946__8246  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_111 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_11 ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_50 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_120 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_spi_host_spi_host_shift/g9947  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_119 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_118 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_u_spi_host_spi_host_shift/g9948__7098  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_27 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_108 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_117 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_2 \soc_top_u_spi_host_spi_host_shift/g9949__6131  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_20 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_32 ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_1 ),
+	.B2(\soc_top_u_spi_host_spi_host_shift/n_68 ),
+	.C1(\soc_top_u_spi_host_spi_host_shift/n_33 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_119 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g9950__1881  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_18 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_111 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_116 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_spi_host_spi_host_shift/g9952  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_115 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_114 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_spi_host_spi_host_shift/g9953__5115  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_38 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_107 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_113 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_spi_host_spi_host_shift/g9954__7482  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_26 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_88 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_112 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_spi_host_spi_host_shift/g9955__4733  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_1 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_67 ),
+	.B1(soc_top_u_spi_host_spi_host_ctrl[11]),
+	.B2(\soc_top_u_spi_host_spi_host_shift/n_29 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_115 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_spi_host_spi_host_shift/g9976  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_110 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_109 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g9994__6161  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_23 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_107 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_111 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \soc_top_u_spi_host_spi_host_shift/g9995__9315  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_65 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_11 ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_50 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_108 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_spi_host_spi_host_shift/g9996__9945  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_1 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_60 ),
+	.B1(soc_top_u_spi_host_spi_host_ctrl[11]),
+	.B2(\soc_top_u_spi_host_spi_host_shift/n_32 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_110 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g9997__2883  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_45 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/data[29] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_56 ),
+	.B2(\soc_top_xbar_to_timer[a_data] [29]),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_106 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g9998__2346  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_46 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/data[0] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_55 ),
+	.B2(n_84419),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_105 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g9999__1666  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_47 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/data[9] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_58 ),
+	.B2(n_72528),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_104 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_spi_host_spi_host_shift/g10000__7410  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_9 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/cnt[4] ),
+	.B1(soc_top_u_spi_host_spi_host_ctrl[4]),
+	.B2(FE_DBTN121_soc_top_u_spi_host_spi_host_tip),
+	.C1(\soc_top_u_spi_host_spi_host_shift/n_52 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_103 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_spi_host_spi_host_shift/g10001__6417  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_9 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/cnt[2] ),
+	.B1(soc_top_u_spi_host_spi_host_ctrl[2]),
+	.B2(FE_DBTN121_soc_top_u_spi_host_spi_host_tip),
+	.C1(\soc_top_u_spi_host_spi_host_shift/n_53 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_102 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_spi_host_spi_host_shift/g10002__5477  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_9 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/cnt[1] ),
+	.B1(soc_top_u_spi_host_spi_host_ctrl[1]),
+	.B2(FE_DBTN121_soc_top_u_spi_host_spi_host_tip),
+	.C1(\soc_top_u_spi_host_spi_host_shift/n_51 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_101 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_spi_host_spi_host_shift/g10003__2398  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_9 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/cnt[3] ),
+	.B1(soc_top_u_spi_host_spi_host_ctrl[3]),
+	.B2(FE_DBTN121_soc_top_u_spi_host_spi_host_tip),
+	.C1(\soc_top_u_spi_host_spi_host_shift/n_54 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_100 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g10004__5107  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_44 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/data[19] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_57 ),
+	.B2(n_84182),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_99 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g10005__6260  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_44 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/data[20] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_57 ),
+	.B2(\soc_top_xbar_to_timer[a_data] [20]),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_98 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g10006__4319  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_44 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/data[21] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_57 ),
+	.B2(\soc_top_xbar_to_timer[a_data] [21]),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_97 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g10007__8428  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_46 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/data[7] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_55 ),
+	.B2(n_70004),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_96 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g10008__5526  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_44 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/data[22] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_57 ),
+	.B2(n_72887),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_95 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g10009__6783  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_44 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/data[23] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_57 ),
+	.B2(n_74743),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_94 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g10010__3680  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_45 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/data[24] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_56 ),
+	.B2(n_68334),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_93 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g10011__1617  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_45 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/data[25] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_56 ),
+	.B2(n_68259),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_92 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g10012__2802  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_45 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/data[26] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_56 ),
+	.B2(n_68466),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_91 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g10013__1705  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_45 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/data[27] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_56 ),
+	.B2(\soc_top_xbar_to_timer[a_data] [27]),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_90 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g10014__5122  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_45 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/data[28] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_56 ),
+	.B2(\soc_top_xbar_to_timer[a_data] [28]),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_89 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \soc_top_u_spi_host_spi_host_shift/g10015__8246  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_18 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_65 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_88 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_spi_host_spi_host_shift/g10016__7098  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_49 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_22 ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_21 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_107 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_spi_host_spi_host_shift/g10018  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_87 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_86 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g10019__6131  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_45 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/data[31] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_56 ),
+	.B2(n_68268),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_85 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g10020__1881  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_46 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/data[1] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_55 ),
+	.B2(n_75549),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_84 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g10021__5115  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_46 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/data[6] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_55 ),
+	.B2(n_84431),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_83 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g10022__7482  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_46 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/data[5] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_55 ),
+	.B2(n_84455),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_82 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g10023__4733  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_46 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/data[3] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_55 ),
+	.B2(n_84427),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_81 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g10024__6161  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_46 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/data[2] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_55 ),
+	.B2(n_87578),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_80 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g10025__9315  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_46 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/data[4] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_55 ),
+	.B2(n_70008),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_79 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g10026__9945  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_47 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/data[8] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_58 ),
+	.B2(n_93122),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_78 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g10027__2883  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_47 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/data[10] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_58 ),
+	.B2(n_70064),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_77 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g10028__2346  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_47 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/data[11] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_58 ),
+	.B2(n_69280),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_76 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g10029__1666  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_47 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/data[12] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_58 ),
+	.B2(n_74612),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_75 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g10030__7410  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_47 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/data[13] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_58 ),
+	.B2(n_72176),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_74 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g10031__6417  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_47 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/data[14] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_58 ),
+	.B2(\soc_top_xbar_to_timer[a_data] [14]),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_73 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g10032__5477  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_47 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/data[15] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_58 ),
+	.B2(n_70012),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_72 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g10033__2398  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_44 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/data[16] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_57 ),
+	.B2(n_93141),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_71 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g10034__5107  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_44 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/data[17] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_57 ),
+	.B2(n_72527),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_70 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g10035__6260  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_44 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/data[18] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_57 ),
+	.B2(n_72578),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_69 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_spi_host_spi_host_shift/g10036__4319  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_49 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_28 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_68 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_spi_host_spi_host_shift/g10037__8428  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_38 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_48 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_67 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g10038__5526  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_45 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/data[30] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_56 ),
+	.B2(\soc_top_xbar_to_timer[a_data] [30]),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_66 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_u_spi_host_spi_host_shift/g10039__6783  (
+	.A(soc_top_u_spi_host_spi_host_ctrl[9]),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_43 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_87 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 \soc_top_u_spi_host_spi_host_shift/g10040__3680  (
+	.A(soc_top_u_spi_host_spi_host_ctrl[1]),
+	.B(soc_top_u_spi_host_spi_host_ctrl[0]),
+	.C(\soc_top_u_spi_host_spi_host_shift/n_25 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_64 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_spi_host_spi_host_shift/g10041__1617  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_9 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/cnt[0] ),
+	.B1(soc_top_u_spi_host_spi_host_ctrl[0]),
+	.B2(FE_DBTN121_soc_top_u_spi_host_spi_host_tip),
+	.C1(\soc_top_u_spi_host_spi_host_shift/n_34 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_63 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g10042__2802  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_23 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_48 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_65 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_spi_host_spi_host_shift/g10043__1705  (
+	.A1_N(\soc_top_u_spi_host_spi_host_shift/cnt[3] ),
+	.A2_N(\soc_top_u_spi_host_spi_host_shift/n_10 ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_20 ),
+	.B2(\soc_top_u_spi_host_spi_host_shift/n_30 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_61 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_spi_host_spi_host_shift/g10044__5122  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_17 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_28 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_60 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_spi_host_spi_host_shift/g10045__8246  (
+	.A1_N(soc_top_u_spi_host_spi_host_tip),
+	.A2_N(\soc_top_u_spi_host_spi_host_shift/n_36 ),
+	.B1(soc_top_u_spi_host_spi_host_ctrl[10]),
+	.B2(\soc_top_u_spi_host_spi_host_shift/n_8 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_62 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g10046__7098  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_15 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_30 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_54 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g10047__6131  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_15 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_29 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_53 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_spi_host_spi_host_shift/g10048__1881  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_15 ),
+	.B_N(\soc_top_u_spi_host_spi_host_shift/n_31 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_52 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g10049__5115  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_15 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_32 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_51 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_2 \soc_top_u_spi_host_spi_host_shift/g10050__7482  (
+	.A1(io_out[4]),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_295 ),
+	.B1(soc_top_u_spi_host_spi_host_ctrl[9]),
+	.B2(soc_top_u_spi_host_spi_host_pos_edge),
+	.C1(\soc_top_u_spi_host_spi_host_shift/n_7 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_59 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_spi_host_spi_host_shift/g10051__4733  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_39 ),
+	.B(\soc_top_xbar_to_timer[a_mask] [1]),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_58 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_spi_host_spi_host_shift/g10052__6161  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_39 ),
+	.B(\soc_top_xbar_to_timer[a_mask] [2]),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_57 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_spi_host_spi_host_shift/g10053__9315  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_39 ),
+	.B(\soc_top_xbar_to_timer[a_mask] [3]),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_56 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_spi_host_spi_host_shift/g10054__9945  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_39 ),
+	.B(\soc_top_xbar_to_timer[a_mask] [0]),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_55 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_spi_host_spi_host_shift/g10055  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_43 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_42 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_u_spi_host_spi_host_shift/g10056__2883  (
+	.A(\soc_top_u_spi_host_spi_host_shift/cnt[5] ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_5 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_41 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \soc_top_u_spi_host_spi_host_shift/g10057__2346  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_24 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_18 ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_11 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_50 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 \soc_top_u_spi_host_spi_host_shift/g10058__1666  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_15 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_295 ),
+	.B1_N(\soc_top_u_spi_host_spi_host_shift/n_12 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_40 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_spi_host_spi_host_shift/g10059__7410  (
+	.A1(soc_top_u_spi_host_spi_host_ctrl[9]),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_16 ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_3 ),
+	.B2(\soc_top_u_spi_host_spi_host_shift/cnt[0] ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_49 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_spi_host_spi_host_shift/g10060__6417  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_17 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_22 ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_21 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_48 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_spi_host_spi_host_shift/g10061__5477  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_0 ),
+	.A2(\soc_top_xbar_to_timer[a_mask] [1]),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_37 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_47 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_spi_host_spi_host_shift/g10062__2398  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_0 ),
+	.A2(\soc_top_xbar_to_timer[a_mask] [0]),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_37 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_46 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_spi_host_spi_host_shift/g10063__5107  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_0 ),
+	.A2(\soc_top_xbar_to_timer[a_mask] [3]),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_37 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_45 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_spi_host_spi_host_shift/g10064__6260  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_0 ),
+	.A2(\soc_top_xbar_to_timer[a_mask] [2]),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_37 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_44 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 \soc_top_u_spi_host_spi_host_shift/g10065__4319  (
+	.A1_N(\soc_top_u_spi_host_spi_host_shift/cnt[0] ),
+	.A2_N(\soc_top_u_spi_host_spi_host_shift/n_6 ),
+	.B1(soc_top_u_spi_host_spi_host_ctrl[11]),
+	.B2(\soc_top_u_spi_host_spi_host_shift/n_16 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_43 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_spi_host_spi_host_shift/g10066__8428  (
+	.A1(soc_top_u_spi_host_spi_host_neg_edge),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_4 ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_295 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_36 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g10067__5526  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_10 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/cnt[2] ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_35 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g10068__6783  (
+	.A(\soc_top_u_spi_host_spi_host_shift/cnt[0] ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_15 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_34 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g10069__3680  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_10 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/cnt[1] ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_33 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g10070__1617  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_0 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_13 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_39 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 \soc_top_u_spi_host_spi_host_shift/g10071__2802  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_23 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_18 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_38 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g10072__1705  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_13 ),
+	.B(soc_top_system_rst_ni),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_37 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 \soc_top_u_spi_host_spi_host_shift/g10073__5122  (
+	.A(soc_top_u_spi_host_spi_host_ctrl[3]),
+	.B(soc_top_u_spi_host_spi_host_ctrl[2]),
+	.C(soc_top_u_spi_host_spi_host_ctrl[4]),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_25 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \soc_top_u_spi_host_spi_host_shift/g10074__8246  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/cnt[0] ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/cnt[1] ),
+	.B1_N(\soc_top_u_spi_host_spi_host_shift/n_293 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_32 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 \soc_top_u_spi_host_spi_host_shift/g10075__7098  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_294 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/cnt[4] ),
+	.B1_N(\soc_top_u_spi_host_spi_host_shift/n_292 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_31 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \soc_top_u_spi_host_spi_host_shift/g10076__6131  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_291 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/cnt[3] ),
+	.B1_N(\soc_top_u_spi_host_spi_host_shift/n_294 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_30 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \soc_top_u_spi_host_spi_host_shift/g10077__1881  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_293 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/cnt[2] ),
+	.B1_N(\soc_top_u_spi_host_spi_host_shift/n_291 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_29 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_spi_host_spi_host_shift/g10078__5115  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_21 ),
+	.B_N(\soc_top_u_spi_host_spi_host_shift/n_22 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_28 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_spi_host_spi_host_shift/g10079__7482  (
+	.A(soc_top_u_spi_host_spi_host_ctrl[4]),
+	.B(\soc_top_u_spi_host_spi_host_shift/cnt[4] ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_27 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_spi_host_spi_host_shift/g10080__4733  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_24 ),
+	.B_N(\soc_top_u_spi_host_spi_host_shift/n_11 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_26 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_spi_host_spi_host_shift/g10081  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_19 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_20 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_spi_host_spi_host_shift/g10082  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_17 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_16 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_spi_host_spi_host_shift/g10083__6161  (
+	.A(\soc_top_u_spi_host_spi_host_shift/cnt[3] ),
+	.B_N(soc_top_u_spi_host_spi_host_ctrl[3]),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_24 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_spi_host_spi_host_shift/g10084__9315  (
+	.A(soc_top_u_spi_host_spi_host_ctrl[2]),
+	.B_N(\soc_top_u_spi_host_spi_host_shift/cnt[2] ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_23 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_spi_host_spi_host_shift/g10085__9945  (
+	.A_N(soc_top_u_spi_host_spi_host_ctrl[1]),
+	.B(\soc_top_u_spi_host_spi_host_shift/cnt[1] ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_22 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_spi_host_spi_host_shift/g10086__2883  (
+	.A(\soc_top_u_spi_host_spi_host_shift/cnt[1] ),
+	.B_N(soc_top_u_spi_host_spi_host_ctrl[1]),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_21 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g10087__2346  (
+	.A(soc_top_u_spi_host_spi_host_ctrl[9]),
+	.B(soc_top_u_spi_host_spi_host_ctrl[11]),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_19 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_spi_host_spi_host_shift/g10088__1666  (
+	.A(\soc_top_u_spi_host_spi_host_shift/cnt[2] ),
+	.B_N(soc_top_u_spi_host_spi_host_ctrl[2]),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_18 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g10089__7410  (
+	.A(\soc_top_u_spi_host_spi_host_shift/cnt[0] ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_3 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_17 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g10090__6417  (
+	.A(soc_top_u_spi_host_spi_host_pos_edge),
+	.B(soc_top_u_spi_host_spi_host_tip),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_15 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_spi_host_spi_host_shift/g10091  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_9 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_8 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_spi_host_spi_host_shift/g10092__5477  (
+	.A_N(soc_top_u_spi_host_spi_host_neg_edge),
+	.B(soc_top_u_spi_host_spi_host_ctrl[9]),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_7 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g10093__2398  (
+	.A(soc_top_u_spi_host_spi_host_ctrl[0]),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_1 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_6 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g10094__5107  (
+	.A(soc_top_u_spi_host_spi_host_ctrl[15]),
+	.B(soc_top_u_spi_host_spi_host_tip),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_14 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g10095__6260  (
+	.A(soc_top_u_spi_host_spi_host_n_189),
+	.B(FE_DBTN121_soc_top_u_spi_host_spi_host_tip),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_13 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_spi_host_spi_host_shift/g10096__4319  (
+	.A(soc_top_u_spi_host_spi_host_tip),
+	.B_N(soc_top_u_spi_host_spi_host_ctrl[8]),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_12 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_spi_host_spi_host_shift/g10097__8428  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_292 ),
+	.B_N(soc_top_u_spi_host_spi_host_pos_edge),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_5 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_spi_host_spi_host_shift/g10098__5526  (
+	.A_N(soc_top_u_spi_host_spi_host_ctrl[3]),
+	.B(\soc_top_u_spi_host_spi_host_shift/cnt[3] ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_11 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_spi_host_spi_host_shift/g10099__6783  (
+	.A(soc_top_u_spi_host_spi_host_ctrl[11]),
+	.B_N(soc_top_u_spi_host_spi_host_ctrl[9]),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_10 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g10100__3680  (
+	.A(FE_DBTN121_soc_top_u_spi_host_spi_host_tip),
+	.B(soc_top_u_spi_host_spi_host_pos_edge),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_9 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_spi_host_spi_host_shift/g10101  (
+	.A(soc_top_u_spi_host_spi_host_ctrl[10]),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_4 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_spi_host_spi_host_shift/g10102  (
+	.A(soc_top_u_spi_host_spi_host_ctrl[0]),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_3 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_spi_host_spi_host_shift/g10104  (
+	.A(soc_top_u_spi_host_spi_host_ctrl[11]),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_1 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_spi_host_spi_host_shift/g10105  (
+	.A(soc_top_system_rst_ni),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_0 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_spi_host_spi_host_shift/g2__1617  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_119 ),
+	.B_N(\soc_top_u_spi_host_spi_host_shift/n_203 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_349 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_spi_host_spi_host_shift/g10106__2802  (
+	.A_N(\soc_top_u_spi_host_spi_host_shift/n_201 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_119 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_350 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g10107__1705  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_192 ),
+	.A2(soc_top_u_spi_host_spi_host_rx[18]),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_190 ),
+	.B2(soc_top_u_spi_host_spi_host_rx[19]),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_351 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_spi_host_spi_host_shift/g10108__5122  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_31 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_19 ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_123 ),
+	.B2(soc_top_u_spi_host_spi_host_ctrl[11]),
+	.C1(\soc_top_u_spi_host_spi_host_shift/n_10 ),
+	.C2(\soc_top_u_spi_host_spi_host_shift/cnt[4] ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_352 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTC169_n_513  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_513 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTN169_n_513 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTC168_n_1595  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1595 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTN168_n_1595 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTC167_n_1796  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1796 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTN167_n_1796 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTC166_n_2057  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2057 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTN166_n_2057 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTC165_n_1746  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1746 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTN165_n_1746 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g4945__7098  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_355 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1745 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1671 ),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_360 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g4951  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1663 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_360 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g4956  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_351 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_355 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g4960__1666  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_347 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2284 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_351 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g4964__5477  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1570 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1941 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_347 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g4969__8428  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1570 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_384 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_342 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g4980__2802  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1908 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_317 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g4991__8246  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_676 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1797 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_317 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5002__6161  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_244 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1908 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_308 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5007__9945  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_227 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1908 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_303 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5018__7410  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_270 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2057 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_lzc_zeroes ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5019__6417  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2057 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2026 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_290 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5038__3680  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2057 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_264 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_280 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5043__1705  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1574 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_222 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_206 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_270 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5045__8246  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1480 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_223 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_267 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5048__7098  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_259 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1574 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_264 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5052  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_256 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_259 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5053  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1581 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_258 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5054__7482  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1959 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1945 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_256 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5056__6161  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1981 ),
+	.B(FE_DBTN81_n_38089),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_254 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5057__9315  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1981 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_392 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_253 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5061  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1686 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_250 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5066__7410  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_179 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_217 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_244 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5078__6260  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_513 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_191 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_231 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5082__6783  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_179 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_133 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_227 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5088  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1983 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_223 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5089  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2278 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_222 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5091__5122  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_540 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1585 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_219 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5093__7098  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_133 ),
+	.A2(n_61535),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [26]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_217 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5105  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1945 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_206 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5117__6260  (
+	.A(n_46359),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_102 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_193 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5119__4319  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_70 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [8]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [10]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_191 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5120__8428  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_128 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1986 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_190 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5124  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2292 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_187 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5126  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_540 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_183 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5127  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1656 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_181 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5152__6131  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_133 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_84 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_179 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5164  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_115 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_146 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5165  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_144 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_145 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5174  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1684 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_133 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5178__9315  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_41 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_47 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_128 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5179__9945  (
+	.A(n_44385),
+	.B(n_58657),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_127 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5190__2398  (
+	.A(n_87739),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [38]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_117 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5192__6260  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_68 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_33 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_115 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5193__4319  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_14 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_47 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_144 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5200  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_543 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_111 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5206  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1565 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_102 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5214__2802  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [7]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [8]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_91 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5219__7098  (
+	.A(n_78004),
+	.B(n_56252),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_86 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5221__6131  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [23]),
+	.B(n_61535),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_84 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5225__5115  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [2]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_33 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_81 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5241  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [9]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_70 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5243  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [2]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_68 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5260  (
+	.A(n_58657),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_51 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5264  (
+	.A(n_80800),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_47 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5265  (
+	.A(n_44385),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_46 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5269  (
+	.A(n_78004),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_41 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5278  (
+	.A(n_46329),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_33 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5282  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [16]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_29 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5289  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [10]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_22 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5297  (
+	.A(n_58790),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_14 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5308  (
+	.A(n_63902),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_3 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5327__6783  (
+	.A0(n_46253),
+	.A1(n_56548),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1941 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_384 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5333__5122  (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1482 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2295 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_390 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5335__7098  (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_3 ),
+	.B(FE_DBTN81_n_38089),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_392 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5344__9315  (
+	.A0(n_39141),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [4]),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_446 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_401 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5425  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [28]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_502 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5081__5427  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2292 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_505 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_506 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5345__5428  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_504 ),
+	.B(FE_DBTN160_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sum_26),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_502 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_505 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5228__5429  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [20]),
+	.B(n_46335),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_504 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5158__5432  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_512 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_91 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_513 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/fopt5433  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_511 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_512 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5191__5434  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_70 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_22 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_511 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5453  (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_513 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1797 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_446 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_532 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5233__5458  (
+	.A(n_87811),
+	.B(n_60541),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_535 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5346__5460  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_51 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_539 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_46 ),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_41 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_540 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5461  (
+	.A(n_38315),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_539 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g4963__5462  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_627 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_539 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_542 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5217__5464  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_14 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_539 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_543 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1986 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1984 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_254 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_557 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g4966__5547  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1708 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2294 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_626 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5549  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2294 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_627 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5598  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_267 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_676 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5109__5676  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_755 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_144 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_754 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5680  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_755 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_756 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5080__5687  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1940 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1775 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_762 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5084__5688  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1026 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1775 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_763 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5195__5775  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_844 ),
+	.B(FE_DBTN45_n_64238),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_755 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5776  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [21]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_844 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/fopt5956  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1022 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1023 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5237__5957  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1021 ),
+	.B(FE_DBTN161_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sum_30),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1022 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5958  (
+	.A(n_72106),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1021 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5148__5961  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1022 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1501 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1026 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g56  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1474 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1479 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1480 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g59  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1587 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1583 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1474 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g57  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1475 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1753 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1479 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g61  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_86 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_127 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1475 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g49  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1970 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1987 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1485 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/fopt6438  (
+	.A(n_87811),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1482 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g30  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_280 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_81 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1489 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g23  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1965 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1496 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1497 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g24  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1023 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1495 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1496 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g25  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1494 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1495 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g26  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1492 ),
+	.B(FE_DBTN170_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sum_33),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1494 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g14  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_502 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1960 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1501 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6446  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_324 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_502 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1510 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g36  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1684 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1940 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1514 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g11  (
+	.A(n_29188),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1529 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g157  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_342 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1489 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2054 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1536 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g55  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2055 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1570 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g63  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1564 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1565 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1566 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g65  (
+	.A(FE_DBTN4_n_89310),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_29 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1564 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g66  (
+	.A(FE_DBTN75_n_46359),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1529 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1565 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6474  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1653 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1574 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6475  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_183 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1514 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1935 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1581 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6479  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1934 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_535 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1583 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6481  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_145 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1584 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1585 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6482  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2298 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1584 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g18  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_111 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1586 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1587 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g19  (
+	.A(n_63902),
+	.B(n_87739),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1586 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6486  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1974 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_250 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1595 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g39  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1536 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1720 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1603 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6493  (
+	.A(FE_DBTN81_n_38089),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_3 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1605 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6509  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1895 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1896 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1632 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1633 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g43  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [20]),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_756 ),
+	.B1(n_64238),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1632 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6512  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_676 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1923 ),
+	.C(n_60613),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1640 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6514  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1641 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_446 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1644 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6515  (
+	.A(n_58220),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [4]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1641 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/fopt6518  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1647 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_446 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6519  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1645 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1646 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1647 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g17  (
+	.A(n_39146),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1645 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6520  (
+	.A(n_39141),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1646 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6522  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1564 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1565 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1649 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6523  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_181 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1651 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_102 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1653 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6524  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1564 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1651 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6526  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2280 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1941 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1656 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6530  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1659 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_532 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2283 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1663 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g53  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_280 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_146 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1659 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6535  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_51 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1667 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1668 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/fopt6536  (
+	.A(n_56252),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1667 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g29  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1670 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_303 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1671 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6538  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2057 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1947 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1670 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6539  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTN166_n_2057 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1673 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1674 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6541  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1947 ),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_29 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1673 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6545  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1681 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1682 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6546  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1680 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1714 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1681 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6547  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1990 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1984 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_253 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1680 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6549  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1986 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1678 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g8  (
+	.A(FE_DBTN160_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sum_26),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1683 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1684 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g9  (
+	.A(n_79580),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1683 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6550  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1649 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_187 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1962 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1686 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6552  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1688 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [5]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6553  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTN166_n_2057 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_270 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1688 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6558  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1510 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_542 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1692 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g111  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_763 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2026 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1775 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1701 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g104  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1944 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1701 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1704 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g105  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_324 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1708 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g106  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1022 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1701 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_324 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g107  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2026 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_762 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_763 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1709 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g113  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_762 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_422 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1710 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g110  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_763 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2026 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1713 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1714 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g112  (
+	.A_N(n_79148),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1940 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1713 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6563  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1899 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1716 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6564  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1570 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1922 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1716 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6566  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1682 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1674 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1719 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1720 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g37  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1718 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_390 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1719 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6567  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1924 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_267 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1718 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g38  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1718 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2295 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1721 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g32  (
+	.A(n_87811),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [20]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1724 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6585  (
+	.A(n_38089),
+	.B(n_80800),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1741 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g33  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1744 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_626 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1745 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6586  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1721 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_557 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1709 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1744 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6589  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1497 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_506 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1746 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/fopt6592  (
+	.A(n_79148),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1492 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6593  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1741 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1752 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1753 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6594  (
+	.A(n_60613),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [38]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1752 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6598  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTN167_n_1796 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTN169_n_513 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_401 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1761 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6603  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2281 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1692 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1764 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6607  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1773 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1940 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1775 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6608  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_422 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1772 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1773 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/fopt6609  (
+	.A(n_55070),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_422 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6610  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [31]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1772 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g78  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1764 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1603 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1794 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g79  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1788 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1979 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1794 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g85  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1633 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1788 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g81_0  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTN168_n_1595 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1790 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1791 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g84  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1957 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1790 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g83  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_308 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1792 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g81  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTN168_n_1595 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1790 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1796 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g82  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1957 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1595 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1797 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6719  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1898 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1899 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6720  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1894 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1897 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1898 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g28  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTN167_n_1796 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTN169_n_513 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1894 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6722  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1895 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1896 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1897 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/fopt6723  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1908 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1895 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6724  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_179 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1896 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6731  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2056 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1907 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1908 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g22  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_763 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1480 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1907 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6740  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1921 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_267 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1984 ),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1701 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1922 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5154__6741  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_535 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1920 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1921 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5334__6743  (
+	.A(n_79464),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_14 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1920 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5076__6744  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [38]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1921 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1923 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5330__6745  (
+	.A_N(n_79464),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1921 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1924 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5322__6749  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1929 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_290 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6750  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTN166_n_2057 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1928 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1929 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/fopt6751  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_264 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1928 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/fopt6753  (
+	.A(n_79464),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1931 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6755  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1988 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1934 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6757  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2298 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1988 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1935 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6762  (
+	.A(FE_DBTN170_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sum_33),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1492 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1940 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g2  (
+	.A(n_46253),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [13]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1941 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6765  (
+	.A(FE_DBTN161_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sum_30),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1022 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1944 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6766  (
+	.A_N(n_46287),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_146 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1945 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6768  (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1566 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_102 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1947 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6773  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [31]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [23]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1951 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6774  (
+	.A(n_55070),
+	.B(n_61535),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1952 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6775  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_258 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2278 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1957 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g27  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1644 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1955 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6778  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1644 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_513 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1959 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6779  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1022 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1961 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1962 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6780  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_502 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1960 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1961 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/fopt6781  (
+	.A(n_46335),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1960 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6782  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1963 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1964 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1965 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6783  (
+	.A(n_59675),
+	.B(n_79580),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1963 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6784  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [21]),
+	.B(n_64238),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1964 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6787  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1972 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1973 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1974 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6788  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1969 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1971 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1972 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6789  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1724 ),
+	.B(FE_DBTN81_n_38089),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1969 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g40  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1970 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1971 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g41  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1951 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1952 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1970 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6791  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_181 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_754 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1973 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g70  (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1978 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1792 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1979 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g71  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1480 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1977 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_231 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1791 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1978 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g72  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1975 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_763 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1977 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g74  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1710 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1975 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6792  (
+	.A(n_56252),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1980 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1981 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6793  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1668 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1605 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1980 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6794  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1983 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1980 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1984 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6795  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_190 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1982 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1983 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6796  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1605 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1668 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1982 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5196__6797  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_46 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1985 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1986 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6798  (
+	.A(n_87831),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1985 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6799  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1931 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1985 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1482 ),
+	.D(FE_DBTN81_n_38089),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1987 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6800  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1985 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1931 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1988 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6801  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_41 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1986 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1985 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1678 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1990 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/fopt6841  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1480 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2026 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6865  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2052 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTN165_n_1746 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1653 ),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_193 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2054 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6867  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2051 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2052 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5063__6868  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_219 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1485 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2051 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6869  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2052 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTN165_n_1746 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1566 ),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1653 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2055 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6870  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2052 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTN165_n_1746 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2056 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6871  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2052 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTN165_n_1746 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2057 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g24_7108  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTN169_n_513 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1955 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2278 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g7110  (
+	.A(n_79545),
+	.B(n_56548),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2280 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g7111  (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1704 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1640 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1761 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2281 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g7113  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTN167_n_1796 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_513 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_512 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2283 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g7114  (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_179 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1895 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_756 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2284 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/fopt7121  (
+	.A(n_60613),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2290 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g7122  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_117 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1667 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2290 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2292 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g7123  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_676 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2290 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1923 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2294 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/fopt7127  (
+	.A(n_60541),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2295 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g7128  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2295 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_3 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2298 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \soc_top_u_top_u_core_id_stage_i_controller_i/FE_DBTC32_soc_top_u_top_u_core_id_in_ready  (
+	.A(soc_top_u_top_u_core_id_in_ready),
+	.Y(FE_DBTN32_soc_top_u_top_u_core_id_in_ready), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_controller_i/g5651  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_280 ),
+	.Y(soc_top_u_top_u_core_pc_mux_id[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_controller_i/g5652  (
+	.A(soc_top_u_top_u_core_id_stage_i_branch_set),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_71 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g5653  (
+	.A(soc_top_u_top_u_core_id_stage_i_jump_set),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_70 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g5654  (
+	.A(soc_top_u_top_u_core_debug_ebreakm),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_69 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 \soc_top_u_top_u_core_id_stage_i_controller_i/g5665__7410  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_68 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_4137_BAR ),
+	.Y(soc_top_u_top_u_core_pc_set), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_id_stage_i_controller_i/g5666__6417  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_67 ),
+	.B(soc_top_u_top_u_core_id_stage_i_controller_run),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_68 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_id_stage_i_controller_i/g5667__5477  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_71 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_70 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_67 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g5682__2398  (
+	.A(soc_top_u_top_u_core_fp_flush),
+	.B_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_324 ),
+	.X(soc_top_u_top_u_core_instr_valid_clear), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g5686__5107  (
+	.A(soc_top_u_top_u_core_fpu_busy_idu),
+	.B(n_72261),
+	.C(soc_top_u_top_u_core_id_stage_i_stall_wb),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/stall ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_2 \soc_top_u_top_u_core_id_stage_i_controller_i/g5875__3680  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_286 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_61 ),
+	.C_N(soc_top_u_top_u_core_debug_cause[0]),
+	.X(soc_top_u_top_u_core_fp_flush), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g5878__1617  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_155 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_controller_i/n_87 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_60 ),
+	.C1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_314 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_61 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g5879__2802  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_185 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_94 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_controller_i/illegal_insn_q ),
+	.D_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_95 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_86 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 \soc_top_u_top_u_core_id_stage_i_controller_i/g5880__1705  (
+	.A(soc_top_u_top_u_core_debug_mode),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/ebreak_into_debug ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_99 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g5881__5122  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_185 ),
+	.B(soc_top_u_top_u_core_lsu_load_err),
+	.C(soc_top_u_top_u_core_lsu_store_err),
+	.X(soc_top_u_top_u_core_id_stage_i_wb_exception), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g5882__8246  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_150 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_314 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_87 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g5883__7098  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_92 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_93 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_150 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g5884__6131  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_185 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/exc_req_q ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_98 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g5885__1881  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_90 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_60 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_155 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g5886__5115  (
+	.A1(soc_top_u_top_u_core_debug_single_step),
+	.A2(soc_top_u_top_u_core_trigger_match),
+	.B1_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_60 ),
+	.Y(soc_top_u_top_u_core_debug_cause[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g5887__7482  (
+	.A1_N(soc_top_u_top_u_core_debug_ebreaku),
+	.A2_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_57 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_69 ),
+	.B2(\soc_top_u_top_u_core_id_stage_i_controller_i/n_100 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/ebreak_into_debug ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 \soc_top_u_top_u_core_id_stage_i_controller_i/g5888__4733  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_151 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_58 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_92 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g5889__6161  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_59 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_96 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_60 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g5890__9315  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/load_err_q ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/store_err_q ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_185 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_id_stage_i_controller_i/g5891__9945  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_157 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_91 ),
+	.Y(soc_top_u_top_u_core_id_stage_i_controller_run), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g5892__2883  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_151 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[0] ),
+	.C(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[1] ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_93 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g5893__2346  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_59 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_91 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_90 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_controller_i/store_err_q_reg  (
+	.CLK(CTS_61),
+	.D(soc_top_u_top_u_core_lsu_store_err),
+	.Q(\soc_top_u_top_u_core_id_stage_i_controller_i/store_err_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_controller_i/load_err_q_reg  (
+	.CLK(CTS_61),
+	.D(soc_top_u_top_u_core_lsu_load_err),
+	.Q(\soc_top_u_top_u_core_id_stage_i_controller_i/load_err_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g5897__7410  (
+	.A(soc_top_u_top_u_core_priv_mode_id[1]),
+	.B(soc_top_u_top_u_core_priv_mode_id[0]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_100 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g5898__6417  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[3] ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[2] ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_151 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g5899__5477  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[1] ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[0] ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_91 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g5900__2398  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[3] ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[2] ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_157 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g5901__5107  (
+	.A(soc_top_u_top_u_core_priv_mode_id[0]),
+	.B(soc_top_u_top_u_core_priv_mode_id[1]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_57 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g5902__6260  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[1] ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[0] ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_96 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g5903__4319  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[2] ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[3] ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_59 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g5904__8428  (
+	.A(soc_top_u_top_u_core_id_stage_i_ecall_insn_dec),
+	.B(soc_top_u_top_u_core_instr_valid_id),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_95 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g5905__5526  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[0] ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[1] ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_58 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g5906__6783  (
+	.A(soc_top_u_top_u_core_id_stage_i_ebrk_insn),
+	.B(soc_top_u_top_u_core_instr_valid_id),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_94 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6550__3680  (
+	.A_N(soc_top_u_top_u_core_exc_cause[0]),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_40 ),
+	.Y(soc_top_u_top_u_core_exc_cause[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6551__1617  (
+	.A(soc_top_u_top_u_core_exc_cause[5]),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_55 ),
+	.X(soc_top_u_top_u_core_exc_cause[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_2 \soc_top_u_top_u_core_id_stage_i_controller_i/g6553__1705  (
+	.A(soc_top_u_top_u_core_debug_cause[0]),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_54 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_controller_i/n_51 ),
+	.D(\soc_top_u_top_u_core_id_stage_i_controller_i/n_45 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_4137_BAR ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6554__5122  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_322 ),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[14]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_48 ),
+	.B2(soc_top_u_top_u_core_instr_rdata_c_id[14]),
+	.X(soc_top_u_top_u_core_csr_mtval[14]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6555__8246  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_36 ),
+	.A2(soc_top_u_top_u_core_id_stage_i_controller_run),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_167 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_56 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6556__7098  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_53 ),
+	.A2(\soc_top_u_top_u_core_irqs[irq_external] ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_49 ),
+	.X(soc_top_u_top_u_core_exc_cause[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6559__5115  (
+	.A_N(soc_top_u_top_u_core_debug_csr_save),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_54 ),
+	.Y(soc_top_u_top_u_core_csr_save_cause), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6560__7482  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_322 ),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[13]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_48 ),
+	.B2(soc_top_u_top_u_core_instr_rdata_c_id[13]),
+	.X(soc_top_u_top_u_core_csr_mtval[13]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6561__4733  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_322 ),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[12]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_48 ),
+	.B2(soc_top_u_top_u_core_instr_rdata_c_id[12]),
+	.X(soc_top_u_top_u_core_csr_mtval[12]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6562__6161  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_322 ),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[11]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_48 ),
+	.B2(soc_top_u_top_u_core_instr_rdata_c_id[11]),
+	.X(soc_top_u_top_u_core_csr_mtval[11]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6563__9315  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_322 ),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[10]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_48 ),
+	.B2(soc_top_u_top_u_core_instr_rdata_c_id[10]),
+	.X(soc_top_u_top_u_core_csr_mtval[10]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6564__9945  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_322 ),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[9]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_48 ),
+	.B2(soc_top_u_top_u_core_instr_rdata_c_id[9]),
+	.X(soc_top_u_top_u_core_csr_mtval[9]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6565__2883  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_322 ),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[4]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_48 ),
+	.B2(soc_top_u_top_u_core_instr_rdata_c_id[4]),
+	.X(soc_top_u_top_u_core_csr_mtval[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6566__2346  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_86 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_controller_i/n_99 ),
+	.A3(\soc_top_u_top_u_core_id_stage_i_controller_i/n_84 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_100 ),
+	.B2(\soc_top_u_top_u_core_id_stage_i_controller_i/n_50 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_55 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6567__1666  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_322 ),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[7]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_48 ),
+	.B2(soc_top_u_top_u_core_instr_rdata_c_id[7]),
+	.X(soc_top_u_top_u_core_csr_mtval[7]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6568__7410  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_322 ),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[6]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_48 ),
+	.B2(soc_top_u_top_u_core_instr_rdata_c_id[6]),
+	.X(soc_top_u_top_u_core_csr_mtval[6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6569__6417  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_322 ),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[5]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_48 ),
+	.B2(soc_top_u_top_u_core_instr_rdata_c_id[5]),
+	.X(soc_top_u_top_u_core_csr_mtval[5]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6570__5477  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_322 ),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[8]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_48 ),
+	.B2(soc_top_u_top_u_core_instr_rdata_c_id[8]),
+	.X(soc_top_u_top_u_core_csr_mtval[8]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6571__2398  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_322 ),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[3]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_48 ),
+	.B2(soc_top_u_top_u_core_instr_rdata_c_id[3]),
+	.X(soc_top_u_top_u_core_csr_mtval[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6572__5107  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_322 ),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[2]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_48 ),
+	.B2(soc_top_u_top_u_core_instr_rdata_c_id[2]),
+	.X(soc_top_u_top_u_core_csr_mtval[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6573__6260  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_322 ),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[0]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_48 ),
+	.B2(soc_top_u_top_u_core_instr_rdata_c_id[0]),
+	.X(soc_top_u_top_u_core_csr_mtval[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6574__4319  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_322 ),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[1]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_48 ),
+	.B2(soc_top_u_top_u_core_instr_rdata_c_id[1]),
+	.X(soc_top_u_top_u_core_csr_mtval[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6575__8428  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_322 ),
+	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[15]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_48 ),
+	.B2(soc_top_u_top_u_core_instr_rdata_c_id[15]),
+	.X(soc_top_u_top_u_core_csr_mtval[15]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6576__5526  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_88 ),
+	.B(soc_top_u_top_u_core_csr_restore_mret_id),
+	.X(soc_top_u_top_u_core_pc_mux_id[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6577__6783  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_53 ),
+	.B(soc_top_u_top_u_core_debug_cause[0]),
+	.Y(soc_top_u_top_u_core_csr_save_if), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6578__3680  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_322 ),
+	.B(soc_top_u_top_u_core_instr_rdata_id[31]),
+	.X(soc_top_u_top_u_core_csr_mtval[31]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6579__1617  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_322 ),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.X(soc_top_u_top_u_core_csr_mtval[30]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6580__2802  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_322 ),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.X(soc_top_u_top_u_core_csr_mtval[29]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6581__1705  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_322 ),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
+	.X(soc_top_u_top_u_core_csr_mtval[28]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6582__5122  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_322 ),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
+	.X(soc_top_u_top_u_core_csr_mtval[27]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6583__8246  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_322 ),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[26]),
+	.X(soc_top_u_top_u_core_csr_mtval[26]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6584__7098  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_322 ),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
+	.X(soc_top_u_top_u_core_csr_mtval[25]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6585__6131  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_322 ),
+	.B(soc_top_u_top_u_core_rf_raddr_b[2]),
+	.X(soc_top_u_top_u_core_csr_mtval[22]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6586__1881  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_322 ),
+	.B(soc_top_u_top_u_core_rf_raddr_b[3]),
+	.X(soc_top_u_top_u_core_csr_mtval[23]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6587__5115  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_322 ),
+	.B(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.X(soc_top_u_top_u_core_csr_mtval[24]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6588__7482  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_272 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_276 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_167 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6589__4733  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_322 ),
+	.B(soc_top_u_top_u_core_rf_raddr_b[1]),
+	.X(soc_top_u_top_u_core_csr_mtval[21]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6590__6161  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_322 ),
+	.B(soc_top_u_top_u_core_instr_rdata_id[20]),
+	.X(soc_top_u_top_u_core_csr_mtval[20]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6591__9315  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_322 ),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[19]),
+	.X(soc_top_u_top_u_core_csr_mtval[19]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6592__9945  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_322 ),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[18]),
+	.X(soc_top_u_top_u_core_csr_mtval[18]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6593__2883  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_322 ),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[17]),
+	.X(soc_top_u_top_u_core_csr_mtval[17]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6594__2346  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_76 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_150 ),
+	.Y(soc_top_u_top_u_core_ctrl_busy), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6595__1666  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_322 ),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[16]),
+	.X(soc_top_u_top_u_core_csr_mtval[16]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6596__7410  (
+	.A(soc_top_u_top_u_core_id_stage_i_controller_run),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_42 ),
+	.C_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_84 ),
+	.X(soc_top_u_top_u_core_pc_mux_id[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6597__6417  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_41 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_46 ),
+	.X(soc_top_u_top_u_core_csr_save_id), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6598__5477  (
+	.A_N(\soc_top_u_top_u_core_irqs[irq_external] ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_53 ),
+	.C(\soc_top_u_top_u_core_irqs[irq_timer] ),
+	.X(soc_top_u_top_u_core_exc_cause[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6599__2398  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_150 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_80 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_controller_i/n_39 ),
+	.X(soc_top_u_top_u_core_instr_req_int), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6600__5107  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_37 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_controller_i/n_81 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_53 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_54 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6601__6260  (
+	.A1(\soc_top_u_top_u_core_irqs[irq_timer] ),
+	.A2(\soc_top_u_top_u_core_irqs[irq_external] ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_53 ),
+	.X(soc_top_u_top_u_core_exc_cause[5]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6602__4319  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_79 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_97 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_51 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6603__8428  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_155 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_44 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_88 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 \soc_top_u_top_u_core_id_stage_i_controller_i/g6604__5526  (
+	.A(soc_top_u_top_u_core_debug_mode),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_43 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_272 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6605__6783  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_41 ),
+	.B(soc_top_u_top_u_core_debug_cause[0]),
+	.Y(soc_top_u_top_u_core_debug_csr_save), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6606__3680  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_93 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_271 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_76 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6607__1617  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_44 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_276 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_53 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6609  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_49 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_50 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6611__1705  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_37 ),
+	.A2(soc_top_u_top_u_core_debug_mode),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_155 ),
+	.X(soc_top_u_top_u_core_exc_pc_mux_id[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6612__5122  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_275 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_controller_i/n_33 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_35 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_47 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6613__8246  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_185 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_84 ),
+	.C_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_81 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_46 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6614__7098  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_90 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_77 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_controller_i/n_80 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_45 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6615__6131  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_185 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_95 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_controller_i/illegal_insn_q ),
+	.D(\soc_top_u_top_u_core_id_stage_i_controller_i/n_84 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_49 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6616__1881  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_40 ),
+	.B(soc_top_u_top_u_core_instr_is_compressed_id),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_48 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6617__5115  (
+	.A1(soc_top_u_top_u_core_debug_single_step),
+	.A2(soc_top_u_top_u_core_instr_valid_id),
+	.B1(soc_top_u_top_u_core_trigger_match),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_43 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6618__7482  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_35 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_314 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_42 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6619__4733  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_35 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_79 ),
+	.Y(soc_top_u_top_u_core_csr_restore_mret_id), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6620__6161  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_157 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[1] ),
+	.C(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[0] ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_44 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6621__9315  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_38 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_35 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_97 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6622__9945  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[2] ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[1] ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[3] ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_39 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6623__2883  (
+	.A(soc_top_u_top_u_core_debug_mode),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_90 ),
+	.C_N(\soc_top_u_top_u_core_id_stage_i_controller_i/ebreak_into_debug ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_41 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6624__2346  (
+	.A(soc_top_u_top_u_core_debug_mode),
+	.B(soc_top_u_top_u_core_debug_single_step),
+	.C(n_29016),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_271 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6625__1666  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_185 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_37 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_controller_i/illegal_insn_q ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_40 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6626__7410  (
+	.A_N(soc_top_u_top_u_core_debug_mode),
+	.B(n_29016),
+	.C(soc_top_u_top_u_core_csr_mstatus_mie),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_276 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6627  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_84 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_37 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6628__6417  (
+	.A(soc_top_u_top_u_core_trigger_match),
+	.B(soc_top_u_top_u_core_debug_cause[0]),
+	.Y(soc_top_u_top_u_core_debug_cause[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6629__5477  (
+	.A(soc_top_u_top_u_core_debug_cause[0]),
+	.B_N(soc_top_u_top_u_core_trigger_match),
+	.Y(soc_top_u_top_u_core_debug_cause[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6630__2398  (
+	.A(soc_top_u_top_u_core_id_stage_i_dret_insn_dec),
+	.B(soc_top_u_top_u_core_instr_valid_id),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_38 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6631__5107  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_151 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_96 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_80 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6632__6260  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_98 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_314 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_79 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6633__4319  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_314 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_98 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_84 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6634  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_36 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_273 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6636__8428  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_314 ),
+	.B_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_185 ),
+	.Y(soc_top_u_top_u_core_csr_save_wb), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6637__5526  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_95 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_94 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_83 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6638__6783  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_157 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_96 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_36 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6639__3680  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_151 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_91 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_77 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6640__1617  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_86 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_99 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_81 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6641__2802  (
+	.A(soc_top_u_top_u_core_id_stage_i_wfi_insn_dec),
+	.B(soc_top_u_top_u_core_instr_valid_id),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_275 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6642__1705  (
+	.A(soc_top_u_top_u_core_id_stage_i_mret_insn_dec),
+	.B(soc_top_u_top_u_core_instr_valid_id),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_35 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6643  (
+	.A(soc_top_u_top_u_core_csr_mstatus_tw),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_33 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs_reg[0]  (
+	.CLK(CTS_61),
+	.D(\soc_top_u_top_u_core_id_stage_i_controller_i/n_31 ),
+	.Q(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[0] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs_reg[2]  (
+	.CLK(CTS_61),
+	.D(\soc_top_u_top_u_core_id_stage_i_controller_i/n_30 ),
+	.Q(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6060__5122  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_306 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_controller_i/n_4 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_27 ),
+	.C1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_29 ),
+	.D1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_19 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_31 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6061__8246  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_25 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_19 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_controller_i/n_24 ),
+	.D(\soc_top_u_top_u_core_id_stage_i_controller_i/n_23 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_30 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs_reg[3]  (
+	.CLK(CTS_61),
+	.D(\soc_top_u_top_u_core_id_stage_i_controller_i/n_26 ),
+	.Q(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs_reg[1]  (
+	.CLK(CTS_61),
+	.D(\soc_top_u_top_u_core_id_stage_i_controller_i/n_28 ),
+	.Q(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6064__7098  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_24 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[0] ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_29 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6065__6131  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_276 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_controller_i/n_7 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_13 ),
+	.C1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_22 ),
+	.D1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_23 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_28 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6066__1881  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_21 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_13 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_controller_i/n_80 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_27 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6067__5115  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_12 ),
+	.A2(soc_top_u_top_u_core_id_stage_i_controller_run),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_5 ),
+	.C1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_20 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_26 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6068__7482  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_8 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_controller_i/n_21 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_77 ),
+	.C1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_76 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_25 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6069__4733  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_18 ),
+	.A2(soc_top_u_top_u_core_id_stage_i_controller_run),
+	.B1_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_7 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_24 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6070__6161  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_97 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_79 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_controller_i/n_16 ),
+	.D_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_14 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_22 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6071__9315  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_12 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_18 ),
+	.C_N(soc_top_u_top_u_core_id_stage_i_controller_run),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_23 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6072__9945  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_314 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_controller_i/n_17 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_84 ),
+	.B2(\soc_top_u_top_u_core_id_stage_i_controller_i/n_81 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_20 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6073__2883  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_11 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_314 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_controller_i/n_16 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_21 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_controller_i/debug_mode_q_reg  (
+	.CLK(CTS_67),
+	.D(\soc_top_u_top_u_core_id_stage_i_controller_i/n_10 ),
+	.Q(soc_top_u_top_u_core_debug_mode),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6075__2346  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_88 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_15 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_19 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 \soc_top_u_top_u_core_id_stage_i_controller_i/g6076__1666  (
+	.A0(\soc_top_u_top_u_core_id_stage_i_controller_i/n_9 ),
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_3 ),
+	.S(\soc_top_u_top_u_core_id_stage_i_controller_i/n_306 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_18 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6077  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_16 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_17 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6078__7410  (
+	.A1(FE_DBTN32_soc_top_u_top_u_core_id_in_ready),
+	.A2(\soc_top_u_top_u_core_id_stage_i_controller_i/n_276 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_7 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_15 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6079__6417  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_276 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_controller_i/n_302 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_275 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_14 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6080__5477  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/ebreak_into_debug ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_controller_i/n_2 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_272 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_16 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6081__2398  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_275 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_97 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_controller_i/n_98 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_11 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6082__5107  (
+	.A(soc_top_u_top_u_core_debug_cause[0]),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_6 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_controller_i/n_90 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_10 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6083__6260  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_93 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_controller_i/n_271 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_92 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_13 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6084__4319  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_272 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/stall ),
+	.C(\soc_top_u_top_u_core_id_stage_i_controller_i/n_306 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_12 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6085__8428  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_controller_i/stall ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_167 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_9 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_controller_i/illegal_insn_q_reg  (
+	.CLK(CTS_61),
+	.D(\soc_top_u_top_u_core_id_stage_i_controller_i/n_297 ),
+	.Q(\soc_top_u_top_u_core_id_stage_i_controller_i/illegal_insn_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_controller_i/exc_req_q_reg  (
+	.CLK(CTS_61),
+	.D(\soc_top_u_top_u_core_id_stage_i_controller_i/n_319 ),
+	.Q(\soc_top_u_top_u_core_id_stage_i_controller_i/exc_req_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6088__5526  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_81 ),
+	.B_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_98 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_8 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6089__6783  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_280 ),
+	.B(soc_top_u_top_u_core_debug_mode),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_6 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6090__3680  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_273 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_272 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_5 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6091__1617  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_272 ),
+	.B(soc_top_u_top_u_core_id_stage_i_controller_run),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_4 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6092__2802  (
+	.A(n_72256),
+	.B(soc_top_u_top_u_core_id_stage_i_wb_exception),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_3 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6093__1705  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_273 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_272 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_7 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6094  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_86 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_2 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_2 \soc_top_u_top_u_core_id_stage_i_controller_i/g6645__8246  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_79 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_38 ),
+	.C_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_35 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_280 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6649__6131  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_285 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_314 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_286 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g3__1881  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_86 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_98 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_controller_i/n_99 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_285 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6652  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_296 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_297 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g5696__6658  (
+	.A(n_69216),
+	.B(soc_top_u_top_u_core_instr_valid_id),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_302 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6557__6661  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_97 ),
+	.B(soc_top_u_top_u_core_lsu_load_err),
+	.C(soc_top_u_top_u_core_lsu_store_err),
+	.D_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_275 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_303 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_4 \soc_top_u_top_u_core_id_stage_i_controller_i/g35  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_310 ),
+	.A2(n_69824),
+	.B1_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_311 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_296 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g36  (
+	.A1_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_100 ),
+	.A2_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_47 ),
+	.B1(soc_top_u_top_u_core_debug_mode),
+	.B2(\soc_top_u_top_u_core_id_stage_i_controller_i/n_38 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_310 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g38  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_157 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_58 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_311 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_controller_i/g6665  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_311 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_314 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 \soc_top_u_top_u_core_id_stage_i_controller_i/g5692__6666  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_316 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_302 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_controller_i/n_303 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_306 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_id_stage_i_controller_i/g5693__6667  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_315 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_314 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_316 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 \soc_top_u_top_u_core_id_stage_i_controller_i/g5694__6668  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_83 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_296 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_315 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6669  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_316 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_319 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_id_stage_i_controller_i/g2  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_40 ),
+	.B(soc_top_u_top_u_core_instr_is_compressed_id),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_322 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_8 \soc_top_u_top_u_core_id_stage_i_controller_i/g2__6671  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_323 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_324 ),
+	.Y(soc_top_u_top_u_core_id_in_ready), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6552__6672  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_87 ),
+	.B_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_56 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_323 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_4 \soc_top_u_top_u_core_id_stage_i_controller_i/g6647__6673  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_306 ),
+	.A2(soc_top_u_top_u_core_id_stage_i_controller_run),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/stall ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_324 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17296__5115  (
+	.A(soc_top_u_top_u_core_id_stage_i_jump_in_dec),
+	.B(n_34672),
+	.X(soc_top_u_top_u_core_id_stage_i_jump_set_dec), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17297__7482  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_497 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_713 ),
+	.A3(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_412 ),
+	.B1(soc_top_u_top_u_core_id_stage_i_illegal_insn_dec),
+	.Y(soc_top_u_top_u_core_fp_rf_wen_id), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17298__4733  (
+	.A(soc_top_u_top_u_core_id_stage_i_illegal_insn_dec),
+	.B_N(soc_top_u_top_u_core_multdiv_operator_ex[1]),
+	.Y(soc_top_u_top_u_core_id_stage_i_div_en_dec), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17299__6161  (
+	.A(soc_top_u_top_u_core_id_stage_i_illegal_insn_dec),
+	.B_N(soc_top_u_top_u_core_mult_sel_ex),
+	.Y(soc_top_u_top_u_core_id_stage_i_mult_en_dec), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17300  (
+	.A(soc_top_u_top_u_core_id_stage_i_illegal_insn_dec),
+	.B_N(soc_top_u_top_u_core_id_stage_i_bt_b_mux_sel[1]),
+	.Y(soc_top_u_top_u_core_id_stage_i_branch_in_dec), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17301  (
+	.A_N(soc_top_u_top_u_core_instr_rdata_id[6]),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_631 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_686 ),
+	.D(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_148 ),
+	.X(soc_top_u_top_u_core_id_stage_i_lsu_req_dec), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17302  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_436 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_536 ),
+	.A3(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_416 ),
+	.B1(soc_top_u_top_u_core_id_stage_i_illegal_insn_dec),
+	.Y(soc_top_u_top_u_core_id_stage_i_rf_we_dec), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17303  (
+	.A_N(soc_top_u_top_u_core_id_stage_i_imm_a_mux_sel),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_631 ),
+	.X(soc_top_u_top_u_core_csr_access), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17304  (
+	.A_N(soc_top_u_top_u_core_instr_rdata_id[6]),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_631 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_239 ),
+	.X(soc_top_u_top_data_we), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17305  (
+	.A1(soc_top_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[2]),
+	.A2(soc_top_u_top_u_core_id_stage_i_bt_b_mux_sel[0]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_631 ),
+	.X(soc_top_u_top_u_core_id_stage_i_jump_in_dec), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17306  (
+	.A(soc_top_u_top_u_core_id_stage_i_illegal_insn_dec),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_631 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2111o_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17307  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_623 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_368 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_612 ),
+	.C1(soc_top_u_top_u_core_illegal_c_insn_id),
+	.D1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_630 ),
+	.X(soc_top_u_top_u_core_id_stage_i_illegal_insn_dec), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17308  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_622 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_616 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_595 ),
+	.D(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_569 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_630 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17309  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_625 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_619 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_454 ),
+	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
+	.C1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_413 ),
+	.X(soc_top_u_top_u_core_fp_src_fmt[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17311  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_620 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_805 ),
+	.Y(soc_top_u_top_u_core_alu_operator_ex[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17313  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_0 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_609 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_192 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_625 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17314  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_422 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_425 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_512 ),
+	.C1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_618 ),
+	.X(soc_top_u_top_u_core_fp_alu_operator[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17315  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_193 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_605 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_839 ),
+	.B2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_590 ),
+	.C1(FE_DBTN149_soc_top_u_top_u_core_instr_rdata_alu_id_26),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_623 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17316  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_599 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_417 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_588 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_622 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17317  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_732 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_669 ),
+	.Y(soc_top_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17318  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_602 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_736 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_620 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17319  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_578 ),
+	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_598 ),
+	.B2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_248 ),
+	.C1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_385 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_619 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17320  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_193 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_385 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_609 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_618 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17321  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_732 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_570 ),
+	.Y(soc_top_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32a_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17322  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_374 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_436 ),
+	.A3(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_408 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_416 ),
+	.B2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_582 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_616 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17323  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_736 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_615 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17324  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_670 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_544 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_516 ),
+	.Y(soc_top_u_top_u_core_alu_operator_ex[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17325  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_584 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_368 ),
+	.C(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_612 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17326  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_665 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_554 ),
+	.Y(soc_top_u_top_u_core_id_stage_i_alu_op_b_mux_sel_dec), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a311o_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17327  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_529 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_394 ),
+	.A3(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_565 ),
+	.C1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_594 ),
+	.X(soc_top_u_top_u_core_fp_alu_operator[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17330  (
+	.A1(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_454 ),
+	.B2(soc_top_u_top_u_core_instr_rdata_id[2]),
+	.C1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_601 ),
+	.X(soc_top_u_top_u_core_fp_alu_op_mod), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17331  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_556 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_368 ),
+	.B1(soc_top_u_top_u_core_id_stage_i_n_1176),
+	.X(soc_top_u_top_u_core_id_stage_i_n_609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17332  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_0 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_405 ),
+	.B1(FE_DBTN153_soc_top_u_top_u_core_instr_rdata_alu_id_30),
+	.B2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_557 ),
+	.C1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_334 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_605 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17333  (
+	.A1(FE_DBTN153_soc_top_u_top_u_core_instr_rdata_alu_id_30),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_579 ),
+	.B1(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.B2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_335 ),
+	.C1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_334 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_609 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17336  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_576 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_720 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_602 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17337  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_212 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_563 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_601 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17338  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_553 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_712 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_361 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_600 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17339  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_519 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_220 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_485 ),
+	.D(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_409 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_599 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17340  (
+	.A1(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_464 ),
+	.B1(FE_DBTN153_soc_top_u_top_u_core_instr_rdata_alu_id_30),
+	.B2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_174 ),
+	.C1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_573 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_598 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17341  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_535 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_395 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_368 ),
+	.X(soc_top_u_top_u_core_id_stage_i_mv_instr), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31o_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17342  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_511 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_299 ),
+	.A3(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_174 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_561 ),
+	.X(soc_top_u_top_u_core_fp_alu_operator[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17343  (
+	.A1(soc_top_u_top_u_core_id_stage_i_bt_b_mux_sel[1]),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_172 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_518 ),
+	.B2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_360 ),
+	.C1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_480 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_595 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17344  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_510 ),
+	.B_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_579 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_594 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17348  (
+	.A1(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_542 ),
+	.B1(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
+	.B2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_508 ),
+	.C1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_504 ),
+	.C2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_229 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_590 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17350  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_318 ),
+	.A2(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_288 ),
+	.B2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_465 ),
+	.C1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_520 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_588 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17352  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_529 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_458 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_508 ),
+	.B2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_453 ),
+	.C1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_512 ),
+	.X(soc_top_u_top_u_core_fp_alu_operator[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17353  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_540 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_534 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_481 ),
+	.Y(soc_top_u_top_u_core_alu_operator_ex[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17354  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_504 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_527 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_305 ),
+	.B2(soc_top_u_top_u_core_instr_rdata_id[31]),
+	.C1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_377 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_584 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17355  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_314 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_503 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_525 ),
+	.C1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_575 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_583 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17356  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_333 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_346 ),
+	.B1(soc_top_u_top_u_core_instr_rdata_alu_id[26]),
+	.B2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_184 ),
+	.C1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_545 ),
+	.C2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_320 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_582 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17359  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_377 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_527 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_382 ),
+	.D(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_578 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17361  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_526 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_172 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_576 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17362  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_526 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_300 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_575 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17363  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_469 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_548 ),
+	.Y(soc_top_u_top_u_core_id_stage_i_wfi_insn_dec), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17364  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_507 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_458 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_0 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_573 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17365  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_530 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_505 ),
+	.Y(soc_top_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17367  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_189 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_206 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_525 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_570 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17368  (
+	.A1(soc_top_u_top_u_core_instr_rdata_alu_id[26]),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_504 ),
+	.B1(soc_top_u_top_u_core_id_stage_i_n_1176),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_569 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17370  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_522 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_299 ),
+	.C(FE_DBTN150_soc_top_u_top_u_core_instr_rdata_alu_id_27),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_579 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17372  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_555 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_566 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17373  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_454 ),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[3]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_451 ),
+	.B2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_302 ),
+	.C1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_476 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_565 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17374  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_202 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_182 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_383 ),
+	.D(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_548 ),
+	.Y(soc_top_u_top_u_core_id_stage_i_dret_insn_dec), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17375  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_464 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_386 ),
+	.A3(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_294 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_474 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_563 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17376  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_199 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_802 ),
+	.B1_N(soc_top_u_top_u_core_multdiv_signed_mode_ex[1]),
+	.Y(soc_top_u_top_u_core_multdiv_signed_mode_ex[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17377  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_432 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_453 ),
+	.B1(FE_DBTN153_soc_top_u_top_u_core_instr_rdata_alu_id_30),
+	.B2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_422 ),
+	.C1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_507 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_561 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17380  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_436 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_345 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_378 ),
+	.B2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_503 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_558 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17381  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_504 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_299 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_452 ),
+	.B2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_201 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_557 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17382  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_468 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_407 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_479 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_556 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17383  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_436 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_681 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_173 ),
+	.B2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_503 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_555 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17384  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_553 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_712 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_554 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17385  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_543 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_553 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17386  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_541 ),
+	.Y(soc_top_u_top_u_core_alu_operator_ex[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17388  (
+	.A(soc_top_u_top_u_core_id_stage_i_bt_b_mux_sel[1]),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_488 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_547 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17389  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_506 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_461 ),
+	.Y(soc_top_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17390  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_170 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_203 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_248 ),
+	.C1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_292 ),
+	.D1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_482 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_545 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17391  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_503 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_303 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_544 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17392  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_675 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_493 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_543 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17393  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_400 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_423 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_174 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_542 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17394  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_503 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_173 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_541 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17395  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_503 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_333 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_540 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17396  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_436 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_455 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_364 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_539 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17398  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_713 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_484 ),
+	.Y(soc_top_u_top_u_core_use_fp_rs2), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17399  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_367 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_295 ),
+	.B1(soc_top_u_top_u_core_id_stage_i_imm_a_mux_sel),
+	.C1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_505 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_536 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17400  (
+	.A1(soc_top_u_top_u_core_instr_rdata_id[12]),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_452 ),
+	.B1(FE_DBTN151_soc_top_u_top_u_core_instr_rdata_alu_id_28),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_535 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17401  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_494 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_283 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_534 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17402  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_103 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_802 ),
+	.Y(soc_top_u_top_u_core_multdiv_operator_ex[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17403  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[14]),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_802 ),
+	.Y(soc_top_u_top_u_core_mult_sel_ex), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17404  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_417 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_280 ),
+	.C(FE_DBTN149_soc_top_u_top_u_core_instr_rdata_alu_id_26),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_548 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17407  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_524 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_525 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17408  (
+	.A1(FE_DBTN155_soc_top_u_top_u_core_instr_rdata_id_13),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_396 ),
+	.B1(soc_top_u_top_u_core_instr_rdata_id[12]),
+	.C1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_360 ),
+	.X(soc_top_u_top_u_core_csr_op[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17409  (
+	.A1(FE_DBTN154_soc_top_u_top_u_core_instr_rdata_id_12),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_452 ),
+	.B1(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_522 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17410  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_418 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_437 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_379 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_521 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32a_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17411  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_686 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_851 ),
+	.A3(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_687 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_271 ),
+	.B2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_411 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_520 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17412  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_433 ),
+	.B(soc_top_u_top_u_core_instr_rdata_id[8]),
+	.C(soc_top_u_top_u_core_instr_rdata_id[10]),
+	.D(soc_top_u_top_u_core_instr_rdata_id[11]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_519 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17413  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_353 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_318 ),
+	.A3(FE_DBTN149_soc_top_u_top_u_core_instr_rdata_alu_id_26),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_216 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_518 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17414  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_418 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_417 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_394 ),
+	.X(soc_top_u_top_u_core_id_stage_i_ecall_insn_dec), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17415  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_399 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_437 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_381 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_516 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17417  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_472 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_488 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_515 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17419  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_406 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_498 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_530 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17420  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_451 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_211 ),
+	.C(FE_DBTN157_soc_top_u_top_u_core_instr_rdata_id_31),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_529 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17421  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_208 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_333 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_486 ),
+	.X(soc_top_u_top_u_core_multdiv_signed_mode_ex[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17422  (
+	.A0(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_458 ),
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_299 ),
+	.S(soc_top_u_top_u_core_instr_rdata_id[31]),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_527 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17423  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_496 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_416 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_526 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17424  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_501 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_743 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_524 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17425  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_510 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_511 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17428  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_804 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_259 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_173 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_501 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17429  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_216 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_455 ),
+	.Y(soc_top_u_top_u_core_lsu_type[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17430  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[14]),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_465 ),
+	.Y(soc_top_u_top_u_core_lsu_sign_ext), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17431  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_215 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_173 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_804 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_498 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17432  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_451 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_0 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_497 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17433  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_437 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_0 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_496 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17434  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_455 ),
+	.B_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_184 ),
+	.Y(soc_top_u_top_u_core_lsu_type[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17435  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_436 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_398 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_494 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17436  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_453 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_229 ),
+	.X(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17437  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_350 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_451 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_299 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_512 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17438  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_425 ),
+	.B(soc_top_u_top_u_core_instr_rdata_id[31]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_510 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17439  (
+	.A(soc_top_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[2]),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_428 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_493 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17441  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_194 ),
+	.B_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_424 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_508 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17442  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_424 ),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_507 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17443  (
+	.A_N(n_34672),
+	.B(soc_top_u_top_u_core_id_stage_i_bt_b_mux_sel[1]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_506 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17444  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_461 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_364 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_505 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17445  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_0 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_423 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_504 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17446  (
+	.A(soc_top_u_top_u_core_id_stage_i_bt_b_mux_sel[1]),
+	.B(n_34672),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_503 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17450  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_802 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_486 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17451  (
+	.A0(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_349 ),
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_202 ),
+	.S(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_485 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17452  (
+	.A1_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_215 ),
+	.A2_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_804 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_297 ),
+	.B2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_386 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_484 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17453  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_160 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_364 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_459 ),
+	.Y(soc_top_u_top_u_core_id_stage_i_bt_b_mux_sel[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17454  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_229 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_347 ),
+	.B1(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_482 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17455  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_701 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_415 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_481 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17456  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_215 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_855 ),
+	.A3(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_336 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_276 ),
+	.B2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_369 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_480 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17457  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_452 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_395 ),
+	.C(FE_DBTN151_soc_top_u_top_u_core_instr_rdata_alu_id_28),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_479 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17458  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_357 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_373 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_404 ),
+	.X(soc_top_u_top_u_core_id_stage_i_rf_ren_a), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17460  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_194 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_337 ),
+	.C_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_453 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_476 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17461  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_686 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_239 ),
+	.A3(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_59 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_357 ),
+	.B2(soc_top_u_top_u_core_instr_rdata_id[5]),
+	.X(soc_top_u_top_u_core_id_stage_i_rf_ren_b), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4bb_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17462  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_197 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_397 ),
+	.C_N(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.D_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_451 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_474 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17463  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_401 ),
+	.A2(FE_DBTN153_soc_top_u_top_u_core_instr_rdata_alu_id_30),
+	.B1_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_199 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_473 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17464  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_416 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_364 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_258 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_472 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17465  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_360 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_396 ),
+	.C(soc_top_u_top_u_core_instr_rdata_id[13]),
+	.X(soc_top_u_top_u_core_csr_op[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17466  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_415 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_322 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_320 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_470 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17467  (
+	.A(soc_top_u_top_u_core_rf_raddr_b[1]),
+	.B(FE_DBTN151_soc_top_u_top_u_core_instr_rdata_alu_id_28),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_286 ),
+	.D(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_339 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_469 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17468  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_229 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_351 ),
+	.B1(soc_top_u_top_u_core_instr_rdata_id[31]),
+	.B2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_182 ),
+	.C1(FE_DBTN149_soc_top_u_top_u_core_instr_rdata_alu_id_26),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_468 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17470  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_239 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_804 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_369 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_488 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17474  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_459 ),
+	.Y(soc_top_u_top_u_core_id_stage_i_bt_b_mux_sel[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17477  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_428 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_455 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17490  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_436 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_437 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17493  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_396 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_280 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_433 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17494  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_385 ),
+	.B_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_302 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_432 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17495  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_374 ),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_431 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17498  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_357 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_259 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_465 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17499  (
+	.A(FE_DBTN153_soc_top_u_top_u_core_instr_rdata_alu_id_30),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_397 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_464 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17500  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[2]),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_373 ),
+	.Y(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17501  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_389 ),
+	.B(soc_top_u_top_u_core_instr_rdata_id[4]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_461 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17502  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_370 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_333 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_459 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17503  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_394 ),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_458 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17504  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[4]),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_855 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_428 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17505  (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[26]),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_393 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_454 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17506  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_839 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_385 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_453 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17507  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_394 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_276 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_452 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17508  (
+	.A(FE_DBTN151_soc_top_u_top_u_core_instr_rdata_alu_id_28),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_385 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_451 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_8 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17509  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[2]),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_372 ),
+	.Y(soc_top_u_top_u_core_id_stage_i_bt_b_mux_sel[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17510  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_855 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_204 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_436 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17515  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_416 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_415 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17517  (
+	.A(FE_DBTN154_soc_top_u_top_u_core_instr_rdata_id_12),
+	.B(soc_top_u_top_u_core_instr_rdata_id[4]),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_173 ),
+	.D(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_804 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_413 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17518  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_322 ),
+	.A2(FE_DBTN150_soc_top_u_top_u_core_instr_rdata_alu_id_27),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_385 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_412 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17519  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_173 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_223 ),
+	.A3(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_686 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_284 ),
+	.B2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_189 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_411 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17521  (
+	.A0(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_227 ),
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_296 ),
+	.S(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_188 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_409 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17522  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_318 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_320 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_399 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_408 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17523  (
+	.A1(FE_DBTN157_soc_top_u_top_u_core_instr_rdata_id_31),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_334 ),
+	.B1_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_395 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_407 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17524  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_215 ),
+	.B(soc_top_u_top_u_core_instr_rdata_id[14]),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_855 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_406 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17525  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_335 ),
+	.A2(FE_DBTN153_soc_top_u_top_u_core_instr_rdata_alu_id_30),
+	.B1_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_182 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_405 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17526  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_173 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_210 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_361 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_404 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17527  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_258 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_804 ),
+	.Y(soc_top_u_top_u_core_fp_load), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17528  (
+	.A(FE_DBTN153_soc_top_u_top_u_core_instr_rdata_alu_id_30),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_385 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_425 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17529  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_336 ),
+	.A2(FE_DBTN150_soc_top_u_top_u_core_instr_rdata_alu_id_27),
+	.B1_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_337 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_424 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17530  (
+	.A1(FE_DBTN155_soc_top_u_top_u_core_instr_rdata_id_13),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_335 ),
+	.B1_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_320 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_423 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17531  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_170 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_400 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_422 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17532  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_360 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_319 ),
+	.Y(soc_top_u_top_u_core_id_stage_i_imm_a_mux_sel), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17533  (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_344 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_418 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17534  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_319 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_361 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_417 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17535  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_855 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_213 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_416 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17537  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_398 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_399 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17538  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_393 ),
+	.Y(soc_top_u_top_u_core_id_stage_i_n_1176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17541  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_804 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_389 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17543  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_386 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_385 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17544  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_148 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_271 ),
+	.B1_N(soc_top_u_top_u_core_instr_rdata_id[5]),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_384 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17545  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_188 ),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
+	.C(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.D(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_383 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17546  (
+	.A1(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_182 ),
+	.B1(soc_top_u_top_u_core_instr_rdata_id[31]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_382 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17547  (
+	.A(FE_DBTN153_soc_top_u_top_u_core_instr_rdata_alu_id_30),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_319 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_381 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17548  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_300 ),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_380 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17549  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_321 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_199 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_379 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17550  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_300 ),
+	.B(FE_DBTN155_soc_top_u_top_u_core_instr_rdata_id_13),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_378 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17551  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_321 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_322 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_401 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17552  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_293 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_299 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_400 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17553  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_323 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_203 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_398 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17554  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_299 ),
+	.B(soc_top_u_top_u_core_instr_rdata_id[20]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_397 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17555  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_291 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_226 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_396 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17556  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_212 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_182 ),
+	.C_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_203 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_395 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17557  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[20]),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_298 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_394 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17558  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_687 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_259 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_393 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17560  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_730 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_731 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_386 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17563  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_344 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_374 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17564  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_372 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_373 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17566  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_369 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_370 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17567  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_367 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_368 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17571  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_364 ),
+	.Y(soc_top_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17572  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_361 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_360 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17575  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_855 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_357 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17578  (
+	.A1(FE_DBTN150_soc_top_u_top_u_core_instr_rdata_alu_id_27),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_197 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_339 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_353 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32a_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17580  (
+	.A1(FE_DBTN153_soc_top_u_top_u_core_instr_rdata_alu_id_30),
+	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
+	.A3(FE_DBTN150_soc_top_u_top_u_core_instr_rdata_alu_id_27),
+	.B1(FE_DBTN151_soc_top_u_top_u_core_instr_rdata_alu_id_28),
+	.B2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_211 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_351 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17581  (
+	.A(FE_DBTN157_soc_top_u_top_u_core_instr_rdata_id_31),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_201 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_305 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_350 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17582  (
+	.A1(FE_DBTN151_soc_top_u_top_u_core_instr_rdata_alu_id_28),
+	.A2(soc_top_u_top_u_core_rf_raddr_b[2]),
+	.B1(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
+	.B2(n_17186),
+	.C1(soc_top_u_top_u_core_rf_raddr_b[1]),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_349 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17583  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_188 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_279 ),
+	.C(FE_DBTN153_soc_top_u_top_u_core_instr_rdata_alu_id_30),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_348 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17584  (
+	.A(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_285 ),
+	.C_N(soc_top_u_top_u_core_rf_raddr_b[2]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_347 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17585  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_323 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_0 ),
+	.C(FE_DBTN153_soc_top_u_top_u_core_instr_rdata_alu_id_30),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_346 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17586  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_209 ),
+	.A2(FE_DBTN152_soc_top_u_top_u_core_instr_rdata_alu_id_29),
+	.B1(soc_top_u_top_u_core_instr_rdata_id[31]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_377 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17587  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_311 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_322 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_345 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17588  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_248 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_229 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_691 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_344 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17589  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_687 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_343 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_372 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17590  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_851 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_340 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_369 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17591  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_687 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_213 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_271 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_367 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17592  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_687 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_310 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_364 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17593  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_687 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_204 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_271 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_361 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17595  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_316 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_343 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17599  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_332 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_333 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17608  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_322 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_323 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17609  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_321 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_320 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17610  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_319 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_318 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17612  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_239 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_160 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_316 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17614  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_267 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_257 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_314 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17615  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_103 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_256 ),
+	.Y(soc_top_u_top_u_core_fp_rm_dynamic), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17617  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_197 ),
+	.B(FE_DBTN149_soc_top_u_top_u_core_instr_rdata_alu_id_26),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_311 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17618  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_160 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_189 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_340 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17619  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_59 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_215 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_310 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17620  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_279 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_0 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_339 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17622  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_276 ),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_337 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17623  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[14]),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_257 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_336 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17624  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_256 ),
+	.B(soc_top_u_top_u_core_instr_rdata_id[14]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_335 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17625  (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_229 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_334 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17626  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_184 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_103 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_332 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17628  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_248 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_174 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_322 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17629  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_184 ),
+	.B(soc_top_u_top_u_core_instr_rdata_id[14]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_321 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17630  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_267 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_103 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_319 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17635  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_298 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_299 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17636  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_219 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_194 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_297 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17637  (
+	.A1(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.A2(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.B1_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_194 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_296 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17638  (
+	.A1(soc_top_u_top_u_core_instr_rdata_id[31]),
+	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_192 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_295 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17639  (
+	.A1(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
+	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
+	.B1(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_294 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17640  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[20]),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_0 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_293 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17641  (
+	.A1(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.A2(FE_DBTN150_soc_top_u_top_u_core_instr_rdata_alu_id_27),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_170 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_292 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17642  (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[15]),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[16]),
+	.C(soc_top_u_top_u_core_instr_rdata_alu_id[17]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_291 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17645  (
+	.A1(soc_top_u_top_u_core_instr_rdata_id[14]),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[13]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_257 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_288 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17647  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_229 ),
+	.B(soc_top_u_top_u_core_rf_raddr_b[2]),
+	.C(soc_top_u_top_u_core_instr_rdata_id[20]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_286 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17648  (
+	.A_N(soc_top_u_top_u_core_rf_raddr_b[3]),
+	.B(soc_top_u_top_u_core_instr_rdata_id[20]),
+	.C(soc_top_u_top_u_core_rf_raddr_b[1]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_285 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17649  (
+	.A1(soc_top_u_top_u_core_instr_rdata_id[2]),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_71 ),
+	.B1(soc_top_u_top_u_core_instr_rdata_id[6]),
+	.C1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_148 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_284 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17650  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_103 ),
+	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_183 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_283 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17651  (
+	.A1(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
+	.A2(FE_DBTN152_soc_top_u_top_u_core_instr_rdata_alu_id_29),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_209 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_305 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17652  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_103 ),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[13]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_216 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_303 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17653  (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.B(soc_top_u_top_u_core_instr_rdata_id[31]),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_170 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_302 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17654  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_210 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_207 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_300 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17655  (
+	.A_N(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_225 ),
+	.C(n_17186),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_298 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17667  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_216 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_267 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17674  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_258 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_259 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17676  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_257 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_256 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17683  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_839 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_248 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17692  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_215 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_239 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17702  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_227 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_229 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17704  (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[18]),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[19]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_226 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17705  (
+	.A(soc_top_u_top_u_core_rf_raddr_b[1]),
+	.B(soc_top_u_top_u_core_rf_raddr_b[3]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_225 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17707  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[6]),
+	.B(soc_top_u_top_u_core_instr_rdata_id[4]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_223 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17708  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[13]),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_222 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17710  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[7]),
+	.B(soc_top_u_top_u_core_instr_rdata_id[9]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_220 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17711  (
+	.A(FE_DBTN150_soc_top_u_top_u_core_instr_rdata_alu_id_27),
+	.B(FE_DBTN151_soc_top_u_top_u_core_instr_rdata_alu_id_28),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_219 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17712  (
+	.A(soc_top_u_top_u_core_rf_raddr_b[3]),
+	.B(soc_top_u_top_u_core_instr_rdata_id[31]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_280 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17713  (
+	.A(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_279 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17716  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[13]),
+	.B(soc_top_u_top_u_core_instr_rdata_id[14]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_276 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17717  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[3]),
+	.B(soc_top_u_top_u_core_instr_rdata_id[2]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_271 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17718  (
+	.A(FE_DBTN155_soc_top_u_top_u_core_instr_rdata_id_13),
+	.B(FE_DBTN154_soc_top_u_top_u_core_instr_rdata_id_12),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_216 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17719  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_148 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_71 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_258 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17720  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[13]),
+	.B(soc_top_u_top_u_core_instr_rdata_id[12]),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_257 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17722  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_148 ),
+	.B(soc_top_u_top_u_core_instr_rdata_id[5]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_215 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17723  (
+	.A(FE_DBTN152_soc_top_u_top_u_core_instr_rdata_alu_id_29),
+	.B(FE_DBTN153_soc_top_u_top_u_core_instr_rdata_alu_id_30),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_227 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17725  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_207 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_208 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17726  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_204 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_206 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17732  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_193 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_192 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17737  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_183 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_184 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17746  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_170 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_174 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17747  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_173 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_172 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17749  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[5]),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_148 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_213 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17750  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[31]),
+	.B(FE_DBTN150_soc_top_u_top_u_core_instr_rdata_alu_id_27),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_212 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17751  (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.B(FE_DBTN150_soc_top_u_top_u_core_instr_rdata_alu_id_27),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_211 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17752  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_103 ),
+	.B(soc_top_u_top_u_core_instr_rdata_id[12]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_210 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17753  (
+	.A(FE_DBTN150_soc_top_u_top_u_core_instr_rdata_alu_id_27),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_209 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17754  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[14]),
+	.B(FE_DBTN154_soc_top_u_top_u_core_instr_rdata_id_12),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_207 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17755  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_71 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_148 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_204 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17756  (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[26]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_203 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17757  (
+	.A(n_17186),
+	.B(soc_top_u_top_u_core_rf_raddr_b[1]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_202 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17758  (
+	.A(FE_DBTN152_soc_top_u_top_u_core_instr_rdata_alu_id_29),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_201 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17759  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[13]),
+	.B(FE_DBTN154_soc_top_u_top_u_core_instr_rdata_id_12),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_199 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17760  (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_197 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17761  (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.B(FE_DBTN153_soc_top_u_top_u_core_instr_rdata_alu_id_30),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_194 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17762  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[31]),
+	.B(FE_DBTN151_soc_top_u_top_u_core_instr_rdata_alu_id_28),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_193 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17763  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[2]),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_164 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_189 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17764  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[20]),
+	.B(FE_DBTN151_soc_top_u_top_u_core_instr_rdata_alu_id_28),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_188 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17765  (
+	.A(FE_DBTN155_soc_top_u_top_u_core_instr_rdata_id_13),
+	.B(soc_top_u_top_u_core_instr_rdata_id[12]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_183 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17766  (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_182 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17767  (
+	.A(FE_DBTN150_soc_top_u_top_u_core_instr_rdata_alu_id_27),
+	.B(FE_DBTN152_soc_top_u_top_u_core_instr_rdata_alu_id_29),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_170 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17768  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_103 ),
+	.B(soc_top_u_top_u_core_instr_rdata_id[13]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_173 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17773  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[6]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_164 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17779  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[3]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_160 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17791  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[4]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_148 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17835  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[14]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_103 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17863  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[5]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_71 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17879  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[2]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_59 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17938  (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_0 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17940  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_530 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_515 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_506 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_665 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17944  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_506 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_525 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_505 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_669 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17945  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_416 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_473 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_521 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_670 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17950  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/logic_0_1_net ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_804 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_416 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_675 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17955  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_384 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_687 ),
+	.X(soc_top_u_top_u_core_is_fp_instr), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17956  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_311 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_323 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_222 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_681 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17961  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_160 ),
+	.B(soc_top_u_top_u_core_instr_rdata_id[1]),
+	.C(soc_top_u_top_u_core_instr_rdata_id[0]),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_686 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17962  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_692 ),
+	.B(soc_top_u_top_u_core_instr_rdata_id[6]),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_687 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17966  (
+	.A(FE_DBTN150_soc_top_u_top_u_core_instr_rdata_alu_id_27),
+	.B(FE_DBTN149_soc_top_u_top_u_core_instr_rdata_alu_id_26),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_691 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17967  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[1]),
+	.B(soc_top_u_top_u_core_instr_rdata_id[0]),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_692 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_4 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17970  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_602 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_615 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_566 ),
+	.Y(soc_top_u_top_u_core_alu_operator_ex[5]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_4 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17971  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_583 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_615 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_566 ),
+	.Y(soc_top_u_top_u_core_alu_operator_ex[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17975  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_397 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_418 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_417 ),
+	.X(soc_top_u_top_u_core_id_stage_i_ebrk_insn), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17976  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_401 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_332 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_701 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17979  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_303 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_486 ),
+	.X(soc_top_u_top_u_core_multdiv_operator_ex[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17982  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_348 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_548 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_202 ),
+	.D_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_201 ),
+	.Y(soc_top_u_top_u_core_id_stage_i_mret_insn_dec), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17987  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_488 ),
+	.B(soc_top_u_top_u_core_id_stage_i_bt_b_mux_sel[1]),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_437 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_712 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17988  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_846 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_386 ),
+	.B1_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_393 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_713 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17995  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_380 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_436 ),
+	.B1_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_524 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_720 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g18005  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_692 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_160 ),
+	.C(soc_top_u_top_u_core_instr_rdata_id[6]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_730 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g18006  (
+	.A(FE_DBTN149_soc_top_u_top_u_core_instr_rdata_alu_id_26),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_59 ),
+	.C(soc_top_u_top_u_core_instr_rdata_id[4]),
+	.D(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_71 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_731 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g18007  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_103 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_361 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_600 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_732 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_id_stage_i_decoder_i/g2  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_735 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_470 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_736 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_4 \soc_top_u_top_u_core_id_stage_i_decoder_i/g3  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_539 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_547 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_675 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_735 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g18014  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_319 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_340 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_743 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 \soc_top_u_top_u_core_id_stage_i_decoder_i/g18056  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_431 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_437 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_802 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 \soc_top_u_top_u_core_id_stage_i_decoder_i/g18058  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_189 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_686 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_804 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g18059  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_526 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_257 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_558 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_805 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g9  (
+	.A(FE_DBTN151_soc_top_u_top_u_core_instr_rdata_alu_id_28),
+	.B(FE_DBTN157_soc_top_u_top_u_core_instr_rdata_id_31),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_839 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g19  (
+	.A1_N(FE_DBTN153_soc_top_u_top_u_core_instr_rdata_alu_id_30),
+	.A2_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_248 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_227 ),
+	.B2(soc_top_u_top_u_core_instr_rdata_id[31]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_846 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g18094  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_692 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_259 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_851 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g18098  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_164 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_59 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_160 ),
+	.D(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_692 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_855 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__conb_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/tie_0_cell  (
+	.HI(\soc_top_u_top_u_core_id_stage_i_decoder_i/UNCONNECTED ),
+	.LO(\soc_top_u_top_u_core_id_stage_i_decoder_i/logic_0_1_net ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_2 \soc_top_u_uart_rx_prog/CTS_ccl_a_buf_00497  (
+	.A(CTS_2),
+	.X(\soc_top_u_uart_rx_prog/CTS_1 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_rx_prog/r_Bit_Index_reg[0]  (
+	.CLK(CTS_157),
+	.D(\soc_top_u_uart_rx_prog/n_138 ),
+	.Q(\soc_top_u_uart_rx_prog/r_Bit_Index[0] ),
+	.RESET_B(wb_rst_i),
+	.SCD(\soc_top_u_uart_rx_prog/n_150 ),
+	.SCE(\soc_top_u_uart_rx_prog/r_Bit_Index[0] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog/r_Bit_Index_reg[1]  (
+	.CLK(CTS_157),
+	.D(\soc_top_u_uart_rx_prog/n_170 ),
+	.Q(\soc_top_u_uart_rx_prog/r_Bit_Index[1] ),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_rx_prog/r_Clock_Count_reg[0]  (
+	.CLK(\soc_top_u_uart_rx_prog/CTS_1 ),
+	.D(\soc_top_u_uart_rx_prog/n_144 ),
+	.Q(\soc_top_u_uart_rx_prog/r_Clock_Count[0] ),
+	.RESET_B(wb_rst_i),
+	.SCD(\soc_top_u_uart_rx_prog/n_135 ),
+	.SCE(\soc_top_u_uart_rx_prog/r_Clock_Count[0] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog/r_Clock_Count_reg[1]  (
+	.CLK(\soc_top_u_uart_rx_prog/CTS_1 ),
+	.D(\soc_top_u_uart_rx_prog/n_167 ),
+	.Q(\soc_top_u_uart_rx_prog/r_Clock_Count[1] ),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog/r_Clock_Count_reg[2]  (
+	.CLK(\soc_top_u_uart_rx_prog/CTS_1 ),
+	.D(\soc_top_u_uart_rx_prog/n_168 ),
+	.Q(\soc_top_u_uart_rx_prog/r_Clock_Count[2] ),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog/r_Clock_Count_reg[3]  (
+	.CLK(\soc_top_u_uart_rx_prog/CTS_1 ),
+	.D(\soc_top_u_uart_rx_prog/n_165 ),
+	.Q(\soc_top_u_uart_rx_prog/r_Clock_Count[3] ),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog/r_Clock_Count_reg[4]  (
+	.CLK(\soc_top_u_uart_rx_prog/CTS_1 ),
+	.D(\soc_top_u_uart_rx_prog/n_162 ),
+	.Q(\soc_top_u_uart_rx_prog/r_Clock_Count[4] ),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog/r_Clock_Count_reg[5]  (
+	.CLK(\soc_top_u_uart_rx_prog/CTS_1 ),
+	.D(\soc_top_u_uart_rx_prog/n_163 ),
+	.Q(\soc_top_u_uart_rx_prog/r_Clock_Count[5] ),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog/r_Clock_Count_reg[6]  (
+	.CLK(\soc_top_u_uart_rx_prog/CTS_1 ),
+	.D(\soc_top_u_uart_rx_prog/n_164 ),
+	.Q(\soc_top_u_uart_rx_prog/r_Clock_Count[6] ),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog/r_Clock_Count_reg[7]  (
+	.CLK(\soc_top_u_uart_rx_prog/CTS_1 ),
+	.D(\soc_top_u_uart_rx_prog/n_161 ),
+	.Q(\soc_top_u_uart_rx_prog/r_Clock_Count[7] ),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog/r_Clock_Count_reg[8]  (
+	.CLK(\soc_top_u_uart_rx_prog/CTS_1 ),
+	.D(\soc_top_u_uart_rx_prog/n_160 ),
+	.Q(\soc_top_u_uart_rx_prog/r_Clock_Count[8] ),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog/r_Clock_Count_reg[9]  (
+	.CLK(\soc_top_u_uart_rx_prog/CTS_1 ),
+	.D(\soc_top_u_uart_rx_prog/n_173 ),
+	.Q(\soc_top_u_uart_rx_prog/r_Clock_Count[9] ),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog/r_Clock_Count_reg[10]  (
+	.CLK(\soc_top_u_uart_rx_prog/CTS_1 ),
+	.D(\soc_top_u_uart_rx_prog/n_176 ),
+	.Q(\soc_top_u_uart_rx_prog/r_Clock_Count[10] ),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog/r_Clock_Count_reg[11]  (
+	.CLK(\soc_top_u_uart_rx_prog/CTS_1 ),
+	.D(\soc_top_u_uart_rx_prog/n_179 ),
+	.Q(\soc_top_u_uart_rx_prog/r_Clock_Count[11] ),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog/r_Clock_Count_reg[12]  (
+	.CLK(\soc_top_u_uart_rx_prog/CTS_1 ),
+	.D(\soc_top_u_uart_rx_prog/n_182 ),
+	.Q(\soc_top_u_uart_rx_prog/r_Clock_Count[12] ),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog/r_Clock_Count_reg[13]  (
+	.CLK(\soc_top_u_uart_rx_prog/CTS_1 ),
+	.D(\soc_top_u_uart_rx_prog/n_185 ),
+	.Q(\soc_top_u_uart_rx_prog/r_Clock_Count[13] ),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog/r_Clock_Count_reg[14]  (
+	.CLK(\soc_top_u_uart_rx_prog/CTS_1 ),
+	.D(\soc_top_u_uart_rx_prog/n_189 ),
+	.Q(\soc_top_u_uart_rx_prog/r_Clock_Count[14] ),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog/r_Clock_Count_reg[15]  (
+	.CLK(\soc_top_u_uart_rx_prog/CTS_1 ),
+	.D(\soc_top_u_uart_rx_prog/n_190 ),
+	.Q(\soc_top_u_uart_rx_prog/r_Clock_Count[15] ),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_rx_prog/r_Rx_Byte_reg[0]  (
+	.CLK(CTS_141),
+	.D(\soc_top_u_uart_rx_prog/r_Rx_Data ),
+	.Q(soc_top_rx_byte_i[0]),
+	.RESET_B(wb_rst_i),
+	.SCD(\soc_top_u_uart_rx_prog/n_26 ),
+	.SCE(\soc_top_u_uart_rx_prog/n_153 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_rx_prog/r_Rx_Byte_reg[1]  (
+	.CLK(CTS_141),
+	.D(\soc_top_u_uart_rx_prog/n_30 ),
+	.Q(soc_top_rx_byte_i[1]),
+	.RESET_B(wb_rst_i),
+	.SCD(\soc_top_u_uart_rx_prog/r_Rx_Data ),
+	.SCE(\soc_top_u_uart_rx_prog/n_152 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_rx_prog/r_Rx_Byte_reg[2]  (
+	.CLK(CTS_156),
+	.D(\soc_top_u_uart_rx_prog/n_31 ),
+	.Q(soc_top_rx_byte_i[2]),
+	.RESET_B(wb_rst_i),
+	.SCD(\soc_top_u_uart_rx_prog/r_Rx_Data ),
+	.SCE(\soc_top_u_uart_rx_prog/n_151 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_rx_prog/r_Rx_Byte_reg[3]  (
+	.CLK(CTS_141),
+	.D(\soc_top_u_uart_rx_prog/n_33 ),
+	.Q(soc_top_rx_byte_i[3]),
+	.RESET_B(wb_rst_i),
+	.SCD(\soc_top_u_uart_rx_prog/r_Rx_Data ),
+	.SCE(\soc_top_u_uart_rx_prog/n_156 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_rx_prog/r_Rx_Byte_reg[4]  (
+	.CLK(CTS_141),
+	.D(\soc_top_u_uart_rx_prog/r_Rx_Data ),
+	.Q(soc_top_rx_byte_i[4]),
+	.RESET_B(wb_rst_i),
+	.SCD(\soc_top_u_uart_rx_prog/n_25 ),
+	.SCE(\soc_top_u_uart_rx_prog/n_157 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_rx_prog/r_Rx_Byte_reg[5]  (
+	.CLK(CTS_156),
+	.D(\soc_top_u_uart_rx_prog/r_Rx_Data ),
+	.Q(soc_top_rx_byte_i[5]),
+	.RESET_B(wb_rst_i),
+	.SCD(\soc_top_u_uart_rx_prog/n_28 ),
+	.SCE(\soc_top_u_uart_rx_prog/n_155 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_rx_prog/r_Rx_Byte_reg[6]  (
+	.CLK(CTS_141),
+	.D(\soc_top_u_uart_rx_prog/r_Rx_Data ),
+	.Q(soc_top_rx_byte_i[6]),
+	.RESET_B(wb_rst_i),
+	.SCD(\soc_top_u_uart_rx_prog/n_34 ),
+	.SCE(\soc_top_u_uart_rx_prog/n_211 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_rx_prog/r_Rx_Byte_reg[7]  (
+	.CLK(CTS_141),
+	.D(\soc_top_u_uart_rx_prog/n_32 ),
+	.Q(soc_top_rx_byte_i[7]),
+	.RESET_B(wb_rst_i),
+	.SCD(\soc_top_u_uart_rx_prog/r_Rx_Data ),
+	.SCE(\soc_top_u_uart_rx_prog/n_145 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog/r_Rx_DV_reg  (
+	.CLK(CTS_156),
+	.D(\soc_top_u_uart_rx_prog/n_210 ),
+	.Q(soc_top_rx_dv_i),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_rx_prog/r_Rx_Data_R_reg  (
+	.CLK(CTS_138),
+	.D(\soc_top_u_uart_rx_prog/n_7 ),
+	.Q(\soc_top_u_uart_rx_prog/r_Rx_Data_R ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog/r_SM_Main_reg[0]  (
+	.CLK(CTS_156),
+	.D(\soc_top_u_uart_rx_prog/n_166 ),
+	.Q(\soc_top_u_uart_rx_prog/r_SM_Main[0] ),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog/r_SM_Main_reg[1]  (
+	.CLK(CTS_156),
+	.D(\soc_top_u_uart_rx_prog/n_142 ),
+	.Q(\soc_top_u_uart_rx_prog/r_SM_Main[1] ),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog/r_SM_Main_reg[2]  (
+	.CLK(CTS_156),
+	.D(\soc_top_u_uart_rx_prog/n_140 ),
+	.Q(\soc_top_u_uart_rx_prog/r_SM_Main[2] ),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_rx_prog/g6904  (
+	.A1_N(\soc_top_u_uart_rx_prog/r_Clock_Count[15] ),
+	.A2_N(\soc_top_u_uart_rx_prog/n_135 ),
+	.B1(\soc_top_u_uart_rx_prog/n_143 ),
+	.B2(\soc_top_u_uart_rx_prog/n_188 ),
+	.Y(\soc_top_u_uart_rx_prog/n_190 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_rx_prog/g6906  (
+	.A1(\soc_top_u_uart_rx_prog/n_187 ),
+	.A2(\soc_top_u_uart_rx_prog/n_144 ),
+	.B1(\soc_top_u_uart_rx_prog/n_135 ),
+	.B2(\soc_top_u_uart_rx_prog/r_Clock_Count[14] ),
+	.X(\soc_top_u_uart_rx_prog/n_189 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_uart_rx_prog/g6907  (
+	.A(\soc_top_u_uart_rx_prog/r_Clock_Count[15] ),
+	.B(\soc_top_u_uart_rx_prog/n_186 ),
+	.Y(\soc_top_u_uart_rx_prog/n_188 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_rx_prog/g6908  (
+	.A(\soc_top_u_uart_rx_prog/r_Clock_Count[14] ),
+	.B(\soc_top_u_uart_rx_prog/n_183 ),
+	.COUT(\soc_top_u_uart_rx_prog/n_186 ),
+	.SUM(\soc_top_u_uart_rx_prog/n_187 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_rx_prog/g6910  (
+	.A1(\soc_top_u_uart_rx_prog/n_144 ),
+	.A2(\soc_top_u_uart_rx_prog/n_184 ),
+	.B1(\soc_top_u_uart_rx_prog/n_135 ),
+	.B2(\soc_top_u_uart_rx_prog/r_Clock_Count[13] ),
+	.X(\soc_top_u_uart_rx_prog/n_185 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_rx_prog/g6911  (
+	.A(\soc_top_u_uart_rx_prog/r_Clock_Count[13] ),
+	.B(\soc_top_u_uart_rx_prog/n_180 ),
+	.COUT(\soc_top_u_uart_rx_prog/n_183 ),
+	.SUM(\soc_top_u_uart_rx_prog/n_184 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_rx_prog/g6913  (
+	.A1(\soc_top_u_uart_rx_prog/n_144 ),
+	.A2(\soc_top_u_uart_rx_prog/n_181 ),
+	.B1(\soc_top_u_uart_rx_prog/n_135 ),
+	.B2(\soc_top_u_uart_rx_prog/r_Clock_Count[12] ),
+	.X(\soc_top_u_uart_rx_prog/n_182 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_rx_prog/g6914  (
+	.A(\soc_top_u_uart_rx_prog/r_Clock_Count[12] ),
+	.B(\soc_top_u_uart_rx_prog/n_177 ),
+	.COUT(\soc_top_u_uart_rx_prog/n_180 ),
+	.SUM(\soc_top_u_uart_rx_prog/n_181 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_rx_prog/g6916  (
+	.A1(\soc_top_u_uart_rx_prog/n_144 ),
+	.A2(\soc_top_u_uart_rx_prog/n_178 ),
+	.B1(\soc_top_u_uart_rx_prog/n_135 ),
+	.B2(\soc_top_u_uart_rx_prog/r_Clock_Count[11] ),
+	.X(\soc_top_u_uart_rx_prog/n_179 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_rx_prog/g6917  (
+	.A(\soc_top_u_uart_rx_prog/r_Clock_Count[11] ),
+	.B(\soc_top_u_uart_rx_prog/n_174 ),
+	.COUT(\soc_top_u_uart_rx_prog/n_177 ),
+	.SUM(\soc_top_u_uart_rx_prog/n_178 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_rx_prog/g6919  (
+	.A1(\soc_top_u_uart_rx_prog/n_144 ),
+	.A2(\soc_top_u_uart_rx_prog/n_175 ),
+	.B1(\soc_top_u_uart_rx_prog/n_135 ),
+	.B2(\soc_top_u_uart_rx_prog/r_Clock_Count[10] ),
+	.X(\soc_top_u_uart_rx_prog/n_176 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_rx_prog/g6920  (
+	.A(\soc_top_u_uart_rx_prog/r_Clock_Count[10] ),
+	.B(\soc_top_u_uart_rx_prog/n_171 ),
+	.COUT(\soc_top_u_uart_rx_prog/n_174 ),
+	.SUM(\soc_top_u_uart_rx_prog/n_175 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_rx_prog/g6922  (
+	.A1(\soc_top_u_uart_rx_prog/n_144 ),
+	.A2(\soc_top_u_uart_rx_prog/n_172 ),
+	.B1(\soc_top_u_uart_rx_prog/n_135 ),
+	.B2(\soc_top_u_uart_rx_prog/r_Clock_Count[9] ),
+	.X(\soc_top_u_uart_rx_prog/n_173 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_rx_prog/g6932  (
+	.A(\soc_top_u_uart_rx_prog/r_Clock_Count[9] ),
+	.B(\soc_top_u_uart_rx_prog/n_147 ),
+	.COUT(\soc_top_u_uart_rx_prog/n_171 ),
+	.SUM(\soc_top_u_uart_rx_prog/n_172 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_rx_prog/g6940  (
+	.A1_N(\soc_top_u_uart_rx_prog/r_Bit_Index[1] ),
+	.A2_N(\soc_top_u_uart_rx_prog/n_158 ),
+	.B1(\soc_top_u_uart_rx_prog/n_9 ),
+	.B2(\soc_top_u_uart_rx_prog/n_137 ),
+	.Y(\soc_top_u_uart_rx_prog/n_170 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 \soc_top_u_uart_rx_prog/g6941  (
+	.A1(\soc_top_u_uart_rx_prog/n_4 ),
+	.A2(\soc_top_u_uart_rx_prog/n_159 ),
+	.B1_N(\soc_top_u_uart_rx_prog/n_156 ),
+	.Y(\soc_top_u_uart_rx_prog/n_169 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_rx_prog/g6947  (
+	.A1(\soc_top_u_uart_rx_prog/n_144 ),
+	.A2(\soc_top_u_uart_rx_prog/n_48 ),
+	.B1(\soc_top_u_uart_rx_prog/n_135 ),
+	.B2(\soc_top_u_uart_rx_prog/r_Clock_Count[2] ),
+	.X(\soc_top_u_uart_rx_prog/n_168 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_rx_prog/g6948  (
+	.A1(\soc_top_u_uart_rx_prog/n_144 ),
+	.A2(\soc_top_u_uart_rx_prog/n_36 ),
+	.B1(\soc_top_u_uart_rx_prog/n_135 ),
+	.B2(\soc_top_u_uart_rx_prog/r_Clock_Count[1] ),
+	.X(\soc_top_u_uart_rx_prog/n_167 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2111o_1 \soc_top_u_uart_rx_prog/g6949  (
+	.A1(\soc_top_u_uart_rx_prog/n_23 ),
+	.A2(\soc_top_u_uart_rx_prog/n_38 ),
+	.B1(\soc_top_u_uart_rx_prog/n_134 ),
+	.C1(\soc_top_u_uart_rx_prog/n_139 ),
+	.D1(\soc_top_u_uart_rx_prog/n_145 ),
+	.X(\soc_top_u_uart_rx_prog/n_166 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_rx_prog/g6950  (
+	.A1(\soc_top_u_uart_rx_prog/n_144 ),
+	.A2(\soc_top_u_uart_rx_prog/n_66 ),
+	.B1(\soc_top_u_uart_rx_prog/n_135 ),
+	.B2(\soc_top_u_uart_rx_prog/r_Clock_Count[3] ),
+	.X(\soc_top_u_uart_rx_prog/n_165 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_rx_prog/g6951  (
+	.A1(\soc_top_u_uart_rx_prog/n_144 ),
+	.A2(\soc_top_u_uart_rx_prog/n_128 ),
+	.B1(\soc_top_u_uart_rx_prog/n_135 ),
+	.B2(\soc_top_u_uart_rx_prog/r_Clock_Count[6] ),
+	.X(\soc_top_u_uart_rx_prog/n_164 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_rx_prog/g6952  (
+	.A1(\soc_top_u_uart_rx_prog/n_144 ),
+	.A2(\soc_top_u_uart_rx_prog/n_121 ),
+	.B1(\soc_top_u_uart_rx_prog/n_135 ),
+	.B2(\soc_top_u_uart_rx_prog/r_Clock_Count[5] ),
+	.X(\soc_top_u_uart_rx_prog/n_163 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_rx_prog/g6953  (
+	.A1(\soc_top_u_uart_rx_prog/n_144 ),
+	.A2(\soc_top_u_uart_rx_prog/n_96 ),
+	.B1(\soc_top_u_uart_rx_prog/n_135 ),
+	.B2(\soc_top_u_uart_rx_prog/r_Clock_Count[4] ),
+	.X(\soc_top_u_uart_rx_prog/n_162 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_rx_prog/g6954  (
+	.A1(\soc_top_u_uart_rx_prog/n_144 ),
+	.A2(\soc_top_u_uart_rx_prog/n_133 ),
+	.B1(\soc_top_u_uart_rx_prog/n_135 ),
+	.B2(\soc_top_u_uart_rx_prog/r_Clock_Count[7] ),
+	.X(\soc_top_u_uart_rx_prog/n_161 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_rx_prog/g6955  (
+	.A1(\soc_top_u_uart_rx_prog/n_144 ),
+	.A2(\soc_top_u_uart_rx_prog/n_148 ),
+	.B1(\soc_top_u_uart_rx_prog/n_135 ),
+	.B2(\soc_top_u_uart_rx_prog/r_Clock_Count[8] ),
+	.X(\soc_top_u_uart_rx_prog/n_160 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_rx_prog/g6956  (
+	.A1(\soc_top_u_uart_rx_prog/n_19 ),
+	.A2(\soc_top_u_uart_rx_prog/n_12 ),
+	.B1(\soc_top_u_uart_rx_prog/n_150 ),
+	.Y(\soc_top_u_uart_rx_prog/n_159 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_uart_rx_prog/g6957  (
+	.A1(\soc_top_u_uart_rx_prog/r_Bit_Index[0] ),
+	.A2(\soc_top_u_uart_rx_prog/n_18 ),
+	.B1(\soc_top_u_uart_rx_prog/n_149 ),
+	.Y(\soc_top_u_uart_rx_prog/n_158 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_u_uart_rx_prog/g6958  (
+	.A(\soc_top_u_uart_rx_prog/n_149 ),
+	.B(\soc_top_u_uart_rx_prog/n_19 ),
+	.C(\soc_top_u_uart_rx_prog/r_Bit_Index[2] ),
+	.D(\soc_top_u_uart_rx_prog/n_14 ),
+	.Y(\soc_top_u_uart_rx_prog/n_157 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 \soc_top_u_uart_rx_prog/g6961  (
+	.A(\soc_top_u_uart_rx_prog/n_4 ),
+	.B(\soc_top_u_uart_rx_prog/n_9 ),
+	.C(\soc_top_u_uart_rx_prog/n_18 ),
+	.D(\soc_top_u_uart_rx_prog/n_150 ),
+	.X(\soc_top_u_uart_rx_prog/n_155 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_u_uart_rx_prog/g6963  (
+	.A(\soc_top_u_uart_rx_prog/n_149 ),
+	.B(\soc_top_u_uart_rx_prog/n_19 ),
+	.C(\soc_top_u_uart_rx_prog/n_4 ),
+	.D(\soc_top_u_uart_rx_prog/n_14 ),
+	.Y(\soc_top_u_uart_rx_prog/n_153 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 \soc_top_u_uart_rx_prog/g6964  (
+	.A(\soc_top_u_uart_rx_prog/r_Bit_Index[2] ),
+	.B(\soc_top_u_uart_rx_prog/n_9 ),
+	.C(\soc_top_u_uart_rx_prog/n_18 ),
+	.D(\soc_top_u_uart_rx_prog/n_150 ),
+	.Y(\soc_top_u_uart_rx_prog/n_152 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 \soc_top_u_uart_rx_prog/g6965  (
+	.A(\soc_top_u_uart_rx_prog/r_Bit_Index[2] ),
+	.B(\soc_top_u_uart_rx_prog/n_15 ),
+	.C(\soc_top_u_uart_rx_prog/n_18 ),
+	.D(\soc_top_u_uart_rx_prog/n_150 ),
+	.Y(\soc_top_u_uart_rx_prog/n_151 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 \soc_top_u_uart_rx_prog/g6966  (
+	.A_N(\soc_top_u_uart_rx_prog/n_12 ),
+	.B(\soc_top_u_uart_rx_prog/n_149 ),
+	.C(\soc_top_u_uart_rx_prog/n_19 ),
+	.D(\soc_top_u_uart_rx_prog/n_4 ),
+	.X(\soc_top_u_uart_rx_prog/n_156 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_uart_rx_prog/g6967  (
+	.A(\soc_top_u_uart_rx_prog/n_150 ),
+	.Y(\soc_top_u_uart_rx_prog/n_149 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_rx_prog/g6968  (
+	.A(\soc_top_u_uart_rx_prog/r_Clock_Count[8] ),
+	.B(\soc_top_u_uart_rx_prog/n_132 ),
+	.COUT(\soc_top_u_uart_rx_prog/n_147 ),
+	.SUM(\soc_top_u_uart_rx_prog/n_148 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_rx_prog/g6971  (
+	.A(\soc_top_u_uart_rx_prog/n_23 ),
+	.B(\soc_top_u_uart_rx_prog/n_138 ),
+	.Y(\soc_top_u_uart_rx_prog/n_150 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_rx_prog/g6972  (
+	.A(\soc_top_u_uart_rx_prog/n_143 ),
+	.Y(\soc_top_u_uart_rx_prog/n_144 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 \soc_top_u_uart_rx_prog/g6973  (
+	.A1(\soc_top_u_uart_rx_prog/n_130 ),
+	.A2(\soc_top_u_uart_rx_prog/n_42 ),
+	.B1(\soc_top_u_uart_rx_prog/n_19 ),
+	.C1(\soc_top_u_uart_rx_prog/n_139 ),
+	.X(\soc_top_u_uart_rx_prog/n_142 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 \soc_top_u_uart_rx_prog/g6974  (
+	.A(\soc_top_u_uart_rx_prog/n_4 ),
+	.B(\soc_top_u_uart_rx_prog/n_12 ),
+	.C(\soc_top_u_uart_rx_prog/n_137 ),
+	.Y(\soc_top_u_uart_rx_prog/n_145 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_rx_prog/g6975  (
+	.A1(\soc_top_u_uart_rx_prog/n_136 ),
+	.A2(\soc_top_u_uart_rx_prog/n_29 ),
+	.B1(\soc_top_u_uart_rx_prog/n_134 ),
+	.Y(\soc_top_u_uart_rx_prog/n_143 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_rx_prog/g6977  (
+	.A(\soc_top_u_uart_rx_prog/n_212 ),
+	.B(\soc_top_u_uart_rx_prog/n_136 ),
+	.Y(\soc_top_u_uart_rx_prog/n_140 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_rx_prog/g6978  (
+	.A(\soc_top_u_uart_rx_prog/n_138 ),
+	.Y(\soc_top_u_uart_rx_prog/n_137 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_rx_prog/g6979  (
+	.A(\soc_top_u_uart_rx_prog/n_212 ),
+	.B_N(\soc_top_u_uart_rx_prog/n_136 ),
+	.Y(\soc_top_u_uart_rx_prog/n_139 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_rx_prog/g6980  (
+	.A(\soc_top_u_uart_rx_prog/n_18 ),
+	.B(\soc_top_u_uart_rx_prog/n_136 ),
+	.Y(\soc_top_u_uart_rx_prog/n_138 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_rx_prog/g6981  (
+	.A(\soc_top_u_uart_rx_prog/n_131 ),
+	.B(\soc_top_u_uart_rx_prog/n_98 ),
+	.Y(\soc_top_u_uart_rx_prog/n_136 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_uart_rx_prog/g6982  (
+	.A_N(\soc_top_u_uart_rx_prog/n_134 ),
+	.B(\soc_top_u_uart_rx_prog/n_43 ),
+	.C(\soc_top_u_uart_rx_prog/n_22 ),
+	.X(\soc_top_u_uart_rx_prog/n_135 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_rx_prog/g6983  (
+	.A(\soc_top_u_uart_rx_prog/r_Clock_Count[7] ),
+	.B(\soc_top_u_uart_rx_prog/n_127 ),
+	.COUT(\soc_top_u_uart_rx_prog/n_132 ),
+	.SUM(\soc_top_u_uart_rx_prog/n_133 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_rx_prog/g6984  (
+	.A(\soc_top_u_uart_rx_prog/n_24 ),
+	.B(\soc_top_u_uart_rx_prog/n_130 ),
+	.Y(\soc_top_u_uart_rx_prog/n_134 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o311ai_1 \soc_top_u_uart_rx_prog/g6985  (
+	.A1(\soc_top_u_uart_rx_prog/n_114 ),
+	.A2(\soc_top_u_uart_rx_prog/n_115 ),
+	.A3(\soc_top_u_uart_rx_prog/n_129 ),
+	.B1(\soc_top_u_uart_rx_prog/n_118 ),
+	.C1(\soc_top_u_uart_rx_prog/n_125 ),
+	.Y(\soc_top_u_uart_rx_prog/n_131 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 \soc_top_u_uart_rx_prog/g6986  (
+	.A_N(\soc_top_u_uart_rx_prog/n_126 ),
+	.B(\soc_top_u_uart_rx_prog/n_123 ),
+	.C(\soc_top_u_uart_rx_prog/n_122 ),
+	.D(\soc_top_u_uart_rx_prog/n_107 ),
+	.X(\soc_top_u_uart_rx_prog/n_130 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_rx_prog/g6987  (
+	.A1(\soc_top_u_uart_rx_prog/n_124 ),
+	.A2(\soc_top_u_uart_rx_prog/n_111 ),
+	.B1(\soc_top_u_uart_rx_prog/n_101 ),
+	.B2(\soc_top_u_uart_rx_prog/n_111 ),
+	.C1(\soc_top_u_uart_rx_prog/n_108 ),
+	.Y(\soc_top_u_uart_rx_prog/n_129 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_rx_prog/g6988  (
+	.A(\soc_top_u_uart_rx_prog/r_Clock_Count[6] ),
+	.B(\soc_top_u_uart_rx_prog/n_120 ),
+	.COUT(\soc_top_u_uart_rx_prog/n_127 ),
+	.SUM(\soc_top_u_uart_rx_prog/n_128 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 \soc_top_u_uart_rx_prog/g6989  (
+	.A1(\soc_top_u_uart_rx_prog/r_Clock_Count[14] ),
+	.A2(\soc_top_u_uart_rx_prog/n_103 ),
+	.B1(\soc_top_u_uart_rx_prog/n_98 ),
+	.C1(\soc_top_u_uart_rx_prog/n_100 ),
+	.D1(\soc_top_u_uart_rx_prog/n_117 ),
+	.Y(\soc_top_u_uart_rx_prog/n_126 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_u_uart_rx_prog/g6990  (
+	.A1_N(\soc_top_u_uart_rx_prog/n_115 ),
+	.A2_N(\soc_top_u_uart_rx_prog/n_116 ),
+	.B1(\soc_top_u_uart_rx_prog/r_Clock_Count[15] ),
+	.B2(\soc_top_u_uart_rx_prog/n_105 ),
+	.Y(\soc_top_u_uart_rx_prog/n_125 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 \soc_top_u_uart_rx_prog/g6991  (
+	.A1(\soc_top_u_uart_rx_prog/n_90 ),
+	.A2(\soc_top_u_uart_rx_prog/r_Clock_Count[9] ),
+	.B1(\soc_top_u_uart_rx_prog/n_71 ),
+	.B2(\soc_top_u_uart_rx_prog/r_Clock_Count[8] ),
+	.C1(\soc_top_u_uart_rx_prog/n_119 ),
+	.X(\soc_top_u_uart_rx_prog/n_124 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 \soc_top_u_uart_rx_prog/g6992  (
+	.A1(\soc_top_u_uart_rx_prog/n_103 ),
+	.A2(\soc_top_u_uart_rx_prog/r_Clock_Count[14] ),
+	.B1(\soc_top_u_uart_rx_prog/n_106 ),
+	.C1(\soc_top_u_uart_rx_prog/n_112 ),
+	.Y(\soc_top_u_uart_rx_prog/n_123 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 \soc_top_u_uart_rx_prog/g6993  (
+	.A1(\soc_top_u_uart_rx_prog/n_104 ),
+	.A2(\soc_top_u_uart_rx_prog/r_Clock_Count[12] ),
+	.B1(\soc_top_u_uart_rx_prog/r_Clock_Count[15] ),
+	.C1(\soc_top_u_uart_rx_prog/n_110 ),
+	.Y(\soc_top_u_uart_rx_prog/n_122 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_rx_prog/g6994  (
+	.A(\soc_top_u_uart_rx_prog/r_Clock_Count[5] ),
+	.B(\soc_top_u_uart_rx_prog/n_95 ),
+	.COUT(\soc_top_u_uart_rx_prog/n_120 ),
+	.SUM(\soc_top_u_uart_rx_prog/n_121 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o41ai_1 \soc_top_u_uart_rx_prog/g6995  (
+	.A1(\soc_top_u_uart_rx_prog/n_79 ),
+	.A2(\soc_top_u_uart_rx_prog/n_93 ),
+	.A3(\soc_top_u_uart_rx_prog/n_75 ),
+	.A4(\soc_top_u_uart_rx_prog/n_214 ),
+	.B1(\soc_top_u_uart_rx_prog/n_109 ),
+	.Y(\soc_top_u_uart_rx_prog/n_119 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_uart_rx_prog/g6996  (
+	.A1(\soc_top_u_uart_rx_prog/r_Clock_Count[15] ),
+	.A2(\soc_top_u_uart_rx_prog/n_105 ),
+	.B1(\soc_top_u_uart_rx_prog/n_103 ),
+	.Y(\soc_top_u_uart_rx_prog/n_118 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 \soc_top_u_uart_rx_prog/g6997  (
+	.A(\soc_top_u_uart_rx_prog/n_85 ),
+	.B(\soc_top_u_uart_rx_prog/n_72 ),
+	.C(\soc_top_u_uart_rx_prog/n_91 ),
+	.D(\soc_top_u_uart_rx_prog/n_86 ),
+	.Y(\soc_top_u_uart_rx_prog/n_117 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_rx_prog/g6998  (
+	.A(\soc_top_u_uart_rx_prog/n_113 ),
+	.Y(\soc_top_u_uart_rx_prog/n_116 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_rx_prog/g6999  (
+	.A1(\soc_top_u_uart_rx_prog/r_Clock_Count[13] ),
+	.A2(\soc_top_u_uart_rx_prog/n_104 ),
+	.B1(\soc_top_u_uart_rx_prog/r_Clock_Count[12] ),
+	.B2(\soc_top_u_uart_rx_prog/n_76 ),
+	.Y(\soc_top_u_uart_rx_prog/n_114 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_u_uart_rx_prog/g7000  (
+	.A(\soc_top_u_uart_rx_prog/r_Clock_Count[13] ),
+	.B(\soc_top_u_uart_rx_prog/n_104 ),
+	.C(\soc_top_u_uart_rx_prog/n_94 ),
+	.X(\soc_top_u_uart_rx_prog/n_113 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_uart_rx_prog/g7001  (
+	.A(\soc_top_u_uart_rx_prog/n_99 ),
+	.B(\soc_top_u_uart_rx_prog/n_102 ),
+	.C(\soc_top_u_uart_rx_prog/n_92 ),
+	.Y(\soc_top_u_uart_rx_prog/n_112 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_rx_prog/g7002  (
+	.A1(\soc_top_u_uart_rx_prog/r_Clock_Count[14] ),
+	.A2(\soc_top_u_uart_rx_prog/n_87 ),
+	.B1(\soc_top_u_uart_rx_prog/r_Clock_Count[15] ),
+	.B2(\soc_top_u_uart_rx_prog/n_103 ),
+	.Y(\soc_top_u_uart_rx_prog/n_115 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_rx_prog/g7003  (
+	.A(\soc_top_u_uart_rx_prog/r_Clock_Count[12] ),
+	.B(\soc_top_u_uart_rx_prog/n_104 ),
+	.Y(\soc_top_u_uart_rx_prog/n_110 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32a_1 \soc_top_u_uart_rx_prog/g7004  (
+	.A1(\soc_top_u_uart_rx_prog/n_93 ),
+	.A2(\soc_top_u_uart_rx_prog/n_62 ),
+	.A3(\soc_top_u_uart_rx_prog/n_73 ),
+	.B1(\soc_top_u_uart_rx_prog/n_78 ),
+	.B2(\soc_top_u_uart_rx_prog/n_84 ),
+	.X(\soc_top_u_uart_rx_prog/n_109 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \soc_top_u_uart_rx_prog/g7005  (
+	.A1(\soc_top_u_uart_rx_prog/r_Clock_Count[11] ),
+	.A2(\soc_top_u_uart_rx_prog/n_97 ),
+	.B1(\soc_top_u_uart_rx_prog/n_83 ),
+	.B2(\soc_top_u_uart_rx_prog/r_Clock_Count[10] ),
+	.X(\soc_top_u_uart_rx_prog/n_111 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_u_uart_rx_prog/g7006  (
+	.A(\soc_top_u_uart_rx_prog/r_Clock_Count[11] ),
+	.B(\soc_top_u_uart_rx_prog/n_88 ),
+	.C(\soc_top_u_uart_rx_prog/n_97 ),
+	.X(\soc_top_u_uart_rx_prog/n_108 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_u_uart_rx_prog/g7007  (
+	.A(\soc_top_u_uart_rx_prog/r_Clock_Count[13] ),
+	.B(\soc_top_u_uart_rx_prog/n_87 ),
+	.X(\soc_top_u_uart_rx_prog/n_107 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_uart_rx_prog/g7008  (
+	.A(\soc_top_u_uart_rx_prog/r_Clock_Count[10] ),
+	.B(\soc_top_u_uart_rx_prog/n_97 ),
+	.Y(\soc_top_u_uart_rx_prog/n_106 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_rx_prog/g7009  (
+	.A(\soc_top_u_uart_rx_prog/n_87 ),
+	.B(\soc_top_u_uart_rx_prog/r_Clock_Count[14] ),
+	.X(\soc_top_u_uart_rx_prog/n_105 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_rx_prog/g7010  (
+	.A1(\soc_top_u_uart_rx_prog/n_69 ),
+	.A2(la_data_in[13]),
+	.B1(\soc_top_u_uart_rx_prog/n_63 ),
+	.Y(\soc_top_u_uart_rx_prog/n_104 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_uart_rx_prog/g7011  (
+	.A1(la_data_in[14]),
+	.A2(\soc_top_u_uart_rx_prog/n_64 ),
+	.B1(la_data_in[15]),
+	.Y(\soc_top_u_uart_rx_prog/n_103 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_u_uart_rx_prog/g7012  (
+	.A(\soc_top_u_uart_rx_prog/n_90 ),
+	.B(\soc_top_u_uart_rx_prog/r_Clock_Count[8] ),
+	.X(\soc_top_u_uart_rx_prog/n_102 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_u_uart_rx_prog/g7013  (
+	.A(\soc_top_u_uart_rx_prog/n_90 ),
+	.B(\soc_top_u_uart_rx_prog/n_77 ),
+	.C(\soc_top_u_uart_rx_prog/r_Clock_Count[9] ),
+	.X(\soc_top_u_uart_rx_prog/n_101 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 \soc_top_u_uart_rx_prog/g7014  (
+	.A(\soc_top_u_uart_rx_prog/n_55 ),
+	.B(\soc_top_u_uart_rx_prog/n_61 ),
+	.C(\soc_top_u_uart_rx_prog/n_74 ),
+	.D(\soc_top_u_uart_rx_prog/n_39 ),
+	.Y(\soc_top_u_uart_rx_prog/n_100 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_u_uart_rx_prog/g7015  (
+	.A(\soc_top_u_uart_rx_prog/r_Clock_Count[11] ),
+	.B(\soc_top_u_uart_rx_prog/n_76 ),
+	.X(\soc_top_u_uart_rx_prog/n_99 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_rx_prog/g7016  (
+	.A(\soc_top_u_uart_rx_prog/r_Clock_Count[4] ),
+	.B(\soc_top_u_uart_rx_prog/n_65 ),
+	.COUT(\soc_top_u_uart_rx_prog/n_95 ),
+	.SUM(\soc_top_u_uart_rx_prog/n_96 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_rx_prog/g7017  (
+	.A(\soc_top_u_uart_rx_prog/n_76 ),
+	.B(\soc_top_u_uart_rx_prog/r_Clock_Count[12] ),
+	.X(\soc_top_u_uart_rx_prog/n_94 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 \soc_top_u_uart_rx_prog/g7018  (
+	.A(la_data_in[14]),
+	.B(la_data_in[15]),
+	.C(la_data_in[13]),
+	.D(\soc_top_u_uart_rx_prog/n_69 ),
+	.X(\soc_top_u_uart_rx_prog/n_98 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_rx_prog/g7019  (
+	.A1(\soc_top_u_uart_rx_prog/n_82 ),
+	.A2(la_data_in[11]),
+	.B1(\soc_top_u_uart_rx_prog/n_56 ),
+	.Y(\soc_top_u_uart_rx_prog/n_97 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_u_uart_rx_prog/g7020  (
+	.A(\soc_top_u_uart_rx_prog/n_83 ),
+	.B(\soc_top_u_uart_rx_prog/r_Clock_Count[9] ),
+	.X(\soc_top_u_uart_rx_prog/n_92 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_uart_rx_prog/g7021  (
+	.A(\soc_top_u_uart_rx_prog/n_81 ),
+	.B(\soc_top_u_uart_rx_prog/r_Clock_Count[6] ),
+	.Y(\soc_top_u_uart_rx_prog/n_91 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_rx_prog/g7022  (
+	.A1(\soc_top_u_uart_rx_prog/n_81 ),
+	.A2(\soc_top_u_uart_rx_prog/r_Clock_Count[7] ),
+	.B1(\soc_top_u_uart_rx_prog/n_60 ),
+	.B2(\soc_top_u_uart_rx_prog/r_Clock_Count[6] ),
+	.Y(\soc_top_u_uart_rx_prog/n_93 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_uart_rx_prog/g7024  (
+	.A(\soc_top_u_uart_rx_prog/r_Clock_Count[10] ),
+	.B(\soc_top_u_uart_rx_prog/n_83 ),
+	.X(\soc_top_u_uart_rx_prog/n_88 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_rx_prog/g7025  (
+	.A1(\soc_top_u_uart_rx_prog/n_70 ),
+	.A2(la_data_in[9]),
+	.B1(\soc_top_u_uart_rx_prog/n_51 ),
+	.Y(\soc_top_u_uart_rx_prog/n_90 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_uart_rx_prog/g7026  (
+	.A(\soc_top_u_uart_rx_prog/n_68 ),
+	.B(\soc_top_u_uart_rx_prog/r_Clock_Count[4] ),
+	.Y(\soc_top_u_uart_rx_prog/n_86 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_uart_rx_prog/g7027  (
+	.A(\soc_top_u_uart_rx_prog/n_71 ),
+	.B(\soc_top_u_uart_rx_prog/r_Clock_Count[7] ),
+	.Y(\soc_top_u_uart_rx_prog/n_85 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_uart_rx_prog/g7028  (
+	.A1(\soc_top_u_uart_rx_prog/r_Clock_Count[6] ),
+	.A2(\soc_top_u_uart_rx_prog/r_Clock_Count[7] ),
+	.A3(\soc_top_u_uart_rx_prog/n_60 ),
+	.B1(\soc_top_u_uart_rx_prog/n_81 ),
+	.Y(\soc_top_u_uart_rx_prog/n_84 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_uart_rx_prog/g7029  (
+	.A(la_data_in[14]),
+	.B(\soc_top_u_uart_rx_prog/n_63 ),
+	.Y(\soc_top_u_uart_rx_prog/n_87 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_rx_prog/g7030  (
+	.A(\soc_top_u_uart_rx_prog/n_80 ),
+	.Y(\soc_top_u_uart_rx_prog/n_82 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_rx_prog/g7031  (
+	.A(\soc_top_u_uart_rx_prog/n_5 ),
+	.B(\soc_top_u_uart_rx_prog/n_51 ),
+	.COUT(\soc_top_u_uart_rx_prog/n_80 ),
+	.SUM(\soc_top_u_uart_rx_prog/n_83 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_rx_prog/g7032  (
+	.A(\soc_top_u_uart_rx_prog/n_68 ),
+	.B(\soc_top_u_uart_rx_prog/r_Clock_Count[5] ),
+	.Y(\soc_top_u_uart_rx_prog/n_79 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_rx_prog/g7033  (
+	.A1(\soc_top_u_uart_rx_prog/r_Clock_Count[6] ),
+	.A2(\soc_top_u_uart_rx_prog/n_60 ),
+	.B1(\soc_top_u_uart_rx_prog/r_Clock_Count[7] ),
+	.Y(\soc_top_u_uart_rx_prog/n_78 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_uart_rx_prog/g7034  (
+	.A(\soc_top_u_uart_rx_prog/r_Clock_Count[8] ),
+	.B(\soc_top_u_uart_rx_prog/n_71 ),
+	.X(\soc_top_u_uart_rx_prog/n_77 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_rx_prog/g7035  (
+	.A1(\soc_top_u_uart_rx_prog/n_59 ),
+	.A2(la_data_in[7]),
+	.B1(\soc_top_u_uart_rx_prog/n_44 ),
+	.Y(\soc_top_u_uart_rx_prog/n_81 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_rx_prog/g7036  (
+	.A1(\soc_top_u_uart_rx_prog/n_54 ),
+	.A2(\soc_top_u_uart_rx_prog/r_Clock_Count[4] ),
+	.B1(\soc_top_u_uart_rx_prog/n_58 ),
+	.B2(\soc_top_u_uart_rx_prog/r_Clock_Count[3] ),
+	.Y(\soc_top_u_uart_rx_prog/n_75 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_uart_rx_prog/g7037  (
+	.A(\soc_top_u_uart_rx_prog/n_58 ),
+	.B(\soc_top_u_uart_rx_prog/r_Clock_Count[2] ),
+	.Y(\soc_top_u_uart_rx_prog/n_74 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_uart_rx_prog/g7038  (
+	.A1(\soc_top_u_uart_rx_prog/r_Clock_Count[4] ),
+	.A2(\soc_top_u_uart_rx_prog/r_Clock_Count[5] ),
+	.A3(\soc_top_u_uart_rx_prog/n_54 ),
+	.B1(\soc_top_u_uart_rx_prog/n_68 ),
+	.Y(\soc_top_u_uart_rx_prog/n_73 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_uart_rx_prog/g7039  (
+	.A(\soc_top_u_uart_rx_prog/n_60 ),
+	.B(\soc_top_u_uart_rx_prog/r_Clock_Count[5] ),
+	.Y(\soc_top_u_uart_rx_prog/n_72 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_uart_rx_prog/g7040  (
+	.A(la_data_in[12]),
+	.B(\soc_top_u_uart_rx_prog/n_56 ),
+	.Y(\soc_top_u_uart_rx_prog/n_76 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_rx_prog/g7041  (
+	.A(\soc_top_u_uart_rx_prog/n_67 ),
+	.Y(\soc_top_u_uart_rx_prog/n_70 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_rx_prog/g7042  (
+	.A(\soc_top_u_uart_rx_prog/n_2 ),
+	.B(\soc_top_u_uart_rx_prog/n_44 ),
+	.COUT(\soc_top_u_uart_rx_prog/n_67 ),
+	.SUM(\soc_top_u_uart_rx_prog/n_71 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_rx_prog/g7043  (
+	.A(\soc_top_u_uart_rx_prog/r_Clock_Count[3] ),
+	.B(\soc_top_u_uart_rx_prog/n_47 ),
+	.COUT(\soc_top_u_uart_rx_prog/n_65 ),
+	.SUM(\soc_top_u_uart_rx_prog/n_66 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_rx_prog/g7044  (
+	.A_N(la_data_in[12]),
+	.B(\soc_top_u_uart_rx_prog/n_56 ),
+	.Y(\soc_top_u_uart_rx_prog/n_69 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_rx_prog/g7045  (
+	.A1(\soc_top_u_uart_rx_prog/n_53 ),
+	.A2(la_data_in[5]),
+	.B1(\soc_top_u_uart_rx_prog/n_41 ),
+	.Y(\soc_top_u_uart_rx_prog/n_68 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_rx_prog/g7046  (
+	.A(\soc_top_u_uart_rx_prog/n_63 ),
+	.Y(\soc_top_u_uart_rx_prog/n_64 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_rx_prog/g7047  (
+	.A1(\soc_top_u_uart_rx_prog/r_Clock_Count[4] ),
+	.A2(\soc_top_u_uart_rx_prog/n_54 ),
+	.B1(\soc_top_u_uart_rx_prog/r_Clock_Count[5] ),
+	.Y(\soc_top_u_uart_rx_prog/n_62 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_uart_rx_prog/g7048  (
+	.A(\soc_top_u_uart_rx_prog/n_54 ),
+	.B(\soc_top_u_uart_rx_prog/r_Clock_Count[3] ),
+	.Y(\soc_top_u_uart_rx_prog/n_61 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 \soc_top_u_uart_rx_prog/g7049  (
+	.A(la_data_in[12]),
+	.B(la_data_in[13]),
+	.C_N(\soc_top_u_uart_rx_prog/n_56 ),
+	.Y(\soc_top_u_uart_rx_prog/n_63 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_rx_prog/g7050  (
+	.A(\soc_top_u_uart_rx_prog/n_57 ),
+	.Y(\soc_top_u_uart_rx_prog/n_59 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_rx_prog/g7051  (
+	.A(\soc_top_u_uart_rx_prog/n_3 ),
+	.B(\soc_top_u_uart_rx_prog/n_41 ),
+	.COUT(\soc_top_u_uart_rx_prog/n_57 ),
+	.SUM(\soc_top_u_uart_rx_prog/n_60 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_rx_prog/g7052  (
+	.A1(\soc_top_u_uart_rx_prog/n_49 ),
+	.A2(la_data_in[3]),
+	.B1(\soc_top_u_uart_rx_prog/n_37 ),
+	.Y(\soc_top_u_uart_rx_prog/n_58 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_uart_rx_prog/g7053  (
+	.A(\soc_top_u_uart_rx_prog/n_50 ),
+	.B(\soc_top_u_uart_rx_prog/r_Clock_Count[1] ),
+	.Y(\soc_top_u_uart_rx_prog/n_55 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_uart_rx_prog/g7054  (
+	.A_N(la_data_in[11]),
+	.B(\soc_top_u_uart_rx_prog/n_51 ),
+	.C(\soc_top_u_uart_rx_prog/n_5 ),
+	.X(\soc_top_u_uart_rx_prog/n_56 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_rx_prog/g7055  (
+	.A(\soc_top_u_uart_rx_prog/n_52 ),
+	.Y(\soc_top_u_uart_rx_prog/n_53 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_rx_prog/g7056  (
+	.A(\soc_top_u_uart_rx_prog/n_0 ),
+	.B(\soc_top_u_uart_rx_prog/n_37 ),
+	.COUT(\soc_top_u_uart_rx_prog/n_52 ),
+	.SUM(\soc_top_u_uart_rx_prog/n_54 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_uart_rx_prog/g7057  (
+	.A_N(la_data_in[9]),
+	.B(\soc_top_u_uart_rx_prog/n_44 ),
+	.C(\soc_top_u_uart_rx_prog/n_2 ),
+	.X(\soc_top_u_uart_rx_prog/n_51 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_rx_prog/g7058  (
+	.A(\soc_top_u_uart_rx_prog/n_46 ),
+	.Y(\soc_top_u_uart_rx_prog/n_49 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_rx_prog/g7059  (
+	.A(\soc_top_u_uart_rx_prog/r_Clock_Count[2] ),
+	.B(\soc_top_u_uart_rx_prog/n_35 ),
+	.COUT(\soc_top_u_uart_rx_prog/n_47 ),
+	.SUM(\soc_top_u_uart_rx_prog/n_48 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_rx_prog/g7060  (
+	.A(\soc_top_u_uart_rx_prog/n_1 ),
+	.B(\soc_top_u_uart_rx_prog/n_8 ),
+	.COUT(\soc_top_u_uart_rx_prog/n_46 ),
+	.SUM(\soc_top_u_uart_rx_prog/n_50 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_rx_prog/g7062  (
+	.A(\soc_top_u_uart_rx_prog/n_29 ),
+	.B(\soc_top_u_uart_rx_prog/n_42 ),
+	.Y(\soc_top_u_uart_rx_prog/n_43 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_uart_rx_prog/g7063  (
+	.A_N(la_data_in[7]),
+	.B(\soc_top_u_uart_rx_prog/n_41 ),
+	.C(\soc_top_u_uart_rx_prog/n_3 ),
+	.X(\soc_top_u_uart_rx_prog/n_44 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_rx_prog/g7064  (
+	.A(\soc_top_u_uart_rx_prog/r_Rx_Data ),
+	.B(\soc_top_u_uart_rx_prog/n_24 ),
+	.Y(\soc_top_u_uart_rx_prog/n_42 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_uart_rx_prog/g7065  (
+	.A_N(la_data_in[5]),
+	.B(\soc_top_u_uart_rx_prog/n_37 ),
+	.C(\soc_top_u_uart_rx_prog/n_0 ),
+	.X(\soc_top_u_uart_rx_prog/n_41 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_uart_rx_prog/g7066  (
+	.A(\soc_top_u_uart_rx_prog/n_20 ),
+	.B(\soc_top_u_uart_rx_prog/r_Clock_Count[0] ),
+	.Y(\soc_top_u_uart_rx_prog/n_39 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_u_uart_rx_prog/g7067  (
+	.A(\soc_top_u_uart_rx_prog/n_20 ),
+	.B(\soc_top_u_uart_rx_prog/n_6 ),
+	.C(\soc_top_u_uart_rx_prog/r_Clock_Count[1] ),
+	.X(\soc_top_u_uart_rx_prog/n_40 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_uart_rx_prog/g7070  (
+	.A_N(la_data_in[3]),
+	.B(\soc_top_u_uart_rx_prog/n_8 ),
+	.C(\soc_top_u_uart_rx_prog/n_1 ),
+	.X(\soc_top_u_uart_rx_prog/n_37 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_rx_prog/g7071  (
+	.A(\soc_top_u_uart_rx_prog/r_Clock_Count[0] ),
+	.B(\soc_top_u_uart_rx_prog/r_Clock_Count[1] ),
+	.COUT(\soc_top_u_uart_rx_prog/n_35 ),
+	.SUM(\soc_top_u_uart_rx_prog/n_36 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_rx_prog/g7072  (
+	.A(\soc_top_u_uart_rx_prog/n_23 ),
+	.B_N(soc_top_rx_byte_i[6]),
+	.Y(\soc_top_u_uart_rx_prog/n_34 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_rx_prog/g7073  (
+	.A(\soc_top_u_uart_rx_prog/n_23 ),
+	.B_N(soc_top_rx_byte_i[3]),
+	.Y(\soc_top_u_uart_rx_prog/n_33 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_rx_prog/g7074  (
+	.A(\soc_top_u_uart_rx_prog/n_23 ),
+	.B_N(soc_top_rx_byte_i[7]),
+	.Y(\soc_top_u_uart_rx_prog/n_32 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_rx_prog/g7075  (
+	.A(\soc_top_u_uart_rx_prog/n_23 ),
+	.B_N(soc_top_rx_byte_i[2]),
+	.Y(\soc_top_u_uart_rx_prog/n_31 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_rx_prog/g7076  (
+	.A(\soc_top_u_uart_rx_prog/n_23 ),
+	.B_N(soc_top_rx_byte_i[1]),
+	.Y(\soc_top_u_uart_rx_prog/n_30 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_rx_prog/g7077  (
+	.A(\soc_top_u_uart_rx_prog/n_23 ),
+	.B_N(soc_top_rx_byte_i[5]),
+	.Y(\soc_top_u_uart_rx_prog/n_28 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_rx_prog/g7078  (
+	.A_N(\soc_top_u_uart_rx_prog/r_Rx_Data_R ),
+	.B(wb_rst_i),
+	.Y(\soc_top_u_uart_rx_prog/n_27 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_rx_prog/g7079  (
+	.A(\soc_top_u_uart_rx_prog/n_23 ),
+	.B_N(soc_top_rx_byte_i[0]),
+	.Y(\soc_top_u_uart_rx_prog/n_26 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_rx_prog/g7080  (
+	.A(\soc_top_u_uart_rx_prog/n_23 ),
+	.B_N(soc_top_rx_byte_i[4]),
+	.Y(\soc_top_u_uart_rx_prog/n_25 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_rx_prog/g7081  (
+	.A(\soc_top_u_uart_rx_prog/n_18 ),
+	.B(\soc_top_u_uart_rx_prog/n_212 ),
+	.Y(\soc_top_u_uart_rx_prog/n_29 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_rx_prog/g7082  (
+	.A(\soc_top_u_uart_rx_prog/n_23 ),
+	.Y(\soc_top_u_uart_rx_prog/n_22 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_rx_prog/g7084  (
+	.A(\soc_top_u_uart_rx_prog/n_13 ),
+	.B(\soc_top_u_uart_rx_prog/r_SM_Main[0] ),
+	.Y(\soc_top_u_uart_rx_prog/n_24 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_rx_prog/g7085  (
+	.A(\soc_top_u_uart_rx_prog/r_SM_Main[0] ),
+	.B_N(\soc_top_u_uart_rx_prog/n_13 ),
+	.Y(\soc_top_u_uart_rx_prog/n_23 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_rx_prog/g7086  (
+	.A(\soc_top_u_uart_rx_prog/n_19 ),
+	.Y(\soc_top_u_uart_rx_prog/n_18 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_uart_rx_prog/g7087  (
+	.A1(\soc_top_u_uart_rx_prog/r_SM_Main[0] ),
+	.A2(\soc_top_u_uart_rx_prog/r_SM_Main[1] ),
+	.B1(soc_top_rx_dv_i),
+	.Y(\soc_top_u_uart_rx_prog/n_17 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_rx_prog/g7089  (
+	.A1(la_data_in[1]),
+	.A2(la_data_in[0]),
+	.B1(\soc_top_u_uart_rx_prog/n_8 ),
+	.Y(\soc_top_u_uart_rx_prog/n_20 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_rx_prog/g7090  (
+	.A(\soc_top_u_uart_rx_prog/r_SM_Main[0] ),
+	.B(\soc_top_u_uart_rx_prog/n_10 ),
+	.Y(\soc_top_u_uart_rx_prog/n_19 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_rx_prog/g7092  (
+	.A_N(\soc_top_u_uart_rx_prog/r_Bit_Index[0] ),
+	.B(\soc_top_u_uart_rx_prog/r_Bit_Index[1] ),
+	.Y(\soc_top_u_uart_rx_prog/n_15 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_rx_prog/g7093  (
+	.A(\soc_top_u_uart_rx_prog/r_Bit_Index[0] ),
+	.B(\soc_top_u_uart_rx_prog/r_Bit_Index[1] ),
+	.Y(\soc_top_u_uart_rx_prog/n_14 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_rx_prog/g7094  (
+	.A(\soc_top_u_uart_rx_prog/r_SM_Main[1] ),
+	.B(\soc_top_u_uart_rx_prog/r_SM_Main[2] ),
+	.Y(\soc_top_u_uart_rx_prog/n_13 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_rx_prog/g7095  (
+	.A(\soc_top_u_uart_rx_prog/r_Bit_Index[1] ),
+	.B(\soc_top_u_uart_rx_prog/r_Bit_Index[0] ),
+	.Y(\soc_top_u_uart_rx_prog/n_12 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_rx_prog/g7097  (
+	.A_N(io_in[5]),
+	.B(wb_rst_i),
+	.Y(\soc_top_u_uart_rx_prog/n_7 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \soc_top_u_uart_rx_prog/g7098  (
+	.A(la_data_in[0]),
+	.B(\soc_top_u_uart_rx_prog/r_Clock_Count[0] ),
+	.X(\soc_top_u_uart_rx_prog/n_6 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_rx_prog/g7099  (
+	.A_N(\soc_top_u_uart_rx_prog/r_SM_Main[2] ),
+	.B(\soc_top_u_uart_rx_prog/r_SM_Main[1] ),
+	.Y(\soc_top_u_uart_rx_prog/n_10 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_rx_prog/g7100  (
+	.A_N(\soc_top_u_uart_rx_prog/r_Bit_Index[1] ),
+	.B(\soc_top_u_uart_rx_prog/r_Bit_Index[0] ),
+	.Y(\soc_top_u_uart_rx_prog/n_9 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_rx_prog/g7101  (
+	.A(la_data_in[0]),
+	.B(la_data_in[1]),
+	.Y(\soc_top_u_uart_rx_prog/n_8 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_uart_rx_prog/g7102  (
+	.A(la_data_in[10]),
+	.Y(\soc_top_u_uart_rx_prog/n_5 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_rx_prog/g7104  (
+	.A(la_data_in[6]),
+	.Y(\soc_top_u_uart_rx_prog/n_3 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_uart_rx_prog/g7105  (
+	.A(la_data_in[8]),
+	.Y(\soc_top_u_uart_rx_prog/n_2 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_rx_prog/g7106  (
+	.A(la_data_in[2]),
+	.Y(\soc_top_u_uart_rx_prog/n_1 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_rx_prog/g7107  (
+	.A(la_data_in[4]),
+	.Y(\soc_top_u_uart_rx_prog/n_0 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_uart_rx_prog/r_Rx_Data_reg  (
+	.CLK(CTS_157),
+	.D(\soc_top_u_uart_rx_prog/n_27 ),
+	.Q(\soc_top_u_uart_rx_prog/r_Rx_Data ),
+	.Q_N(\soc_top_u_uart_rx_prog/n_38 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_2 \soc_top_u_uart_rx_prog/r_Bit_Index_reg[2]  (
+	.CLK(CTS_141),
+	.D(\soc_top_u_uart_rx_prog/n_169 ),
+	.Q(\soc_top_u_uart_rx_prog/r_Bit_Index[2] ),
+	.Q_N(\soc_top_u_uart_rx_prog/n_4 ),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_rx_prog/g2  (
+	.A_N(\soc_top_u_uart_rx_prog/n_140 ),
+	.B(\soc_top_u_uart_rx_prog/n_17 ),
+	.Y(\soc_top_u_uart_rx_prog/n_210 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 \soc_top_u_uart_rx_prog/g7112  (
+	.A_N(\soc_top_u_uart_rx_prog/n_15 ),
+	.B(\soc_top_u_uart_rx_prog/n_149 ),
+	.C(\soc_top_u_uart_rx_prog/n_19 ),
+	.D(\soc_top_u_uart_rx_prog/r_Bit_Index[2] ),
+	.Y(\soc_top_u_uart_rx_prog/n_211 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_rx_prog/g7113  (
+	.A_N(\soc_top_u_uart_rx_prog/n_10 ),
+	.B(\soc_top_u_uart_rx_prog/r_SM_Main[0] ),
+	.Y(\soc_top_u_uart_rx_prog/n_212 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_rx_prog/g7114  (
+	.A1(\soc_top_u_uart_rx_prog/n_58 ),
+	.A2(\soc_top_u_uart_rx_prog/r_Clock_Count[3] ),
+	.B1(\soc_top_u_uart_rx_prog/n_213 ),
+	.Y(\soc_top_u_uart_rx_prog/n_214 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_u_uart_rx_prog/g3  (
+	.A(\soc_top_u_uart_rx_prog/n_50 ),
+	.B(\soc_top_u_uart_rx_prog/r_Clock_Count[2] ),
+	.C(\soc_top_u_uart_rx_prog/n_40 ),
+	.X(\soc_top_u_uart_rx_prog/n_213 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index_reg[0]  (
+	.CLK(CTS_49),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_162 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index[0] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index_reg[1]  (
+	.CLK(CTS_33),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_174 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index[1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index_reg[2]  (
+	.CLK(CTS_33),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_175 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index[2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count_reg[0]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_153 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_u_rx/n_143 ),
+	.SCE(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[0] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count_reg[1]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_172 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count_reg[2]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_173 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count_reg[3]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_169 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count_reg[4]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_170 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count_reg[5]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_171 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count_reg[6]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_168 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count_reg[7]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_167 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count_reg[8]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_176 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count_reg[9]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_179 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[9] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count_reg[10]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_182 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[10] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count_reg[11]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_185 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[11] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count_reg[13]  (
+	.CLK(CTS_124),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_192 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/n_0 ),
+	.Q_N(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[13] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count_reg[15]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_195 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[15] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Rx_Byte_reg[0]  (
+	.CLK(CTS_33),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_29 ),
+	.Q(soc_top_u_uart_u_uart_core_rx[0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_u_rx/r_Rx_Data ),
+	.SCE(\soc_top_u_uart_u_uart_core_u_rx/n_148 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Rx_Byte_reg[1]  (
+	.CLK(CTS_33),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/r_Rx_Data ),
+	.Q(soc_top_u_uart_u_uart_core_rx[1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_u_rx/n_28 ),
+	.SCE(\soc_top_u_uart_u_uart_core_u_rx/n_163 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Rx_Byte_reg[2]  (
+	.CLK(CTS_33),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/r_Rx_Data ),
+	.Q(soc_top_u_uart_u_uart_core_rx[2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_u_rx/n_35 ),
+	.SCE(\soc_top_u_uart_u_uart_core_u_rx/n_150 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Rx_Byte_reg[3]  (
+	.CLK(CTS_33),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_36 ),
+	.Q(soc_top_u_uart_u_uart_core_rx[3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_u_rx/r_Rx_Data ),
+	.SCE(\soc_top_u_uart_u_uart_core_u_rx/n_157 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Rx_Byte_reg[4]  (
+	.CLK(CTS_33),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/r_Rx_Data ),
+	.Q(soc_top_u_uart_u_uart_core_rx[4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_u_rx/n_33 ),
+	.SCE(\soc_top_u_uart_u_uart_core_u_rx/n_149 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Rx_Byte_reg[5]  (
+	.CLK(CTS_33),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/r_Rx_Data ),
+	.Q(soc_top_u_uart_u_uart_core_rx[5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_u_rx/n_34 ),
+	.SCE(\soc_top_u_uart_u_uart_core_u_rx/n_159 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Rx_Byte_reg[6]  (
+	.CLK(CTS_33),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_27 ),
+	.Q(soc_top_u_uart_u_uart_core_rx[6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_u_rx/r_Rx_Data ),
+	.SCE(\soc_top_u_uart_u_uart_core_u_rx/n_155 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Rx_Byte_reg[7]  (
+	.CLK(CTS_33),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_32 ),
+	.Q(soc_top_u_uart_u_uart_core_rx[7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_u_rx/r_Rx_Data ),
+	.SCE(\soc_top_u_uart_u_uart_core_u_rx/n_158 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Rx_DV_reg  (
+	.CLK(CTS_119),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_141 ),
+	.Q(soc_top_u_uart_u_uart_core_rx_done),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Rx_Data_R_reg  (
+	.CLK(CTS_124),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_9 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_Rx_Data_R ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_SM_Main_reg[0]  (
+	.CLK(CTS_119),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_160 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_SM_Main[0] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_SM_Main_reg[1]  (
+	.CLK(CTS_119),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_151 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_SM_Main[1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_SM_Main_reg[2]  (
+	.CLK(CTS_119),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_139 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_SM_Main[2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/sbit_o_reg  (
+	.CLK(CTS_124),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_40 ),
+	.Q(soc_top_u_uart_u_uart_core_rx_sbit),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_uart_u_uart_core_rx_sbit),
+	.SCE(\soc_top_u_uart_u_uart_core_u_rx/n_23 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o41ai_1 \soc_top_u_uart_u_uart_core_u_rx/g8572  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[13] ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[15] ),
+	.A3(\soc_top_u_uart_u_uart_core_u_rx/n_4 ),
+	.A4(\soc_top_u_uart_u_uart_core_u_rx/n_186 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_194 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_195 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_uart_u_uart_core_u_rx/g8574  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_164 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_191 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[15] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_194 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 \soc_top_u_uart_u_uart_core_u_rx/g8575  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[13] ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[14] ),
+	.A3(\soc_top_u_uart_u_uart_core_u_rx/n_186 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_4 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/n_190 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_193 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_uart_u_uart_core_u_rx/g8577  (
+	.A0(\soc_top_u_uart_u_uart_core_u_rx/n_188 ),
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_186 ),
+	.S(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[13] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_192 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_u_rx/g8578  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_190 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_191 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \soc_top_u_uart_u_uart_core_u_rx/g8579  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_153 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[13] ),
+	.B1_N(\soc_top_u_uart_u_uart_core_u_rx/n_188 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_190 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 \soc_top_u_uart_u_uart_core_u_rx/g8580  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_153 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_184 ),
+	.A3(\soc_top_u_uart_u_uart_core_u_rx/n_1 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_187 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[12] ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_189 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_u_uart_core_u_rx/g8582  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_153 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_1 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_187 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_188 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_uart_u_uart_core_u_rx/g8583  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_184 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_142 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_187 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_u_rx/g8584  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_153 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_183 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_143 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[11] ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_185 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_uart_u_uart_core_u_rx/g8585  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_153 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_184 ),
+	.C(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[12] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_186 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_rx/g8586  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[11] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_180 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_rx/n_184 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_rx/n_183 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_u_rx/g8588  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_153 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_181 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_143 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[10] ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_182 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_rx/g8589  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[10] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_177 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_rx/n_180 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_rx/n_181 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_u_rx/g8591  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_153 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_178 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_143 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[9] ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_179 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_rx/g8592  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[9] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_165 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_rx/n_177 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_rx/n_178 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_u_rx/g8605  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_153 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_166 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_143 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[8] ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_176 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \soc_top_u_uart_u_uart_core_u_rx/g8606  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_161 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index[2] ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_157 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_175 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \soc_top_u_uart_u_uart_core_u_rx/g8614  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_154 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index[1] ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_156 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_174 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_u_rx/g8615  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_153 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_52 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_143 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[2] ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_173 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_u_rx/g8616  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_153 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_38 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_143 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[1] ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_172 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_u_rx/g8619  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_153 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_124 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_143 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[5] ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_171 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_u_rx/g8620  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_153 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_143 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[4] ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_170 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_u_rx/g8621  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_153 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_81 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_143 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[3] ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_169 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_u_rx/g8622  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_153 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_131 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_143 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[6] ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_168 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_u_rx/g8623  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_153 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_138 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_143 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[7] ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_167 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_rx/g8624  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[8] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_137 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_rx/n_165 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_rx/n_166 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_rx/g8625  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[14] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_152 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_164 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_u_uart_core_u_rx/g8626  (
+	.A_N(\soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index[2] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_156 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_163 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \soc_top_u_uart_u_uart_core_u_rx/g8628  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_145 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index[0] ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_215 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_162 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 \soc_top_u_uart_u_uart_core_u_rx/g8629  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index[1] ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_25 ),
+	.B1_N(\soc_top_u_uart_u_uart_core_u_rx/n_154 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_161 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 \soc_top_u_uart_u_uart_core_u_rx/g8630  (
+	.A_N(\soc_top_u_uart_u_uart_core_u_rx/n_158 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_144 ),
+	.C(\soc_top_u_uart_u_uart_core_u_rx/n_44 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_160 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_u_rx/g8631  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_156 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index[2] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_159 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_u_rx/g8633  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_16 ),
+	.B_N(\soc_top_u_uart_u_uart_core_u_rx/n_215 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_155 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_rx/g8634  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_16 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_147 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_158 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_rx/g8635  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_12 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_147 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_157 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_rx/g8636  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index[1] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_147 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_156 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_uart_u_uart_core_u_rx/g8637  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_153 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_152 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o311ai_1 \soc_top_u_uart_u_uart_core_u_rx/g8638  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/r_Rx_Data ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_19 ),
+	.A3(\soc_top_u_uart_u_uart_core_u_rx/n_132 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_25 ),
+	.C1(\soc_top_u_uart_u_uart_core_u_rx/n_140 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_151 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 \soc_top_u_uart_u_uart_core_u_rx/g8639  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index[0] ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_25 ),
+	.B1_N(\soc_top_u_uart_u_uart_core_u_rx/n_145 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_154 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_u_uart_core_u_rx/g8640  (
+	.A_N(\soc_top_u_uart_u_uart_core_u_rx/n_12 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_215 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_150 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 \soc_top_u_uart_u_uart_core_u_rx/g8641  (
+	.A_N(\soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index[1] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_215 ),
+	.C(\soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index[2] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_149 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 \soc_top_u_uart_u_uart_core_u_rx/g8642  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index[1] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index[2] ),
+	.C_N(\soc_top_u_uart_u_uart_core_u_rx/n_215 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_148 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 \soc_top_u_uart_u_uart_core_u_rx/g8643  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_133 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_24 ),
+	.B1_N(\soc_top_u_uart_u_uart_core_u_rx/n_144 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_153 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_u_rx/g8645  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_136 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index[0] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_147 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_u_rx/g8647  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_142 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_143 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_u_uart_core_u_rx/g8648  (
+	.A_N(\soc_top_u_uart_u_uart_core_u_rx/n_139 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_17 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_141 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_rx/g8649  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_22 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_136 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_145 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \soc_top_u_uart_u_uart_core_u_rx/g8650  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_132 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_20 ),
+	.B1_N(\soc_top_u_uart_u_uart_core_u_rx/n_140 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_144 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_u_uart_u_uart_core_u_rx/g8651  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_134 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_25 ),
+	.C(\soc_top_u_uart_u_uart_core_u_rx/n_23 ),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_26 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_142 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_rx/g8652  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[7] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_130 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_rx/n_137 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_rx/n_138 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_u_uart_core_u_rx/g8653  (
+	.A_N(\soc_top_u_uart_u_uart_core_u_rx/n_26 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_133 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_140 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_rx/g8654  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_26 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_133 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_139 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_uart_u_uart_core_u_rx/g8656  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_40 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_132 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_20 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_134 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_rx/g8657  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_25 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_133 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_136 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_uart_u_uart_core_u_rx/g8658  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_128 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_129 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_83 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_133 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_u_uart_u_uart_core_u_rx/g8659  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_122 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_126 ),
+	.C(\soc_top_u_uart_u_uart_core_u_rx/n_73 ),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_96 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_132 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_rx/g8660  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[6] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_123 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_rx/n_130 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_rx/n_131 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_uart_u_uart_core_u_rx/g8661  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_127 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_125 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_111 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/n_117 ),
+	.C1(\soc_top_u_uart_u_uart_core_u_rx/n_120 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_129 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 \soc_top_u_uart_u_uart_core_u_rx/g8662  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_90 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_118 ),
+	.C(\soc_top_u_uart_u_uart_core_u_rx/n_115 ),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_125 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_128 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_2 \soc_top_u_uart_u_uart_core_u_rx/g8663  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_77 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_103 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_104 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/n_101 ),
+	.C1(\soc_top_u_uart_u_uart_core_u_rx/n_119 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_127 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 \soc_top_u_uart_u_uart_core_u_rx/g8664  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[10] ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_86 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_87 ),
+	.C1(\soc_top_u_uart_u_uart_core_u_rx/n_114 ),
+	.D1(\soc_top_u_uart_u_uart_core_u_rx/n_121 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_126 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_rx/g8665  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[5] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_107 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_rx/n_123 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_rx/n_124 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 \soc_top_u_uart_u_uart_core_u_rx/g8666  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_95 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[12] ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_105 ),
+	.C1(\soc_top_u_uart_u_uart_core_u_rx/n_116 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_122 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 \soc_top_u_uart_u_uart_core_u_rx/g8667  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[12] ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_98 ),
+	.B1_N(\soc_top_u_uart_u_uart_core_u_rx/n_117 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_125 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 \soc_top_u_uart_u_uart_core_u_rx/g8668  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_113 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_110 ),
+	.C_N(\soc_top_u_uart_u_uart_core_u_rx/n_83 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_121 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 \soc_top_u_uart_u_uart_core_u_rx/g8669  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_109 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[14] ),
+	.A3(\soc_top_u_uart_u_uart_core_u_rx/n_93 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_82 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[15] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_120 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 \soc_top_u_uart_u_uart_core_u_rx/g8670  (
+	.A_N(\soc_top_u_uart_u_uart_core_u_rx/n_115 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_76 ),
+	.C(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[8] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_119 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a311oi_1 \soc_top_u_uart_u_uart_core_u_rx/g8671  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_97 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_62 ),
+	.A3(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[4] ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_100 ),
+	.C1(\soc_top_u_uart_u_uart_core_u_rx/n_112 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_118 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_u_uart_u_uart_core_u_rx/g8672  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[13] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_109 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_116 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_uart_u_uart_core_u_rx/g8673  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[14] ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_109 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_0 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/n_95 ),
+	.C1(\soc_top_u_uart_u_uart_core_u_rx/n_93 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_117 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_u_uart_core_u_rx/g8674  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_86 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[10] ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_99 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_114 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 \soc_top_u_uart_u_uart_core_u_rx/g8675  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[7] ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_76 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_75 ),
+	.C1(\soc_top_u_uart_u_uart_core_u_rx/n_72 ),
+	.D1(\soc_top_u_uart_u_uart_core_u_rx/n_102 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_113 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 \soc_top_u_uart_u_uart_core_u_rx/g8676  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[9] ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_71 ),
+	.B1_N(\soc_top_u_uart_u_uart_core_u_rx/n_103 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_115 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_uart_u_uart_core_u_rx/g8677  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_70 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_89 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_106 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_112 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_u_rx/g8678  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_95 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_0 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_98 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[12] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_111 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_uart_u_uart_core_u_rx/g8679  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[11] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_98 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_110 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_rx/g8680  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[4] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_80 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_rx/n_107 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_rx/n_108 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_u_uart_u_uart_core_u_rx/g8681  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[4] ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_62 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_217 ),
+	.C1(\soc_top_u_uart_u_uart_core_u_rx/n_97 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_106 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 \soc_top_u_uart_u_uart_core_u_rx/g8682  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[12] ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_95 ),
+	.B1_N(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[15] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_105 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_u_uart_core_u_rx/g8683  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_92 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[10] ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[11] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_104 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_u_uart_core_u_rx/g8684  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_94 ),
+	.A2(soc_top_u_uart_u_uart_core_control[14]),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_67 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_109 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 \soc_top_u_uart_u_uart_core_u_rx/g8685  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_76 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[7] ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_65 ),
+	.C1(\soc_top_u_uart_u_uart_core_u_rx/n_88 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_102 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_uart_u_uart_core_u_rx/g8686  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_92 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[10] ),
+	.A3(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[11] ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_86 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_101 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4bb_1 \soc_top_u_uart_u_uart_core_u_rx/g8687  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_84 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_70 ),
+	.C_N(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[5] ),
+	.D_N(\soc_top_u_uart_u_uart_core_u_rx/n_54 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_100 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_uart_u_uart_core_u_rx/g8688  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[9] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_92 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_99 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_u_rx/g8689  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[11] ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_86 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[10] ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/n_92 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_103 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_u_uart_core_u_rx/g8690  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_85 ),
+	.A2(soc_top_u_uart_u_uart_core_control[12]),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_58 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_98 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_uart_u_uart_core_u_rx/g8691  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_4 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_82 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_96 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 \soc_top_u_uart_u_uart_core_u_rx/g8692  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_60 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_84 ),
+	.C(\soc_top_u_uart_u_uart_core_u_rx/n_70 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_97 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_u_uart_core_u_rx/g8693  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_91 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_94 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_rx/g8694  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_6 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_58 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_rx/n_91 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_rx/n_95 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_rx/g8695  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[8] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_76 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_90 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 \soc_top_u_uart_u_uart_core_u_rx/g8696  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[15] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_82 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_93 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_rx/g8697  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_49 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_78 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_92 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_u_rx/g8698  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_64 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[7] ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_69 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[6] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_89 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_uart_u_uart_core_u_rx/g8699  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[5] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_69 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_88 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_u_uart_u_uart_core_u_rx/g8700  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[8] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_71 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_87 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_u_uart_core_u_rx/g8701  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_79 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_85 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_rx/g8702  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[3] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_51 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_rx/n_80 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_rx/n_81 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_rx/g8703  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_7 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_49 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_rx/n_79 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_rx/n_86 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_u_rx/g8704  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_68 ),
+	.B_N(soc_top_u_uart_u_uart_core_control[10]),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_78 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_u_rx/g8705  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_71 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[9] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_77 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_rx/g8706  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[6] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_69 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_84 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_u_uart_core_u_rx/g8707  (
+	.A_N(soc_top_u_uart_u_uart_core_control[15]),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_67 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_83 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_u_uart_core_u_rx/g8708  (
+	.A_N(\soc_top_u_uart_u_uart_core_u_rx/n_67 ),
+	.B(soc_top_u_uart_u_uart_core_control[15]),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_82 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 \soc_top_u_uart_u_uart_core_u_rx/g8709  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_48 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[2] ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_55 ),
+	.C1(\soc_top_u_uart_u_uart_core_u_rx/n_57 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_75 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_u_uart_u_uart_core_u_rx/g8711  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[3] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_62 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_73 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_u_uart_u_uart_core_u_rx/g8712  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[6] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_64 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_72 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_u_uart_core_u_rx/g8713  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_63 ),
+	.A2(soc_top_u_uart_u_uart_core_control[8]),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_43 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_76 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_rx/g8714  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_8 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_43 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_rx/n_68 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_rx/n_71 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_rx/g8715  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[7] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_64 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_70 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_u_uart_core_u_rx/g8716  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_53 ),
+	.A2(soc_top_u_uart_u_uart_core_control[6]),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_39 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_69 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_uart_u_uart_core_u_rx/g8718  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[4] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_54 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_65 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_uart_u_uart_core_u_rx/g8719  (
+	.A_N(soc_top_u_uart_u_uart_core_control[14]),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_58 ),
+	.C(\soc_top_u_uart_u_uart_core_u_rx/n_6 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_67 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_uart_u_uart_core_u_rx/g8720  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_61 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_63 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_rx/g8721  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_5 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_39 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_rx/n_61 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_rx/n_64 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_rx/g8722  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[5] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_54 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_60 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_u_uart_core_u_rx/g8725  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_47 ),
+	.A2(soc_top_u_uart_u_uart_core_control[4]),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_31 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_62 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_uart_u_uart_core_u_rx/g8726  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[2] ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_48 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_41 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_57 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_uart_u_uart_core_u_rx/g8728  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[1] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_46 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_55 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_uart_u_uart_core_u_rx/g8729  (
+	.A_N(soc_top_u_uart_u_uart_core_control[12]),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_49 ),
+	.C(\soc_top_u_uart_u_uart_core_u_rx/n_7 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_58 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_u_uart_core_u_rx/g8730  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_50 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_53 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_rx/g8731  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[2] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_37 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_rx/n_51 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_rx/n_52 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_rx/g8732  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_3 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_31 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_rx/n_50 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_rx/n_54 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_uart_u_uart_core_u_rx/g8733  (
+	.A_N(soc_top_u_uart_u_uart_core_control[10]),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_43 ),
+	.C(\soc_top_u_uart_u_uart_core_u_rx/n_8 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_49 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_u_uart_core_u_rx/g8734  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_45 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_47 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_rx/g8735  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_2 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_18 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_rx/n_45 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_rx/n_48 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_u_rx/g8736  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_22 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_40 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_44 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_u_uart_core_u_rx/g8737  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_15 ),
+	.A2(soc_top_u_uart_u_uart_core_control[2]),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_18 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_46 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_u_uart_u_uart_core_u_rx/g8738  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[1] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_21 ),
+	.C(\soc_top_u_uart_u_uart_core_u_rx/n_13 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_42 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_u_uart_u_uart_core_u_rx/g8739  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[0] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_21 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_41 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_uart_u_uart_core_u_rx/g8740  (
+	.A_N(soc_top_u_uart_u_uart_core_control[8]),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_39 ),
+	.C(\soc_top_u_uart_u_uart_core_u_rx/n_5 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_43 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_uart_u_uart_core_u_rx/g8743  (
+	.A_N(soc_top_u_uart_u_uart_core_control[6]),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_31 ),
+	.C(\soc_top_u_uart_u_uart_core_u_rx/n_3 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_39 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_rx/g8744  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[0] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[1] ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_rx/n_37 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_rx/n_38 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_u_rx/g8745  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_22 ),
+	.B_N(soc_top_u_uart_u_uart_core_rx[3]),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_36 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_u_rx/g8746  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_22 ),
+	.B_N(soc_top_u_uart_u_uart_core_rx[2]),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_35 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_u_rx/g8747  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_22 ),
+	.B_N(soc_top_u_uart_u_uart_core_rx[5]),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_34 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_u_rx/g8748  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_22 ),
+	.B_N(soc_top_u_uart_u_uart_core_rx[4]),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_33 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_u_rx/g8749  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_22 ),
+	.B_N(soc_top_u_uart_u_uart_core_rx[7]),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_32 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_u_uart_core_u_rx/g8750  (
+	.A_N(\soc_top_u_uart_u_uart_core_u_rx/r_Rx_Data_R ),
+	.B(soc_top_system_rst_ni),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_30 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_u_rx/g8751  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_22 ),
+	.B_N(soc_top_u_uart_u_uart_core_rx[0]),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_29 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_u_rx/g8752  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_22 ),
+	.B_N(soc_top_u_uart_u_uart_core_rx[1]),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_28 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_u_rx/g8753  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_22 ),
+	.B_N(soc_top_u_uart_u_uart_core_rx[6]),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_27 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_uart_u_uart_core_u_rx/g8754  (
+	.A_N(soc_top_u_uart_u_uart_core_control[4]),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_18 ),
+	.C(\soc_top_u_uart_u_uart_core_u_rx/n_2 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_31 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_u_rx/g8755  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_25 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_24 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_u_rx/g8756  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_23 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_22 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_u_rx/g8758  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_11 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/r_SM_Main[1] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_26 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_u_rx/g8759  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_10 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/r_SM_Main[1] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_25 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_u_uart_core_u_rx/g8760  (
+	.A_N(\soc_top_u_uart_u_uart_core_u_rx/r_SM_Main[1] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_10 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_23 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_u_uart_core_u_rx/g8761  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_20 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_19 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_uart_u_uart_core_u_rx/g8762  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/r_SM_Main[0] ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/r_SM_Main[1] ),
+	.B1(soc_top_u_uart_u_uart_core_rx_done),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_17 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_u_uart_core_u_rx/g8763  (
+	.A1(soc_top_u_uart_u_uart_core_control[0]),
+	.A2(soc_top_u_uart_u_uart_core_control[1]),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_14 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_21 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_u_rx/g8764  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_SM_Main[1] ),
+	.B_N(\soc_top_u_uart_u_uart_core_u_rx/n_11 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_20 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 \soc_top_u_uart_u_uart_core_u_rx/g8765  (
+	.A(soc_top_u_uart_u_uart_core_control[1]),
+	.B(soc_top_u_uart_u_uart_core_control[2]),
+	.C(soc_top_u_uart_u_uart_core_control[0]),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_18 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_u_uart_core_u_rx/g8766  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_14 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_15 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_u_rx/g8767  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index[1] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index[2] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_16 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_rx/g8768  (
+	.A(soc_top_u_uart_u_uart_core_control[0]),
+	.B(soc_top_u_uart_u_uart_core_control[1]),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_14 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \soc_top_u_uart_u_uart_core_u_rx/g8769  (
+	.A(soc_top_u_uart_u_uart_core_control[0]),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[0] ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_13 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_u_uart_core_u_rx/g8770  (
+	.A_N(soc_top_u_uart_u_uart_core_n_188),
+	.B(soc_top_system_rst_ni),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_9 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_u_uart_core_u_rx/g8771  (
+	.A_N(\soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index[2] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index[1] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_12 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_u_rx/g8772  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_SM_Main[2] ),
+	.B_N(\soc_top_u_uart_u_uart_core_u_rx/r_SM_Main[0] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_11 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_rx/g8773  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_SM_Main[0] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/r_SM_Main[2] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_10 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_u_rx/g8774  (
+	.A(soc_top_u_uart_u_uart_core_control[9]),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_8 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_u_rx/g8775  (
+	.A(soc_top_u_uart_u_uart_core_control[11]),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_7 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_u_rx/g8776  (
+	.A(soc_top_u_uart_u_uart_core_control[13]),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_6 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_u_rx/g8777  (
+	.A(soc_top_u_uart_u_uart_core_control[7]),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_5 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_u_rx/g8779  (
+	.A(soc_top_u_uart_u_uart_core_control[5]),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_3 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_u_rx/g8780  (
+	.A(soc_top_u_uart_u_uart_core_control[3]),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_2 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Rx_Data_reg  (
+	.CLK(CTS_124),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_30 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_Rx_Data ),
+	.Q_N(\soc_top_u_uart_u_uart_core_u_rx/n_40 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_2 \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count_reg[14]  (
+	.CLK(CTS_124),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_193 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[14] ),
+	.Q_N(\soc_top_u_uart_u_uart_core_u_rx/n_4 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_2 \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count_reg[12]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_189 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[12] ),
+	.Q_N(\soc_top_u_uart_u_uart_core_u_rx/n_1 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_u_rx/g2  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index[0] ),
+	.B_N(\soc_top_u_uart_u_uart_core_u_rx/n_136 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_215 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_u_uart_u_uart_core_u_rx/g8789  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_216 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[3] ),
+	.C(\soc_top_u_uart_u_uart_core_u_rx/n_48 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_217 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_u_uart_u_uart_core_u_rx/g3  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_42 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_46 ),
+	.C(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[2] ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_216 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/o_TX_Serial_reg  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_65 ),
+	.Q(io_out[6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_Bit_Index_reg[0]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_133 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_Bit_Index[0] ),
+	.SCD(\soc_top_u_uart_u_uart_core_u_tx/n_136 ),
+	.SCE(\soc_top_u_uart_u_uart_core_u_tx/r_Bit_Index[0] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_Bit_Index_reg[2]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_157 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_Bit_Index[2] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count_reg[0]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_143 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[0] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count_reg[1]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_146 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[1] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count_reg[2]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_145 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[2] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count_reg[3]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_144 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[3] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count_reg[4]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_147 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[4] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count_reg[5]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_151 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[5] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count_reg[6]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_154 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[6] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count_reg[7]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_153 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[7] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count_reg[8]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_152 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[8] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count_reg[9]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_155 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[9] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count_reg[10]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_149 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[10] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count_reg[11]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_150 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[11] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count_reg[12]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_148 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[12] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count_reg[13]  (
+	.CLK(CTS_124),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_161 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[13] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count_reg[14]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_164 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[14] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count_reg[15]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_166 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[15] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_SM_Main_reg[1]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_142 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_SM_Main[1] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_TX_Data_reg[0]  (
+	.CLK(CTS_127),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_50 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[0] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_TX_Data_reg[1]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_51 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[1] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_TX_Data_reg[2]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_48 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[2] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_TX_Data_reg[3]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_43 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[3] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_TX_Data_reg[4]  (
+	.CLK(CTS_127),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_44 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[4] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_TX_Data_reg[5]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_47 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[5] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_TX_Data_reg[6]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_49 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[6] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_TX_Data_reg[7]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_42 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[7] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_TX_Done_reg  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_158 ),
+	.Q(soc_top_u_uart_u_uart_core_tx_done), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_tx/g5499  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_165 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_139 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_166 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_uart_u_uart_core_u_tx/g5501  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[15] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_162 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_165 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_u_tx/g5502  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_139 ),
+	.B_N(\soc_top_u_uart_u_uart_core_u_tx/n_163 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_164 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_tx/g5503  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[14] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_159 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_tx/n_162 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_tx/n_163 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_u_tx/g5506  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_139 ),
+	.B_N(\soc_top_u_uart_u_uart_core_u_tx/n_160 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_161 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_tx/g5507  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[13] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_137 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_tx/n_159 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_tx/n_160 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_uart_u_uart_core_u_tx/g5510  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_16 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_141 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_135 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_158 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_u_tx/g5520  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_133 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_41 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_136 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_tx/r_Bit_Index[2] ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_157 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_u_tx/g5521  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_133 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_20 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_136 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_tx/r_Bit_Index[1] ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_156 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_u_tx/g5529  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_139 ),
+	.B_N(\soc_top_u_uart_u_uart_core_u_tx/n_118 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_155 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_u_tx/g5530  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_139 ),
+	.B_N(\soc_top_u_uart_u_uart_core_u_tx/n_96 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_154 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_u_tx/g5531  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_139 ),
+	.B_N(\soc_top_u_uart_u_uart_core_u_tx/n_99 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_153 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_u_tx/g5532  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_139 ),
+	.B_N(\soc_top_u_uart_u_uart_core_u_tx/n_109 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_152 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_u_tx/g5533  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_139 ),
+	.B_N(\soc_top_u_uart_u_uart_core_u_tx/n_89 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_151 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_u_tx/g5534  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_139 ),
+	.B_N(\soc_top_u_uart_u_uart_core_u_tx/n_127 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_150 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_u_tx/g5535  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_139 ),
+	.B_N(\soc_top_u_uart_u_uart_core_u_tx/n_123 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_149 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_u_tx/g5536  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_139 ),
+	.B_N(\soc_top_u_uart_u_uart_core_u_tx/n_138 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_148 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_u_tx/g5537  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_139 ),
+	.B_N(\soc_top_u_uart_u_uart_core_u_tx/n_80 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_147 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_u_tx/g5538  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_139 ),
+	.B_N(\soc_top_u_uart_u_uart_core_u_tx/n_28 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_146 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_u_tx/g5539  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_139 ),
+	.B_N(\soc_top_u_uart_u_uart_core_u_tx/n_56 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_145 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_u_tx/g5540  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_139 ),
+	.B_N(\soc_top_u_uart_u_uart_core_u_tx/n_69 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_144 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_tx/g5541  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[0] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_139 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_143 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_u_uart_u_uart_core_u_tx/g5542  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_23 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_129 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_24 ),
+	.C1(\soc_top_u_uart_u_uart_core_u_tx/n_128 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_142 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_u_uart_core_u_tx/g5543  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_132 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/r_SM_Main[0] ),
+	.B1(soc_top_u_uart_u_uart_core_tx_done),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_141 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_uart_u_uart_core_u_tx/g5544  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/r_SM_Main[0] ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_131 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_0 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_tx/n_128 ),
+	.C1(\soc_top_u_uart_u_uart_core_u_tx/n_134 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_140 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_tx/g5545  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[12] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_126 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_tx/n_137 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_tx/n_138 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_u_tx/g5546  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_134 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_128 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_139 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 \soc_top_u_uart_u_uart_core_u_tx/g5547  (
+	.A_N(\soc_top_u_uart_u_uart_core_u_tx/n_132 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/r_SM_Main[0] ),
+	.C(soc_top_u_uart_u_uart_core_tx_done),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_135 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_u_uart_core_u_tx/g5548  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_130 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_0 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_7 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_136 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_u_uart_core_u_tx/g5549  (
+	.A_N(\soc_top_u_uart_u_uart_core_u_tx/n_23 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_129 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_134 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_u_tx/g5550  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_24 ),
+	.B_N(\soc_top_u_uart_u_uart_core_u_tx/n_130 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_133 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 \soc_top_u_uart_u_uart_core_u_tx/g5551  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_125 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_15 ),
+	.A3(\soc_top_u_uart_u_uart_core_u_tx/n_40 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_18 ),
+	.B2(soc_top_u_uart_u_uart_core_tx_en_sel),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_131 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \soc_top_u_uart_u_uart_core_u_tx/g5552  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_125 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/r_SM_Main[1] ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_7 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_132 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_u_uart_core_u_tx/g5553  (
+	.A_N(\soc_top_u_uart_u_uart_core_u_tx/n_125 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/r_SM_Main[1] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_130 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_uart_u_uart_core_u_tx/g5554  (
+	.A1(soc_top_u_uart_u_uart_core_control[15]),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_105 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_125 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_129 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_u_uart_core_u_tx/g5555  (
+	.A_N(\soc_top_u_uart_u_uart_core_u_tx/n_125 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_15 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_128 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_tx/g5556  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[11] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_122 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_tx/n_126 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_tx/n_127 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_u_tx/g5557  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_116 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_124 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_124 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_tx/n_120 ),
+	.C1(\soc_top_u_uart_u_uart_core_u_tx/n_121 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_125 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_tx/g5558  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[10] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_117 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_tx/n_122 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_tx/n_123 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 \soc_top_u_uart_u_uart_core_u_tx/g5559  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[15] ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_115 ),
+	.B1_N(\soc_top_u_uart_u_uart_core_u_tx/n_119 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_124 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_u_uart_u_uart_core_u_tx/g5560  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[15] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_115 ),
+	.C(\soc_top_u_uart_u_uart_core_u_tx/n_114 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_121 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_u_tx/g5561  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_113 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[13] ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_111 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[12] ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_120 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_u_tx/g5562  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[14] ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_106 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[13] ),
+	.B2(\soc_top_u_uart_u_uart_core_u_tx/n_113 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_119 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_tx/g5563  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[9] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_108 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_tx/n_117 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_tx/n_118 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_u_uart_core_u_tx/g5564  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_102 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_104 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_112 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_116 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_u_uart_u_uart_core_u_tx/g5565  (
+	.A(soc_top_u_uart_u_uart_core_control[15]),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_105 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_115 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_uart_u_uart_core_u_tx/g5566  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_106 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[14] ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_114 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_u_tx/g5567  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[12] ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_111 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[11] ),
+	.B2(\soc_top_u_uart_u_uart_core_u_tx/n_101 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_112 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_u_uart_core_u_tx/g5568  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_110 ),
+	.A2(soc_top_u_uart_u_uart_core_control[13]),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_103 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_113 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_u_uart_core_u_tx/g5569  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_107 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_110 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_tx/g5570  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[8] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_98 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_tx/n_108 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_tx/n_109 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_tx/g5571  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_4 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_100 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_tx/n_107 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_tx/n_111 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_uart_u_uart_core_u_tx/g5572  (
+	.A(soc_top_u_uart_u_uart_core_control[14]),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_103 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_106 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_u_uart_core_u_tx/g5573  (
+	.A_N(soc_top_u_uart_u_uart_core_control[14]),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_103 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_105 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_u_tx/g5574  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_97 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[10] ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_101 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[11] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_104 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_uart_u_uart_core_u_tx/g5575  (
+	.A_N(soc_top_u_uart_u_uart_core_control[13]),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_100 ),
+	.C(\soc_top_u_uart_u_uart_core_u_tx/n_4 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_103 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_uart_u_uart_core_u_tx/g5576  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[10] ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_97 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_94 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_102 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_tx/g5577  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[7] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_95 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_tx/n_98 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_tx/n_99 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_tx/g5578  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_11 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_93 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_tx/n_100 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_tx/n_101 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_u_uart_u_uart_core_u_tx/g5579  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[9] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_195 ),
+	.C(\soc_top_u_uart_u_uart_core_u_tx/n_87 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_97 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_tx/g5580  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[6] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_88 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_tx/n_95 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_tx/n_96 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_tx/g5581  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_9 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_86 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_tx/n_93 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_tx/n_94 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_tx/g5585  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[5] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_79 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_tx/n_88 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_tx/n_89 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_tx/g5586  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_10 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_81 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_tx/n_86 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_tx/n_87 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 \soc_top_u_uart_u_uart_core_u_tx/g5587  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_78 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_77 ),
+	.B1_N(\soc_top_u_uart_u_uart_core_u_tx/n_84 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_85 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_u_uart_u_uart_core_u_tx/g5588  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[7] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_76 ),
+	.C(\soc_top_u_uart_u_uart_core_u_tx/n_73 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_84 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 \soc_top_u_uart_u_uart_core_u_tx/g5589  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_66 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_67 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_75 ),
+	.C1(\soc_top_u_uart_u_uart_core_u_tx/n_77 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_83 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_tx/g5590  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_5 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_70 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_tx/n_81 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_tx/n_82 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_tx/g5591  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[4] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_68 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_tx/n_79 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_tx/n_80 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 \soc_top_u_uart_u_uart_core_u_tx/g5592  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_72 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[4] ),
+	.A3(\soc_top_u_uart_u_uart_core_u_tx/n_71 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_64 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[5] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_78 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_u_tx/g5593  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[7] ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_73 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[6] ),
+	.B2(\soc_top_u_uart_u_uart_core_u_tx/n_74 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_77 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_uart_u_uart_core_u_tx/g5594  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_74 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[6] ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_76 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_uart_u_uart_core_u_tx/g5595  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[4] ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_71 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_72 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_75 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_u_uart_core_u_tx/g5597  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_63 ),
+	.A2(soc_top_u_uart_u_uart_core_control[6]),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_53 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_74 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_tx/g5598  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_6 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_53 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_tx/n_70 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_tx/n_73 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_tx/g5599  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[3] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_55 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_tx/n_68 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_tx/n_69 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \soc_top_u_uart_u_uart_core_u_tx/g5600  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[5] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_64 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_72 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_u_uart_core_u_tx/g5601  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_60 ),
+	.A2(soc_top_u_uart_u_uart_core_control[4]),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_45 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_71 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_uart_u_uart_core_u_tx/g5602  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_54 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_57 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[3] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_67 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 \soc_top_u_uart_u_uart_core_u_tx/g5603  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[3] ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_54 ),
+	.A3(\soc_top_u_uart_u_uart_core_u_tx/n_57 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_59 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_66 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_u_tx/g5604  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_18 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_0 ),
+	.B1(io_out[6]),
+	.B2(\soc_top_u_uart_u_uart_core_u_tx/n_7 ),
+	.C1(\soc_top_u_uart_u_uart_core_u_tx/n_61 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_65 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_uart_u_uart_core_u_tx/g5605  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_62 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_63 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_tx/g5606  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_3 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_45 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_tx/n_62 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_tx/n_64 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 \soc_top_u_uart_u_uart_core_u_tx/g5607  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/r_Bit_Index[2] ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_16 ),
+	.A3(\soc_top_u_uart_u_uart_core_u_tx/n_37 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_16 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_tx/n_52 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_61 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_uart_u_uart_core_u_tx/g5608  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_58 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_60 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_tx/g5609  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_2 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_22 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_tx/n_58 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_tx/n_59 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \soc_top_u_uart_u_uart_core_u_tx/g5610  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[2] ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_46 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_25 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_57 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_tx/g5611  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[2] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_29 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_tx/n_55 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_tx/n_56 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_u_uart_core_u_tx/g5617  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_38 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/r_Bit_Index[2] ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/r_SM_Main[0] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_52 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_u_tx/g5620  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_46 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[2] ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_54 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 \soc_top_u_uart_u_uart_core_u_tx/g5622  (
+	.A(soc_top_u_uart_u_uart_core_control[5]),
+	.B(soc_top_u_uart_u_uart_core_control[6]),
+	.C_N(\soc_top_u_uart_u_uart_core_u_tx/n_45 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_53 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_tx/g5623  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_7 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_35 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_51 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_tx/g5624  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_7 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_31 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_50 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_tx/g5625  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_7 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_39 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_49 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_tx/g5626  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_7 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_32 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_48 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_tx/g5627  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_7 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_36 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_47 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_tx/g5628  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_7 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_33 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_44 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_tx/g5629  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_7 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_34 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_43 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_tx/g5630  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_7 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_30 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_42 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \soc_top_u_uart_u_uart_core_u_tx/g5631  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[1] ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_19 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_17 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_tx/n_29 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_46 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_uart_u_uart_core_u_tx/g5632  (
+	.A_N(soc_top_u_uart_u_uart_core_control[4]),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_22 ),
+	.C(\soc_top_u_uart_u_uart_core_u_tx/n_2 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_45 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_tx/g5633  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Bit_Index[2] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_12 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_tx/n_40 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_tx/n_41 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_uart_u_uart_core_u_tx/g5634  (
+	.A0(\soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[6] ),
+	.A1(soc_top_u_uart_u_uart_core_tx_fifo_data[6]),
+	.S(\soc_top_u_uart_u_uart_core_u_tx/n_21 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_39 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_u_tx/g5635  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_13 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[6] ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_12 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[7] ),
+	.C1(\soc_top_u_uart_u_uart_core_u_tx/n_27 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_38 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_u_tx/g5636  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_13 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[2] ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_12 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[3] ),
+	.C1(\soc_top_u_uart_u_uart_core_u_tx/n_26 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_37 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_uart_u_uart_core_u_tx/g5637  (
+	.A0(\soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[5] ),
+	.A1(soc_top_u_uart_u_uart_core_tx_fifo_data[5]),
+	.S(\soc_top_u_uart_u_uart_core_u_tx/n_21 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_36 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_uart_u_uart_core_u_tx/g5638  (
+	.A0(\soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[1] ),
+	.A1(soc_top_u_uart_u_uart_core_tx_fifo_data[1]),
+	.S(\soc_top_u_uart_u_uart_core_u_tx/n_21 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_35 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_uart_u_uart_core_u_tx/g5639  (
+	.A0(\soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[3] ),
+	.A1(soc_top_u_uart_u_uart_core_tx_fifo_data[3]),
+	.S(\soc_top_u_uart_u_uart_core_u_tx/n_21 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_34 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_uart_u_uart_core_u_tx/g5640  (
+	.A0(\soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[4] ),
+	.A1(soc_top_u_uart_u_uart_core_tx_fifo_data[4]),
+	.S(\soc_top_u_uart_u_uart_core_u_tx/n_21 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_33 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_uart_u_uart_core_u_tx/g5641  (
+	.A0(\soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[2] ),
+	.A1(soc_top_u_uart_u_uart_core_tx_fifo_data[2]),
+	.S(\soc_top_u_uart_u_uart_core_u_tx/n_21 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_32 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_uart_u_uart_core_u_tx/g5642  (
+	.A0(\soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[0] ),
+	.A1(soc_top_u_uart_u_uart_core_tx_fifo_data[0]),
+	.S(\soc_top_u_uart_u_uart_core_u_tx/n_21 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_31 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_uart_u_uart_core_u_tx/g5643  (
+	.A0(\soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[7] ),
+	.A1(soc_top_u_uart_u_uart_core_tx_fifo_data[8]),
+	.S(\soc_top_u_uart_u_uart_core_u_tx/n_21 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_30 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_tx/g5644  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[1] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[0] ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_tx/n_29 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_tx/n_28 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 \soc_top_u_uart_u_uart_core_u_tx/g5645  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_1 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_8 ),
+	.A3(\soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[4] ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_14 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[5] ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_27 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 \soc_top_u_uart_u_uart_core_u_tx/g5646  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_1 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_8 ),
+	.A3(\soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[0] ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_14 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[1] ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_26 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_u_uart_core_u_tx/g5647  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_17 ),
+	.A2(soc_top_u_uart_u_uart_core_control[2]),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_22 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_25 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_u_tx/g5648  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_15 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_0 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_24 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_u_tx/g5649  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_18 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/r_SM_Main[0] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_23 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_tx/g5650  (
+	.A(soc_top_u_uart_u_uart_core_control[2]),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_17 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_22 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \soc_top_u_uart_u_uart_core_u_tx/g5651  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_13 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_14 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_20 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_u_uart_u_uart_core_u_tx/g5652  (
+	.A1_N(soc_top_u_uart_u_uart_core_control[0]),
+	.A2_N(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[0] ),
+	.B1(soc_top_u_uart_u_uart_core_control[1]),
+	.B2(soc_top_u_uart_u_uart_core_control[0]),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_19 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_uart_u_uart_core_u_tx/g5653  (
+	.A_N(\soc_top_u_uart_u_uart_core_u_tx/r_SM_Main[1] ),
+	.B(soc_top_u_uart_u_uart_core_tx_en_sel),
+	.C(\soc_top_u_uart_u_uart_core_u_tx/n_0 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_21 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_u_tx/g5654  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_16 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_15 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_tx/g5655  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_7 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/r_SM_Main[1] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_18 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \soc_top_u_uart_u_uart_core_u_tx/g5656  (
+	.A(soc_top_u_uart_u_uart_core_control[0]),
+	.B(soc_top_u_uart_u_uart_core_control[1]),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_17 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_u_tx/g5657  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_SM_Main[1] ),
+	.B(soc_top_system_rst_ni),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_16 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_tx/g5658  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Bit_Index[1] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_1 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_14 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_tx/g5659  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Bit_Index[0] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_8 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_13 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_tx/g5660  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_8 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_1 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_12 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_u_tx/g5661  (
+	.A(soc_top_u_uart_u_uart_core_control[11]),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_11 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_u_tx/g5662  (
+	.A(soc_top_u_uart_u_uart_core_control[9]),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_10 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_u_tx/g5663  (
+	.A(soc_top_u_uart_u_uart_core_control[10]),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_9 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_u_tx/g5665  (
+	.A(soc_top_system_rst_ni),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_7 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_u_tx/g5666  (
+	.A(soc_top_u_uart_u_uart_core_control[7]),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_6 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_u_tx/g5667  (
+	.A(soc_top_u_uart_u_uart_core_control[8]),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_5 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_u_tx/g5668  (
+	.A(soc_top_u_uart_u_uart_core_control[12]),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_4 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_u_uart_core_u_tx/g5669  (
+	.A(soc_top_u_uart_u_uart_core_control[5]),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_3 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_uart_u_uart_core_u_tx/g5670  (
+	.A(soc_top_u_uart_u_uart_core_control[3]),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_2 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_u_tx/g5671  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Bit_Index[0] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_1 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_uart_u_uart_core_u_tx/r_Bit_Index_reg[1]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_156 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_Bit_Index[1] ),
+	.Q_N(\soc_top_u_uart_u_uart_core_u_tx/n_8 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_uart_u_uart_core_u_tx/r_SM_Main_reg[0]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_140 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_SM_Main[0] ),
+	.Q_N(\soc_top_u_uart_u_uart_core_u_tx/n_0 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_u_uart_u_uart_core_u_tx/g2  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_194 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[8] ),
+	.C(\soc_top_u_uart_u_uart_core_u_tx/n_82 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_195 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 \soc_top_u_uart_u_uart_core_u_tx/g3  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_83 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_85 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_194 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_sram_1kbyte_1rw1r_32x256_8 soc_top_u_dccm_sram1 (
+	.din0(soc_top_data_wdata),
+	.dout0(soc_top_u_dccm_rdata1),
+	.addr0(soc_top_u_dccm_addr1),
+	.wmask0(soc_top_data_wmask),
+	.csb0(soc_top_u_dccm_csb1),
+	.web0(soc_top_data_we),
+	.clk0(CTS_146),
+	.dout1({ UNCONNECTED0,
+		UNCONNECTED1,
+		UNCONNECTED2,
+		UNCONNECTED3,
+		UNCONNECTED4,
+		UNCONNECTED5,
+		UNCONNECTED6,
+		UNCONNECTED7,
+		UNCONNECTED8,
+		UNCONNECTED9,
+		UNCONNECTED10,
+		UNCONNECTED11,
+		UNCONNECTED12,
+		UNCONNECTED13,
+		UNCONNECTED14,
+		UNCONNECTED15,
+		UNCONNECTED16,
+		UNCONNECTED17,
+		UNCONNECTED18,
+		UNCONNECTED19,
+		UNCONNECTED20,
+		UNCONNECTED21,
+		UNCONNECTED22,
+		UNCONNECTED23,
+		UNCONNECTED24,
+		UNCONNECTED25,
+		UNCONNECTED26,
+		UNCONNECTED27,
+		UNCONNECTED28,
+		UNCONNECTED29,
+		UNCONNECTED30,
+		UNCONNECTED31 }),
+	.addr1({ io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26] }),
+	.csb1(io_oeb[0]),
+	.clk1(io_oeb[26]), 
+	.vssd1(VGND), 
+	.vccd1(VPWR));
+   sky130_sram_1kbyte_1rw1r_32x256_8 soc_top_u_dccm_sram2 (
+	.din0(soc_top_data_wdata),
+	.dout0(soc_top_u_dccm_rdata2),
+	.addr0(soc_top_u_dccm_addr2),
+	.wmask0(soc_top_data_wmask),
+	.csb0(n_35144),
+	.web0(soc_top_data_we),
+	.clk0(CTS_25),
+	.dout1({ UNCONNECTED32,
+		UNCONNECTED33,
+		UNCONNECTED34,
+		UNCONNECTED35,
+		UNCONNECTED36,
+		UNCONNECTED37,
+		UNCONNECTED38,
+		UNCONNECTED39,
+		UNCONNECTED40,
+		UNCONNECTED41,
+		UNCONNECTED42,
+		UNCONNECTED43,
+		UNCONNECTED44,
+		UNCONNECTED45,
+		UNCONNECTED46,
+		UNCONNECTED47,
+		UNCONNECTED48,
+		UNCONNECTED49,
+		UNCONNECTED50,
+		UNCONNECTED51,
+		UNCONNECTED52,
+		UNCONNECTED53,
+		UNCONNECTED54,
+		UNCONNECTED55,
+		UNCONNECTED56,
+		UNCONNECTED57,
+		UNCONNECTED58,
+		UNCONNECTED59,
+		UNCONNECTED60,
+		UNCONNECTED61,
+		UNCONNECTED62,
+		UNCONNECTED63 }),
+	.addr1({ io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26] }),
+	.csb1(io_oeb[0]),
+	.clk1(io_oeb[26]), 
+	.vssd1(VGND), 
+	.vccd1(VPWR));
+   sky130_sram_1kbyte_1rw1r_32x256_8 soc_top_u_dccm_sram3 (
+	.din0(soc_top_data_wdata),
+	.dout0(soc_top_u_dccm_rdata3),
+	.addr0(soc_top_u_dccm_addr3),
+	.wmask0(soc_top_data_wmask),
+	.csb0(n_35146),
+	.web0(soc_top_data_we),
+	.clk0(CTS_102),
+	.dout1({ UNCONNECTED64,
+		UNCONNECTED65,
+		UNCONNECTED66,
+		UNCONNECTED67,
+		UNCONNECTED68,
+		UNCONNECTED69,
+		UNCONNECTED70,
+		UNCONNECTED71,
+		UNCONNECTED72,
+		UNCONNECTED73,
+		UNCONNECTED74,
+		UNCONNECTED75,
+		UNCONNECTED76,
+		UNCONNECTED77,
+		UNCONNECTED78,
+		UNCONNECTED79,
+		UNCONNECTED80,
+		UNCONNECTED81,
+		UNCONNECTED82,
+		UNCONNECTED83,
+		UNCONNECTED84,
+		UNCONNECTED85,
+		UNCONNECTED86,
+		UNCONNECTED87,
+		UNCONNECTED88,
+		UNCONNECTED89,
+		UNCONNECTED90,
+		UNCONNECTED91,
+		UNCONNECTED92,
+		UNCONNECTED93,
+		UNCONNECTED94,
+		UNCONNECTED95 }),
+	.addr1({ io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26] }),
+	.csb1(io_oeb[0]),
+	.clk1(io_oeb[26]), 
+	.vssd1(VGND), 
+	.vccd1(VPWR));
+   sky130_sram_1kbyte_1rw1r_32x256_8 soc_top_u_dccm_sram4 (
+	.din0(soc_top_data_wdata),
+	.dout0(soc_top_u_dccm_rdata4),
+	.addr0(soc_top_u_dccm_addr4),
+	.wmask0(soc_top_data_wmask),
+	.csb0(n_35145),
+	.web0(soc_top_data_we),
+	.clk0(CTS_136),
+	.dout1({ UNCONNECTED96,
+		UNCONNECTED97,
+		UNCONNECTED98,
+		UNCONNECTED99,
+		UNCONNECTED100,
+		UNCONNECTED101,
+		UNCONNECTED102,
+		UNCONNECTED103,
+		UNCONNECTED104,
+		UNCONNECTED105,
+		UNCONNECTED106,
+		UNCONNECTED107,
+		UNCONNECTED108,
+		UNCONNECTED109,
+		UNCONNECTED110,
+		UNCONNECTED111,
+		UNCONNECTED112,
+		UNCONNECTED113,
+		UNCONNECTED114,
+		UNCONNECTED115,
+		UNCONNECTED116,
+		UNCONNECTED117,
+		UNCONNECTED118,
+		UNCONNECTED119,
+		UNCONNECTED120,
+		UNCONNECTED121,
+		UNCONNECTED122,
+		UNCONNECTED123,
+		UNCONNECTED124,
+		UNCONNECTED125,
+		UNCONNECTED126,
+		UNCONNECTED127 }),
+	.addr1({ io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26] }),
+	.csb1(io_oeb[0]),
+	.clk1(io_oeb[26]), 
+	.vssd1(VGND), 
+	.vccd1(VPWR));
+   sky130_sram_1kbyte_1rw1r_32x256_8 soc_top_u_iccm_sram1 (
+	.din0(soc_top_instr_wdata),
+	.dout0(soc_top_u_iccm_rdata1),
+	.addr0(soc_top_u_iccm_addr1),
+	.wmask0({ n_83562,
+		n_83562,
+		n_83562,
+		n_83562 }),
+	.csb0(soc_top_u_iccm_csb1),
+	.web0(soc_top_instr_we),
+	.clk0(CTS_150),
+	.dout1({ UNCONNECTED128,
+		UNCONNECTED129,
+		UNCONNECTED130,
+		UNCONNECTED131,
+		UNCONNECTED132,
+		UNCONNECTED133,
+		UNCONNECTED134,
+		UNCONNECTED135,
+		UNCONNECTED136,
+		UNCONNECTED137,
+		UNCONNECTED138,
+		UNCONNECTED139,
+		UNCONNECTED140,
+		UNCONNECTED141,
+		UNCONNECTED142,
+		UNCONNECTED143,
+		UNCONNECTED144,
+		UNCONNECTED145,
+		UNCONNECTED146,
+		UNCONNECTED147,
+		UNCONNECTED148,
+		UNCONNECTED149,
+		UNCONNECTED150,
+		UNCONNECTED151,
+		UNCONNECTED152,
+		UNCONNECTED153,
+		UNCONNECTED154,
+		UNCONNECTED155,
+		UNCONNECTED156,
+		UNCONNECTED157,
+		UNCONNECTED158,
+		UNCONNECTED159 }),
+	.addr1({ io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26] }),
+	.csb1(io_oeb[0]),
+	.clk1(io_oeb[26]), 
+	.vssd1(VGND), 
+	.vccd1(VPWR));
+   sky130_sram_1kbyte_1rw1r_32x256_8 soc_top_u_iccm_sram2 (
+	.din0(soc_top_instr_wdata),
+	.dout0(soc_top_u_iccm_rdata2),
+	.addr0(soc_top_u_iccm_addr2),
+	.wmask0({ n_83562,
+		soc_top_instr_wmask[0],
+		n_83562,
+		n_83562 }),
+	.csb0(n_35138),
+	.web0(soc_top_instr_we),
+	.clk0(CTS_102),
+	.dout1({ UNCONNECTED160,
+		UNCONNECTED161,
+		UNCONNECTED162,
+		UNCONNECTED163,
+		UNCONNECTED164,
+		UNCONNECTED165,
+		UNCONNECTED166,
+		UNCONNECTED167,
+		UNCONNECTED168,
+		UNCONNECTED169,
+		UNCONNECTED170,
+		UNCONNECTED171,
+		UNCONNECTED172,
+		UNCONNECTED173,
+		UNCONNECTED174,
+		UNCONNECTED175,
+		UNCONNECTED176,
+		UNCONNECTED177,
+		UNCONNECTED178,
+		UNCONNECTED179,
+		UNCONNECTED180,
+		UNCONNECTED181,
+		UNCONNECTED182,
+		UNCONNECTED183,
+		UNCONNECTED184,
+		UNCONNECTED185,
+		UNCONNECTED186,
+		UNCONNECTED187,
+		UNCONNECTED188,
+		UNCONNECTED189,
+		UNCONNECTED190,
+		UNCONNECTED191 }),
+	.addr1({ io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26] }),
+	.csb1(io_oeb[0]),
+	.clk1(io_oeb[26]), 
+	.vssd1(VGND), 
+	.vccd1(VPWR));
+   sky130_sram_1kbyte_1rw1r_32x256_8 soc_top_u_iccm_sram3 (
+	.din0(soc_top_instr_wdata),
+	.dout0(soc_top_u_iccm_rdata3),
+	.addr0(soc_top_u_iccm_addr3),
+	.wmask0({ n_83562,
+		n_83562,
+		n_83562,
+		n_83562 }),
+	.csb0(n_35137),
+	.web0(soc_top_instr_we),
+	.clk0(CTS_77),
+	.dout1({ UNCONNECTED192,
+		UNCONNECTED193,
+		UNCONNECTED194,
+		UNCONNECTED195,
+		UNCONNECTED196,
+		UNCONNECTED197,
+		UNCONNECTED198,
+		UNCONNECTED199,
+		UNCONNECTED200,
+		UNCONNECTED201,
+		UNCONNECTED202,
+		UNCONNECTED203,
+		UNCONNECTED204,
+		UNCONNECTED205,
+		UNCONNECTED206,
+		UNCONNECTED207,
+		UNCONNECTED208,
+		UNCONNECTED209,
+		UNCONNECTED210,
+		UNCONNECTED211,
+		UNCONNECTED212,
+		UNCONNECTED213,
+		UNCONNECTED214,
+		UNCONNECTED215,
+		UNCONNECTED216,
+		UNCONNECTED217,
+		UNCONNECTED218,
+		UNCONNECTED219,
+		UNCONNECTED220,
+		UNCONNECTED221,
+		UNCONNECTED222,
+		UNCONNECTED223 }),
+	.addr1({ io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26] }),
+	.csb1(io_oeb[0]),
+	.clk1(io_oeb[26]), 
+	.vssd1(VGND), 
+	.vccd1(VPWR));
+   sky130_sram_1kbyte_1rw1r_32x256_8 soc_top_u_iccm_sram4 (
+	.din0(soc_top_instr_wdata),
+	.dout0(soc_top_u_iccm_rdata4),
+	.addr0(soc_top_u_iccm_addr4),
+	.wmask0({ n_83562,
+		soc_top_instr_wmask[0],
+		soc_top_instr_wmask[0],
+		n_83562 }),
+	.csb0(soc_top_u_iccm_csb4),
+	.web0(soc_top_instr_we),
+	.clk0(CTS_79),
+	.dout1({ UNCONNECTED224,
+		UNCONNECTED225,
+		UNCONNECTED226,
+		UNCONNECTED227,
+		UNCONNECTED228,
+		UNCONNECTED229,
+		UNCONNECTED230,
+		UNCONNECTED231,
+		UNCONNECTED232,
+		UNCONNECTED233,
+		UNCONNECTED234,
+		UNCONNECTED235,
+		UNCONNECTED236,
+		UNCONNECTED237,
+		UNCONNECTED238,
+		UNCONNECTED239,
+		UNCONNECTED240,
+		UNCONNECTED241,
+		UNCONNECTED242,
+		UNCONNECTED243,
+		UNCONNECTED244,
+		UNCONNECTED245,
+		UNCONNECTED246,
+		UNCONNECTED247,
+		UNCONNECTED248,
+		UNCONNECTED249,
+		UNCONNECTED250,
+		UNCONNECTED251,
+		UNCONNECTED252,
+		UNCONNECTED253,
+		UNCONNECTED254,
+		UNCONNECTED255 }),
+	.addr1({ io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26] }),
+	.csb1(io_oeb[0]),
+	.clk1(io_oeb[26]), 
+	.vssd1(VGND), 
+	.vccd1(VPWR));
+   sky130_fd_sc_hd__inv_2 g710272 (
+	.A(n_29454),
+	.Y(n_29290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g710297 (
+	.A(n_71730),
+	.Y(n_14959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g710301 (
+	.A(n_85120),
+	.Y(n_29261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g710308 (
+	.A(n_31410),
+	.Y(n_29254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g710324 (
+	.A(\soc_top_lsu_to_xbar[a_address] [19]),
+	.Y(n_111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g710329 (
+	.A(n_29517),
+	.Y(n_29234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g710529 (
+	.A(n_29611),
+	.Y(n_29033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g710541 (
+	.A(soc_top_u_top_u_core_alu_operator_ex[2]),
+	.Y(n_773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g710545 (
+	.A(soc_top_u_top_u_core_alu_operator_ex[3]),
+	.Y(n_715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g710546 (
+	.A(n_29681),
+	.Y(n_29016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g710547 (
+	.A(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [1]),
+	.Y(n_29015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g710549 (
+	.A(soc_top_u_top_u_core_lsu_addr_last[7]),
+	.Y(n_29013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g710550 (
+	.A(soc_top_u_top_u_core_lsu_addr_last[5]),
+	.Y(n_29012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g710569 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[19]),
+	.Y(n_12096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__bufinv_8 g710576 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.Y(n_12103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g710591 (
+	.A(soc_top_u_top_u_core_instr_valid_id),
+	.Y(n_155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g710657 (
+	.A(soc_top_u_top_u_core_lsu_addr_last[31]),
+	.Y(n_28905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g710658 (
+	.A(soc_top_u_top_u_core_cs_registers_i_mcountinhibit_q[0]),
+	.Y(n_31543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g710243 (
+	.A(n_716),
+	.B(n_28895),
+	.Y(n_29533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g710246 (
+	.A(n_74022),
+	.Y(n_143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g710248 (
+	.A(\soc_top_lsu_to_xbar[a_address] [30]),
+	.Y(n_28895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g710250 (
+	.A(n_69574),
+	.Y(n_716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g711416 (
+	.A(n_69211),
+	.B(n_29799),
+	.Y(n_29535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g711417 (
+	.A(n_72256),
+	.B(n_28888),
+	.Y(soc_top_u_top_u_core_id_stage_i_stall_wb), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g711421 (
+	.A(n_28887),
+	.B(n_84186),
+	.Y(n_28888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g711423 (
+	.A(n_72257),
+	.B(n_72261),
+	.Y(n_28887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g711427 (
+	.A1(n_29696),
+	.A2(n_73394),
+	.B1(n_35440),
+	.X(n_28885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g711449 (
+	.A(n_28866),
+	.B(n_28788),
+	.Y(n_28871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g711451 (
+	.A(n_28868),
+	.B(n_28668),
+	.Y(n_28869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g711453 (
+	.A(n_68750),
+	.Y(n_28868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g711457 (
+	.A(n_68752),
+	.Y(n_28866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g711458 (
+	.A(n_86739),
+	.Y(n_28865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g711470 (
+	.A(n_28857),
+	.B(n_28858),
+	.Y(n_28859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g711471 (
+	.A(n_84319),
+	.B(soc_top_main_swith_host_lsu_dev_select_outstanding[0]),
+	.Y(n_28858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g711472 (
+	.A(n_28856),
+	.B(n_28795),
+	.Y(n_28857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g711473 (
+	.A(n_84319),
+	.Y(n_28856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g711475 (
+	.A(n_28850),
+	.B(n_28849),
+	.Y(n_28855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g711476 (
+	.A(n_28848),
+	.B(n_28851),
+	.Y(n_28854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g711477 (
+	.A(soc_top_main_swith_host_lsu_dev_select_t[2]),
+	.B(soc_top_main_swith_host_lsu_dev_select_outstanding[2]),
+	.Y(n_28851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g711478 (
+	.A(n_111),
+	.B(n_29758),
+	.Y(n_28853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g711479 (
+	.A(\soc_top_lsu_to_xbar[a_address] [19]),
+	.B(n_29758),
+	.Y(n_28852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g711480 (
+	.A(n_28845),
+	.B(n_28796),
+	.Y(n_28850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g711481 (
+	.A(soc_top_main_swith_host_lsu_dev_select_t[1]),
+	.B(soc_top_main_swith_host_lsu_dev_select_outstanding[1]),
+	.Y(n_28849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g711482 (
+	.A(n_28843),
+	.B(n_28785),
+	.Y(n_28848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g711484 (
+	.A(soc_top_main_swith_host_lsu_dev_select_t[1]),
+	.Y(n_28845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g711485 (
+	.A(n_29733),
+	.B(n_28839),
+	.Y(n_28844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g711486 (
+	.A(n_28819),
+	.B(n_29764),
+	.Y(n_28846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g711487 (
+	.A_N(n_29702),
+	.B(n_28838),
+	.Y(n_29758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g711488 (
+	.A(n_84317),
+	.B(n_28828),
+	.Y(soc_top_main_swith_host_lsu_dev_select_t[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g711489 (
+	.A(soc_top_main_swith_host_lsu_dev_select_t[2]),
+	.Y(n_28843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g711490 (
+	.A(\soc_top_lsu_to_xbar[a_address] [19]),
+	.B(n_29701),
+	.C(n_28839),
+	.Y(n_28842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g711491 (
+	.A(n_84317),
+	.B(n_28836),
+	.Y(n_28841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g711492 (
+	.A(n_71266),
+	.B(n_28826),
+	.Y(n_28840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g711493 (
+	.A(n_84317),
+	.B(n_28831),
+	.Y(soc_top_main_swith_host_lsu_dev_select_t[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g711494 (
+	.A(n_28838),
+	.Y(n_29764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g711495 (
+	.A_N(n_29702),
+	.B(FE_DBTN90_n_72277),
+	.C(n_70129),
+	.Y(n_28839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g711496 (
+	.A(n_70129),
+	.B(n_72277),
+	.Y(n_28838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g711498 (
+	.A1(n_86054),
+	.A2(n_111),
+	.B1(n_28823),
+	.Y(n_28836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g711499 (
+	.A1(n_218),
+	.A2(soc_top_u_top_u_core_load_store_unit_i_split_misaligned_access),
+	.B1_N(n_29792),
+	.Y(n_28835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g711500 (
+	.A(FE_DBTN90_n_72277),
+	.B(n_28829),
+	.Y(n_28834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g711502 (
+	.A(n_86054),
+	.B(n_28823),
+	.Y(n_28831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g711503 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_split_misaligned_access),
+	.B(n_29793),
+	.Y(n_28830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g711505 (
+	.A(n_29702),
+	.B(n_28802),
+	.C(n_28816),
+	.Y(n_28829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_2 g711506 (
+	.A1(n_71266),
+	.A2(n_29733),
+	.B1(FE_DBTN9_n_87477),
+	.B2(n_28802),
+	.C1(n_28821),
+	.X(n_28828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g711507 (
+	.A1(n_69574),
+	.A2(n_85929),
+	.B1(n_69576),
+	.C1(n_28801),
+	.Y(n_28827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g711508 (
+	.A(n_86053),
+	.B(n_85929),
+	.Y(n_28826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g711511 (
+	.A1(n_69574),
+	.A2(\soc_top_lsu_to_xbar[a_address] [19]),
+	.B1(n_28808),
+	.Y(n_28821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g711512 (
+	.A(n_28811),
+	.B(n_29533),
+	.Y(n_28820), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g711513 (
+	.A1(n_111),
+	.A2(n_28804),
+	.B1(n_84561),
+	.Y(n_28823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g711514 (
+	.A1(soc_top_u_top_u_core_load_store_unit_i_n_861),
+	.A2(n_34508),
+	.B1(n_37520),
+	.Y(soc_top_u_top_u_core_load_store_unit_i_split_misaligned_access), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g711515 (
+	.A_N(n_85929),
+	.B(n_28814),
+	.Y(n_28819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g711516 (
+	.A(n_28810),
+	.B(n_75554),
+	.Y(n_28818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g711517 (
+	.A(n_85927),
+	.B(n_28809),
+	.Y(n_28817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g711518 (
+	.A(n_28813),
+	.B(n_85929),
+	.C(n_71266),
+	.Y(n_28816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g711520 (
+	.A(n_71266),
+	.B(n_29700),
+	.Y(n_28814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g711521 (
+	.A(n_29733),
+	.B(n_70129),
+	.Y(n_28813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 g711523 (
+	.A1_N(\soc_top_lsu_to_xbar[a_address] [30]),
+	.A2_N(n_705),
+	.B1(\soc_top_lsu_to_xbar[a_address] [30]),
+	.B2(n_69574),
+	.Y(n_28811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g711524 (
+	.A(n_85992),
+	.B(n_72441),
+	.Y(n_28810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g711525 (
+	.A_N(\soc_top_lsu_to_xbar[a_address] [26]),
+	.B(n_28798),
+	.Y(n_28809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g711526 (
+	.A0(n_84561),
+	.A1(\soc_top_lsu_to_xbar[a_address] [19]),
+	.S(n_70129),
+	.Y(n_28808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g711527 (
+	.A(n_34508),
+	.Y(n_29451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g711529 (
+	.A(n_70129),
+	.B(n_69574),
+	.Y(n_28804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g711530 (
+	.A(FE_DBTN9_n_87477),
+	.B(n_84561),
+	.Y(n_28803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g711532 (
+	.A(n_87477),
+	.B(n_71266),
+	.Y(n_28806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g711533 (
+	.A(n_84561),
+	.B(n_87477),
+	.Y(n_29701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g711534 (
+	.A(n_716),
+	.B(\soc_top_lsu_to_xbar[a_address] [30]),
+	.Y(n_29702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g711535 (
+	.A(n_28802),
+	.Y(n_28801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g711538 (
+	.A(\soc_top_lsu_to_xbar[a_address] [23]),
+	.B(n_71867),
+	.Y(n_28798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g711539 (
+	.A_N(\soc_top_lsu_to_xbar[a_address] [30]),
+	.B(n_69574),
+	.Y(n_29700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g711540 (
+	.A(n_70129),
+	.B(n_111),
+	.Y(n_28802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g711541 (
+	.A(\soc_top_lsu_to_xbar[a_address] [19]),
+	.B(FE_DBTN9_n_87477),
+	.Y(n_29733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g711543 (
+	.A(soc_top_main_swith_host_lsu_dev_select_outstanding[1]),
+	.Y(n_28796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g711551 (
+	.A(\soc_top_plic_resp[d_valid] ),
+	.Y(n_28788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g711553 (
+	.A(\soc_top_gpio_to_xbarp[d_valid] ),
+	.Y(n_28661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g711554 (
+	.A(soc_top_main_swith_host_lsu_dev_select_outstanding[2]),
+	.Y(n_28785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 soc_top_reset_manager_rst_fq_reg (
+	.CLK(CTS_139),
+	.D(soc_top_reset_manager_rst_q),
+	.Q(soc_top_system_rst_ni),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g713054 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_data_we_q),
+	.B(n_29598),
+	.C_N(soc_top_u_top_u_core_load_store_unit_i_data_or_pmp_err),
+	.Y(soc_top_u_top_u_core_lsu_load_err), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g713055 (
+	.A(n_28775),
+	.B(n_29598),
+	.C_N(soc_top_u_top_u_core_load_store_unit_i_data_we_q),
+	.Y(soc_top_u_top_u_core_lsu_store_err), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g713056 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]),
+	.B(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
+	.C(n_29599),
+	.X(n_29598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g713057 (
+	.A_N(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]),
+	.B(\soc_top_xbar_to_lsu[d_valid] ),
+	.Y(n_29599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o311ai_4 g713058 (
+	.A1(soc_top_main_swith_host_lsu_dev_select_outstanding[0]),
+	.A2(n_28688),
+	.A3(n_839),
+	.B1(n_28762),
+	.C1(n_28765),
+	.Y(\soc_top_xbar_to_lsu[d_valid] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g713059 (
+	.A(\soc_top_dccm_to_xbar[d_valid] ),
+	.Y(n_839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g713060 (
+	.A(n_28781),
+	.B(n_29600),
+	.Y(\soc_top_dccm_to_xbar[d_valid] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g713061 (
+	.A(n_34671),
+	.B(n_76264),
+	.Y(n_29600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g713062 (
+	.A(n_35443),
+	.B(n_34513),
+	.Y(n_28781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g713063 (
+	.A(n_28770),
+	.B(n_28776),
+	.Y(soc_top_u_top_u_core_trigger_match), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g713069 (
+	.A(n_28764),
+	.B(n_28761),
+	.C(n_28747),
+	.D(n_28749),
+	.Y(n_28776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g713070 (
+	.A(n_28775),
+	.Y(soc_top_u_top_u_core_load_store_unit_i_data_or_pmp_err), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g713073 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_lsu_err_q),
+	.B(\soc_top_xbar_to_lsu[d_error] ),
+	.Y(n_28775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 g713075 (
+	.A1(n_35446),
+	.A2(n_35447),
+	.A3(n_29595),
+	.A4(n_29594),
+	.B1(n_34518),
+	.Y(n_28771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g713076 (
+	.A(n_28748),
+	.B(n_28757),
+	.C(n_28756),
+	.D(n_28758),
+	.Y(n_28770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g713079 (
+	.A(n_28755),
+	.B(n_28754),
+	.Y(n_28769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g713080 (
+	.A(n_28738),
+	.B(n_28708),
+	.C(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[1] [11]),
+	.Y(n_28768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g713081 (
+	.A(n_28751),
+	.B(n_28750),
+	.Y(n_28765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g713082 (
+	.A(n_28679),
+	.B(n_28677),
+	.C(n_28718),
+	.D(n_28760),
+	.Y(n_28764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g713084 (
+	.A1(\soc_top_plic_resp[d_valid] ),
+	.A2(n_28734),
+	.B1(n_28752),
+	.Y(n_28762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g713085 (
+	.A(n_28753),
+	.B(n_28759),
+	.Y(\soc_top_xbar_to_lsu[d_error] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g713086 (
+	.A(n_28681),
+	.B(n_28671),
+	.C(n_28710),
+	.D(n_28740),
+	.Y(n_28761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g713089 (
+	.A1(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [7]),
+	.A2(n_28657),
+	.B1(soc_top_u_top_u_core_cs_registers_i_tmatch_control_rdata[2]),
+	.C1(n_28704),
+	.D1(n_28717),
+	.Y(n_28760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g713090 (
+	.A1(\soc_top_plic_resp[d_error] ),
+	.A2(n_28734),
+	.B1_N(n_29611),
+	.Y(n_28759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g713091 (
+	.A(n_28680),
+	.B(n_28672),
+	.C(n_28725),
+	.D(n_28736),
+	.Y(n_28758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g713092 (
+	.A(n_28693),
+	.B(n_28699),
+	.C(n_28712),
+	.D(n_28737),
+	.Y(n_28757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g713093 (
+	.A(n_28682),
+	.B(n_28673),
+	.C(n_28715),
+	.D(n_28739),
+	.Y(n_28756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g713095 (
+	.A1(n_35447),
+	.A2(n_28694),
+	.B1(n_28728),
+	.Y(n_28755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g713096 (
+	.A1(n_28684),
+	.A2(n_35447),
+	.B1(n_28730),
+	.Y(n_28754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g713097 (
+	.A1(\soc_top_timer_to_xbar[d_error] ),
+	.A2(n_28732),
+	.B1(\soc_top_gpio_to_xbarp[d_error] ),
+	.B2(n_28733),
+	.Y(n_28753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g713098 (
+	.A1(n_34965),
+	.A2(n_28661),
+	.B1(n_28744),
+	.Y(n_28752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g713099 (
+	.A1(n_29603),
+	.A2(n_28659),
+	.B1(n_28743),
+	.Y(n_28751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g713100 (
+	.A1(n_34964),
+	.A2(n_28668),
+	.B1(n_28742),
+	.Y(n_28750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g713101 (
+	.A(n_28716),
+	.B(n_28711),
+	.C(n_28714),
+	.D(n_28713),
+	.Y(n_28749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g713102 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [0]),
+	.B(n_28721),
+	.C(n_28719),
+	.D(n_28729),
+	.Y(n_28748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g713103 (
+	.A(n_28726),
+	.B(n_28720),
+	.C(n_28723),
+	.D(n_28722),
+	.Y(n_28747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g713104 (
+	.A1(n_74958),
+	.A2(n_35447),
+	.B1_N(n_28708),
+	.Y(n_28746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g713106 (
+	.A_N(n_34518),
+	.B(soc_top_dccm_adapter_rvalid_o),
+	.Y(n_29590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g713107 (
+	.A(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.B_N(n_35447),
+	.Y(n_343931_BAR), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g713108 (
+	.A_N(n_29606),
+	.B(\soc_top_pwm_to_xbar[d_valid] ),
+	.Y(n_28744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g713109 (
+	.A_N(n_29611),
+	.B(\soc_top_main_swith_host_lsu_tl_u_i[7][d_valid] ),
+	.Y(n_28743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g713110 (
+	.A_N(n_29604),
+	.B(\soc_top_uart_to_xbar[d_valid] ),
+	.Y(n_28742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g713111 (
+	.A(n_37433),
+	.B(n_35445),
+	.Y(n_29610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g713112 (
+	.A1(soc_top_u_top_u_core_pc_if[29]),
+	.A2(n_28663),
+	.B1(n_28707),
+	.C1(n_28669),
+	.D1(n_28696),
+	.Y(n_28740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g713113 (
+	.A1(soc_top_u_top_u_core_pc_if[22]),
+	.A2(n_28656),
+	.B1(n_28670),
+	.C1(n_28702),
+	.D1(n_28698),
+	.Y(n_28739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g713114 (
+	.A(n_74958),
+	.B(n_35447),
+	.Y(n_28738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g713115 (
+	.A1(soc_top_u_top_u_core_pc_if[14]),
+	.A2(n_28665),
+	.B1(n_28683),
+	.C1(n_28692),
+	.D1(n_28675),
+	.Y(n_28737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g713116 (
+	.A1(soc_top_u_top_u_core_pc_if[26]),
+	.A2(n_28666),
+	.B1(n_28703),
+	.C1(n_28676),
+	.D1(n_28674),
+	.Y(n_28736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g713117 (
+	.A(n_35446),
+	.B(n_35447),
+	.X(n_28741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g713118 (
+	.A(n_29608),
+	.Y(n_28734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g713119 (
+	.A(n_34965),
+	.Y(n_28733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g713120 (
+	.A(n_29603),
+	.Y(n_28732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g713121 (
+	.A(n_97),
+	.B(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[0] [11]),
+	.Y(n_28731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g713122 (
+	.A(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B(n_123),
+	.C(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[2] [11]),
+	.Y(n_28730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g713123 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [1]),
+	.B(soc_top_u_top_u_core_pc_if[1]),
+	.X(n_28729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g713124 (
+	.A(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.C(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[3] [11]),
+	.Y(n_28728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g713125 (
+	.A(soc_top_main_swith_host_lsu_dev_select_outstanding[0]),
+	.B(n_28689),
+	.X(n_29604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g713126 (
+	.A(soc_top_main_swith_host_lsu_dev_select_outstanding[0]),
+	.B(n_28685),
+	.X(n_29606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g713132 (
+	.A(soc_top_main_swith_host_lsu_dev_select_outstanding[0]),
+	.B(n_28687),
+	.X(n_29608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g713134 (
+	.A_N(n_28688),
+	.B(soc_top_main_swith_host_lsu_dev_select_outstanding[0]),
+	.Y(n_29603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g713135 (
+	.A_N(n_28687),
+	.B(soc_top_main_swith_host_lsu_dev_select_outstanding[0]),
+	.Y(n_29611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g713138 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [9]),
+	.B(soc_top_u_top_u_core_pc_if[9]),
+	.X(n_28726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g713139 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [24]),
+	.B(soc_top_u_top_u_core_pc_if[24]),
+	.X(n_28725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g713140 (
+	.A(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
+	.Y(n_28724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g713141 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [10]),
+	.B(soc_top_u_top_u_core_pc_if[10]),
+	.X(n_28723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g713142 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [8]),
+	.B(soc_top_u_top_u_core_pc_if[8]),
+	.X(n_28722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g713143 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [2]),
+	.B(soc_top_u_top_u_core_pc_if[2]),
+	.X(n_28721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g713144 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [11]),
+	.B(soc_top_u_top_u_core_pc_if[11]),
+	.X(n_28720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g713145 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [3]),
+	.B(soc_top_u_top_u_core_pc_if[3]),
+	.X(n_28719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g713146 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [6]),
+	.B(soc_top_u_top_u_core_pc_if[6]),
+	.X(n_28718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g713147 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [5]),
+	.B(soc_top_u_top_u_core_pc_if[5]),
+	.Y(n_28717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g713148 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [17]),
+	.B(soc_top_u_top_u_core_pc_if[17]),
+	.X(n_28716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g713149 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [20]),
+	.B(soc_top_u_top_u_core_pc_if[20]),
+	.X(n_28715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g713150 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [18]),
+	.B(soc_top_u_top_u_core_pc_if[18]),
+	.X(n_28714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g713151 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [16]),
+	.B(soc_top_u_top_u_core_pc_if[16]),
+	.X(n_28713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g713152 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [12]),
+	.B(soc_top_u_top_u_core_pc_if[12]),
+	.X(n_28712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g713153 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [19]),
+	.B(soc_top_u_top_u_core_pc_if[19]),
+	.X(n_28711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g713154 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [28]),
+	.B(soc_top_u_top_u_core_pc_if[28]),
+	.X(n_28710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g713155 (
+	.A_N(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [30]),
+	.B(soc_top_u_top_u_core_pc_if[30]),
+	.Y(n_28707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g713158 (
+	.A_N(soc_top_u_top_u_core_pc_if[4]),
+	.B(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [4]),
+	.Y(n_28704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g713159 (
+	.A_N(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [27]),
+	.B(soc_top_u_top_u_core_pc_if[27]),
+	.Y(n_28703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g713161 (
+	.A_N(soc_top_u_top_u_core_pc_if[23]),
+	.B(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [23]),
+	.Y(n_28702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g713164 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [13]),
+	.B_N(soc_top_u_top_u_core_pc_if[13]),
+	.Y(n_28699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g713165 (
+	.A_N(soc_top_u_top_u_core_pc_if[21]),
+	.B(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [21]),
+	.Y(n_28698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g713167 (
+	.A_N(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [31]),
+	.B(soc_top_u_top_u_core_pc_if[31]),
+	.Y(n_28696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g713168 (
+	.A(n_123),
+	.B(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.Y(n_28708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g713170 (
+	.A(n_110),
+	.B(n_28652),
+	.Y(n_28694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g713171 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [14]),
+	.B_N(soc_top_u_top_u_core_pc_if[14]),
+	.Y(n_28693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g713172 (
+	.A_N(soc_top_u_top_u_core_pc_if[15]),
+	.B(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [15]),
+	.Y(n_28692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g713174 (
+	.A(n_110),
+	.B(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Y(n_29595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g713175 (
+	.A_N(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(n_123),
+	.Y(n_29591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g713180 (
+	.A(n_28684),
+	.Y(n_29593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g713181 (
+	.A_N(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [15]),
+	.B(soc_top_u_top_u_core_pc_if[15]),
+	.Y(n_28683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g713182 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [22]),
+	.B_N(soc_top_u_top_u_core_pc_if[22]),
+	.Y(n_28682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g713183 (
+	.A(soc_top_u_top_u_core_pc_if[31]),
+	.B_N(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [31]),
+	.Y(n_28681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g713184 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [26]),
+	.B_N(soc_top_u_top_u_core_pc_if[26]),
+	.Y(n_28680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g713185 (
+	.A(soc_top_u_top_u_core_pc_if[7]),
+	.B_N(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [7]),
+	.Y(n_28679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g713187 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [4]),
+	.B_N(soc_top_u_top_u_core_pc_if[4]),
+	.Y(n_28677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g713188 (
+	.A_N(soc_top_u_top_u_core_pc_if[27]),
+	.B(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [27]),
+	.Y(n_28676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g713189 (
+	.A_N(soc_top_u_top_u_core_pc_if[13]),
+	.B(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [13]),
+	.Y(n_28675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g713190 (
+	.A_N(soc_top_u_top_u_core_pc_if[25]),
+	.B(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [25]),
+	.Y(n_28674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g713191 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [21]),
+	.B_N(soc_top_u_top_u_core_pc_if[21]),
+	.Y(n_28673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g713192 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [25]),
+	.B_N(soc_top_u_top_u_core_pc_if[25]),
+	.Y(n_28672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g713193 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [29]),
+	.B_N(soc_top_u_top_u_core_pc_if[29]),
+	.Y(n_28671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g713194 (
+	.A_N(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [23]),
+	.B(soc_top_u_top_u_core_pc_if[23]),
+	.Y(n_28670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g713195 (
+	.A_N(soc_top_u_top_u_core_pc_if[30]),
+	.B(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [30]),
+	.Y(n_28669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g713196 (
+	.A_N(soc_top_main_swith_host_lsu_dev_select_outstanding[2]),
+	.B(soc_top_main_swith_host_lsu_dev_select_outstanding[1]),
+	.Y(n_28689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g713197 (
+	.A(soc_top_main_swith_host_lsu_dev_select_outstanding[2]),
+	.B(soc_top_main_swith_host_lsu_dev_select_outstanding[1]),
+	.X(n_28688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g713198 (
+	.A(soc_top_main_swith_host_lsu_dev_select_outstanding[1]),
+	.B(soc_top_main_swith_host_lsu_dev_select_outstanding[2]),
+	.Y(n_28687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g713199 (
+	.A(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Y(n_29592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g713200 (
+	.A_N(soc_top_main_swith_host_lsu_dev_select_outstanding[1]),
+	.B(soc_top_main_swith_host_lsu_dev_select_outstanding[2]),
+	.Y(n_28685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g713201 (
+	.A(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(n_28652),
+	.Y(n_28684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g713202 (
+	.A(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(n_123),
+	.Y(n_29594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g713203 (
+	.A(\soc_top_spi_to_xbar[d_valid] ),
+	.Y(n_28668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g713205 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [26]),
+	.Y(n_28666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g713206 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [14]),
+	.Y(n_28665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g713208 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [29]),
+	.Y(n_28663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g713209 (
+	.A(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Y(n_123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g713212 (
+	.A(\soc_top_timer_to_xbar[d_valid] ),
+	.Y(n_28659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g713214 (
+	.A(soc_top_u_top_u_core_pc_if[7]),
+	.Y(n_28657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g713215 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [22]),
+	.Y(n_28656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g713219 (
+	.A(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.Y(n_28652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g713220 (
+	.A(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Y(n_110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g713222 (
+	.A(soc_top_u_top_u_core_fp_flush),
+	.B(n_76823),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_n_3623 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g901307 (
+	.A(n_28636),
+	.B(n_28613),
+	.Y(n_31014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g901308 (
+	.A(n_28595),
+	.B(n_28596),
+	.C(n_86410),
+	.Y(n_28646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g901320 (
+	.A(n_28605),
+	.B(n_64722),
+	.Y(n_31021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g901326 (
+	.A(n_59168),
+	.B(n_87553),
+	.Y(n_28638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g901332 (
+	.A(n_28617),
+	.B(n_87553),
+	.Y(n_28636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g901337 (
+	.A(n_45308),
+	.B(n_27919),
+	.Y(n_28634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g901357 (
+	.A(n_28491),
+	.B(n_28092),
+	.C(n_87553),
+	.Y(n_28619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g901358 (
+	.A(n_28492),
+	.B(FE_DBTN70_n_87553),
+	.Y(n_28618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g901359 (
+	.A(n_28522),
+	.B(n_27548),
+	.Y(n_28617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g901360 (
+	.A(n_80707),
+	.B(n_64035),
+	.C(FE_DBTN70_n_87553),
+	.Y(n_28616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g901361 (
+	.A(n_78446),
+	.B(n_75448),
+	.Y(n_28615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g901363 (
+	.A(n_28522),
+	.B(n_75448),
+	.Y(n_28613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g901373 (
+	.A(n_61599),
+	.B(n_61548),
+	.Y(n_30988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g901378 (
+	.A(n_58021),
+	.B(n_86608),
+	.Y(n_31007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g901379 (
+	.A(n_28507),
+	.B(n_89030),
+	.Y(n_28607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g901382 (
+	.A(n_59365),
+	.Y(n_28605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g901392 (
+	.A(n_79402),
+	.B(n_27510),
+	.Y(n_28596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g901393 (
+	.A(n_60478),
+	.B(n_27510),
+	.Y(n_28595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g901407 (
+	.A(n_28556),
+	.B(n_42565),
+	.Y(n_30992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g901418 (
+	.A(n_78067),
+	.B(n_28562),
+	.Y(n_30977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g901421 (
+	.A(n_28582),
+	.B(n_59296),
+	.Y(n_28584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g901423 (
+	.A(n_28564),
+	.Y(n_28580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g901430 (
+	.A(n_28443),
+	.B(n_27408),
+	.Y(n_28582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g901434 (
+	.A(n_57899),
+	.B(n_57900),
+	.C(n_87553),
+	.Y(n_28568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g901436 (
+	.A(n_28307),
+	.B(n_59332),
+	.C(n_87553),
+	.Y(n_28566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g901438 (
+	.A(n_45303),
+	.B(n_58225),
+	.Y(n_28564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g901440 (
+	.A(n_82015),
+	.B(n_28181),
+	.C(n_87553),
+	.Y(n_28562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g901442 (
+	.A(n_53632),
+	.B(n_28182),
+	.C(n_87553),
+	.Y(n_28560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g901446 (
+	.A(n_63881),
+	.B(n_90560),
+	.Y(n_28556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g901447 (
+	.A(n_63881),
+	.B(n_80121),
+	.Y(n_28555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g901458 (
+	.A(n_28480),
+	.B(n_90560),
+	.Y(n_28545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g901466 (
+	.A(n_34971),
+	.B(n_27510),
+	.Y(n_28576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g901469 (
+	.A(n_28519),
+	.Y(n_28537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g901474 (
+	.A(n_57737),
+	.Y(n_28532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g901484 (
+	.A(n_61542),
+	.B(n_78330),
+	.Y(n_28519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g901488 (
+	.A(n_34974),
+	.B(n_27591),
+	.Y(n_28516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g901500 (
+	.A(n_59331),
+	.B(n_35458),
+	.Y(n_28507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g901507 (
+	.A(n_80176),
+	.B(n_28233),
+	.Y(n_28500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g901510 (
+	.A(n_34679),
+	.B(n_28368),
+	.Y(n_31037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g901515 (
+	.A(n_59399),
+	.B(n_28015),
+	.Y(n_28495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g901517 (
+	.A(n_28490),
+	.B(n_28119),
+	.Y(n_28494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g901519 (
+	.A(n_28491),
+	.B(n_28092),
+	.Y(n_28492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g901522 (
+	.A(n_59400),
+	.B(n_27980),
+	.Y(n_28522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g901525 (
+	.A(n_28480),
+	.Y(n_28481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g901527 (
+	.A(n_28353),
+	.B(n_27408),
+	.Y(n_28478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g901530 (
+	.A(n_28353),
+	.B(n_64035),
+	.Y(n_28491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g901535 (
+	.A(n_86827),
+	.B(n_80121),
+	.Y(n_28471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g901537 (
+	.A(n_79147),
+	.B(n_64035),
+	.Y(n_28469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g901539 (
+	.A(n_54187),
+	.B(n_80121),
+	.Y(n_28467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g901544 (
+	.A(n_79147),
+	.B(n_27408),
+	.Y(n_28490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g901559 (
+	.A(n_28385),
+	.B(n_27591),
+	.Y(n_28450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g901565 (
+	.A_N(n_28089),
+	.B(n_56840),
+	.Y(n_28480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g901566 (
+	.A(n_28422),
+	.Y(n_28448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g901582 (
+	.A(n_28377),
+	.B(n_28369),
+	.Y(n_28422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_4 g901587 (
+	.A1(FE_DBTN70_n_87553),
+	.A2(n_60662),
+	.B1_N(n_58271),
+	.Y(n_28417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g901598 (
+	.A(n_28318),
+	.B(n_28230),
+	.Y(n_31035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g901604 (
+	.A(n_59332),
+	.B(n_28307),
+	.Y(n_28405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g901606 (
+	.A(n_86171),
+	.B(n_27920),
+	.Y(n_28443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g901625 (
+	.A(n_28129),
+	.B(n_55254),
+	.C(n_80113),
+	.Y(n_28387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g901627 (
+	.A1(n_44420),
+	.A2(n_55637),
+	.B1(n_28016),
+	.Y(n_28385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g901629 (
+	.A(n_28257),
+	.B(n_46644),
+	.Y(n_28383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g901638 (
+	.A(n_60662),
+	.B(n_46644),
+	.Y(n_28377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g901642 (
+	.A(n_28267),
+	.B(n_27408),
+	.Y(n_28373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g901646 (
+	.A(n_55719),
+	.B(n_56745),
+	.Y(n_28370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g901647 (
+	.A(n_55719),
+	.B(n_46646),
+	.Y(n_28369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g901648 (
+	.A(n_55719),
+	.B(n_59371),
+	.Y(n_28368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g901649 (
+	.A(n_89028),
+	.B(FE_DBTN14_n_80113),
+	.Y(n_28367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g901654 (
+	.A(n_28327),
+	.Y(n_28364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g901658 (
+	.A(n_90566),
+	.Y(n_28360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g901667 (
+	.A(n_53963),
+	.Y(n_28343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g901681 (
+	.A(n_79413),
+	.B(n_58305),
+	.Y(n_28327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g901685 (
+	.A(n_76686),
+	.B(n_87461),
+	.C(n_78330),
+	.Y(n_28323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g901690 (
+	.A(n_28220),
+	.B(n_28139),
+	.Y(n_31041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g901691 (
+	.A(n_27937),
+	.B(n_28231),
+	.Y(n_28318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 g901692 (
+	.A1(FE_DBTN70_n_87553),
+	.A2(n_77829),
+	.B1_N(n_27937),
+	.X(n_28317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g901700 (
+	.A(n_58303),
+	.B(n_79410),
+	.Y(n_28312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g901701 (
+	.A(n_28100),
+	.B(n_56285),
+	.C(n_28183),
+	.Y(n_28353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g901729 (
+	.A(n_78485),
+	.B(n_80113),
+	.Y(n_28285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g901733 (
+	.A(n_77829),
+	.B(FE_DBTN14_n_80113),
+	.Y(n_28282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g901740 (
+	.A(n_63875),
+	.B(n_56745),
+	.Y(n_28275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g901741 (
+	.A(n_63875),
+	.B(n_46646),
+	.Y(n_28274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g901742 (
+	.A(n_63875),
+	.B(n_27510),
+	.Y(n_28307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g901749 (
+	.A(n_28224),
+	.Y(n_28271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g901778 (
+	.A(n_89669),
+	.B(n_56745),
+	.Y(n_28233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g901780 (
+	.A(FE_DBTN70_n_87553),
+	.B(n_89669),
+	.Y(n_28231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g901781 (
+	.A(n_89669),
+	.B(n_59371),
+	.Y(n_28230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g901782 (
+	.A(n_77829),
+	.B(n_59371),
+	.Y(n_28229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g901787 (
+	.A(n_80712),
+	.B(n_61544),
+	.C(n_80714),
+	.Y(n_28224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g901792 (
+	.A(n_55286),
+	.B(n_28140),
+	.Y(n_28220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g901793 (
+	.A(n_28135),
+	.B(n_55614),
+	.Y(n_31042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g901795 (
+	.A(n_28136),
+	.B(n_59297),
+	.Y(n_31043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g901796 (
+	.A(n_55602),
+	.B(n_80240),
+	.C(n_58243),
+	.Y(n_28269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g901798 (
+	.A(n_59046),
+	.B(n_80336),
+	.C(n_59186),
+	.Y(n_28267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g901799 (
+	.A(n_28185),
+	.B(n_28093),
+	.Y(n_28266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g901801 (
+	.A(n_28192),
+	.B(n_55056),
+	.Y(n_28257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g901825 (
+	.A(n_57161),
+	.B(n_64150),
+	.Y(n_28192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g901828 (
+	.A(n_58229),
+	.B(FE_DBTN61_n_58241),
+	.C(FE_DBTN70_n_87553),
+	.D(n_81201),
+	.Y(n_28189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g901832 (
+	.A(n_28085),
+	.B(n_44420),
+	.Y(n_28185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g901835 (
+	.A(n_78211),
+	.B(n_27717),
+	.Y(n_28183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g901859 (
+	.A(n_77829),
+	.Y(n_28155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g901868 (
+	.A(n_57100),
+	.B(n_27812),
+	.Y(n_28182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g901870 (
+	.A(n_80239),
+	.B(n_55603),
+	.Y(n_28143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g901871 (
+	.A(n_57100),
+	.B(n_57163),
+	.Y(n_28142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g901873 (
+	.A(n_57100),
+	.B(n_27803),
+	.Y(n_28181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g901874 (
+	.A(FE_DBTN70_n_87553),
+	.B(n_57100),
+	.Y(n_28140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g901875 (
+	.A(n_53323),
+	.B(n_57100),
+	.Y(n_28139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g901878 (
+	.A(n_59299),
+	.B(n_55286),
+	.Y(n_28136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g901879 (
+	.A(n_55613),
+	.B(n_55286),
+	.Y(n_28135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g901886 (
+	.A(n_80713),
+	.B(n_35467),
+	.Y(n_28129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g901913 (
+	.A(n_78201),
+	.B(n_27803),
+	.Y(n_28109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g901919 (
+	.A1(n_27912),
+	.A2(n_27971),
+	.B1(FE_DBTN96_n_57702),
+	.Y(n_28105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g901920 (
+	.A(n_78201),
+	.B(n_27812),
+	.Y(n_28119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g901921 (
+	.A(FE_DBTN70_n_87553),
+	.B(n_78201),
+	.Y(n_28104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g901922 (
+	.A(n_50567),
+	.B(n_46644),
+	.C(FE_DBTN61_n_58241),
+	.Y(n_28103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g901925 (
+	.A(n_58229),
+	.B(FE_DBTN61_n_58241),
+	.C(n_80113),
+	.Y(n_28100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g901932 (
+	.A(n_28056),
+	.Y(n_28093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g901949 (
+	.A(n_27983),
+	.B(n_27984),
+	.Y(n_28062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g901955 (
+	.A(n_28024),
+	.B(n_58245),
+	.Y(n_28056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g901959 (
+	.A(n_28038),
+	.B(n_27510),
+	.Y(n_28092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g901962 (
+	.A(n_28038),
+	.B(n_56745),
+	.Y(n_28051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g901966 (
+	.A(n_27977),
+	.B(n_27982),
+	.Y(n_31048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g901968 (
+	.A(n_80113),
+	.B(n_45938),
+	.Y(n_28089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g901970 (
+	.A(n_28025),
+	.B(n_64178),
+	.Y(n_28085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g901985 (
+	.A(n_45938),
+	.Y(n_28038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g901990 (
+	.A(n_50567),
+	.B(n_27725),
+	.Y(n_28033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g901996 (
+	.A(n_79039),
+	.B(FE_DBTN59_n_58812),
+	.Y(n_28028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g901999 (
+	.A(n_27902),
+	.B(FE_DBTN85_n_59637),
+	.Y(n_28025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g902000 (
+	.A(n_27893),
+	.B(n_75067),
+	.Y(n_28024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g902009 (
+	.A(n_58229),
+	.B(FE_DBTN61_n_58241),
+	.Y(n_28016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g902032 (
+	.A(n_79039),
+	.B(n_27725),
+	.Y(n_27984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g902033 (
+	.A(n_27893),
+	.B(n_81219),
+	.Y(n_27983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g902034 (
+	.A(n_27956),
+	.B(n_27510),
+	.Y(n_28015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g902035 (
+	.A1(n_45937),
+	.A2(n_87553),
+	.B1_N(n_87864),
+	.Y(n_27982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g902037 (
+	.A(n_27956),
+	.B(n_56745),
+	.Y(n_27980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g902038 (
+	.A(n_59371),
+	.B(n_27956),
+	.Y(n_27979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g902039 (
+	.A(n_45933),
+	.B(n_87553),
+	.Y(n_27978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g902040 (
+	.A(n_59371),
+	.B(n_27799),
+	.Y(n_27977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g902066 (
+	.A(n_45933),
+	.Y(n_27956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g902088 (
+	.A(n_86301),
+	.B(n_27842),
+	.Y(n_27971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g902091 (
+	.A(n_27861),
+	.B(n_57163),
+	.Y(n_27931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g902092 (
+	.A(n_27812),
+	.B(n_27861),
+	.Y(n_27930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g902096 (
+	.A(n_80113),
+	.B(n_45937),
+	.Y(n_27926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g902099 (
+	.A(n_27799),
+	.B(n_56745),
+	.Y(n_27923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g902100 (
+	.A(n_27843),
+	.B(n_49088),
+	.Y(n_27922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g902102 (
+	.A(FE_DBTN74_n_58492),
+	.B(n_59431),
+	.C(n_36749),
+	.Y(n_27920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g902103 (
+	.A(n_54757),
+	.B(n_36814),
+	.Y(n_27919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g902110 (
+	.A(FE_DBTN70_n_87553),
+	.B(n_86170),
+	.Y(n_27937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g902111 (
+	.A(n_27886),
+	.Y(n_27916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g902112 (
+	.A(n_27885),
+	.Y(n_27915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g902116 (
+	.A(n_27880),
+	.Y(n_27910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g902118 (
+	.A(n_27878),
+	.Y(n_27908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g902119 (
+	.A(n_58471),
+	.Y(n_27907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g902120 (
+	.A(n_27875),
+	.Y(n_27906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g902133 (
+	.A(n_27840),
+	.B(n_27849),
+	.Y(n_27886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g902134 (
+	.A(n_59124),
+	.B(n_27761),
+	.Y(n_27885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g902138 (
+	.A(n_55645),
+	.B(n_84235),
+	.Y(n_27912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g902139 (
+	.A(n_49081),
+	.B(n_27826),
+	.Y(n_27881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g902140 (
+	.A(n_49085),
+	.B(n_84228),
+	.Y(n_27880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g902142 (
+	.A(n_49082),
+	.B(n_27759),
+	.Y(n_27878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g902145 (
+	.A(n_49084),
+	.B(n_84229),
+	.Y(n_27875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g902149 (
+	.A(n_27841),
+	.B(n_27850),
+	.Y(n_27871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_4 g902154 (
+	.A1_N(n_58495),
+	.A2_N(n_27650),
+	.B1(n_58491),
+	.B2(n_27649),
+	.Y(n_27902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g902157 (
+	.A(n_27853),
+	.B(n_27752),
+	.Y(n_27893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g902164 (
+	.A(n_80319),
+	.Y(n_27861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g902168 (
+	.A(n_54556),
+	.B(n_27341),
+	.C(n_59637),
+	.Y(n_27857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g902172 (
+	.A(FE_DBTN95_n_27635),
+	.B(n_59637),
+	.Y(n_27853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g902175 (
+	.A(FE_DBTN92_n_27674),
+	.B(n_75068),
+	.Y(n_27850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g902176 (
+	.A(n_34698),
+	.B(FE_DBTN92_n_27674),
+	.Y(n_27849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g902178 (
+	.A(FE_DBTN91_n_27677),
+	.B(n_47532),
+	.Y(n_27847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g902180 (
+	.A(FE_DBTN91_n_27677),
+	.B(n_39655),
+	.Y(n_27845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g902182 (
+	.A(n_27667),
+	.B(n_86300),
+	.Y(n_27843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g902183 (
+	.A(n_27667),
+	.B(n_75068),
+	.Y(n_27842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g902184 (
+	.A(n_27667),
+	.B(n_34698),
+	.Y(n_27841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g902185 (
+	.A(FE_DBTN94_n_27641),
+	.B(n_27667),
+	.Y(n_27840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g902187 (
+	.A(n_27667),
+	.B(n_47532),
+	.Y(n_27838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g902190 (
+	.A(n_27667),
+	.B(n_39655),
+	.Y(n_27835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g902191 (
+	.A(n_27667),
+	.B(n_34696),
+	.Y(n_27834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g902193 (
+	.A(n_27667),
+	.B(n_53695),
+	.Y(n_27832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g902194 (
+	.A(FE_DBTN95_n_27635),
+	.B(FE_DBTN85_n_59637),
+	.Y(n_27831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g902199 (
+	.A(FE_DBTN84_n_84225),
+	.B(n_86300),
+	.Y(n_27826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g902201 (
+	.A(FE_DBTN61_n_58241),
+	.B(n_27630),
+	.Y(n_27865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g902204 (
+	.A(n_35467),
+	.Y(n_27821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g902210 (
+	.A(n_64153),
+	.Y(n_27812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g902217 (
+	.A(n_27802),
+	.Y(n_27803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g902220 (
+	.A(n_45937),
+	.Y(n_27799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g902257 (
+	.A(FE_DBTN84_n_84225),
+	.B(n_47532),
+	.Y(n_27761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g902259 (
+	.A(FE_DBTN84_n_84225),
+	.B(n_78240),
+	.Y(n_27759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g902266 (
+	.A(n_27339),
+	.B(FE_DBTN85_n_59637),
+	.C(n_50560),
+	.Y(n_27752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g902270 (
+	.A(FE_DBTN91_n_27677),
+	.B(n_27740),
+	.Y(n_27748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g902274 (
+	.A1(n_80113),
+	.A2(n_44420),
+	.B1(FE_DBTN70_n_87553),
+	.X(n_27744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g902278 (
+	.A(n_89298),
+	.B(FE_DBTN59_n_58812),
+	.C(n_64150),
+	.Y(n_27818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g902279 (
+	.A(n_27616),
+	.B(n_49524),
+	.Y(n_27813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_4 g902283 (
+	.A_N(n_64150),
+	.B(n_27510),
+	.C(n_80113),
+	.Y(n_27802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g902287 (
+	.A(n_27656),
+	.Y(n_27740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g902300 (
+	.A(n_27724),
+	.Y(n_27725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g902305 (
+	.A(n_27716),
+	.Y(n_27717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g902346 (
+	.A(n_58826),
+	.Y(n_27667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g902356 (
+	.A(n_27498),
+	.B(n_39671),
+	.Y(n_27656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g902357 (
+	.A(n_27408),
+	.B(n_27548),
+	.Y(n_27739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g902359 (
+	.A(FE_DBTN96_n_57702),
+	.B(FE_DBTN59_n_58812),
+	.Y(n_27655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g902360 (
+	.A(n_58491),
+	.B(FE_DBTN96_n_57702),
+	.Y(n_27724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g902362 (
+	.A(n_57702),
+	.B(FE_DBTN14_n_80113),
+	.Y(n_27716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g902371 (
+	.A(FE_DBTN83_n_57117),
+	.B(n_58496),
+	.Y(n_27677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g902372 (
+	.A(FE_DBTN83_n_57117),
+	.B(n_58496),
+	.Y(n_27674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g902377 (
+	.A(n_27614),
+	.Y(n_27650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g902393 (
+	.A(n_47034),
+	.Y(n_27630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g902406 (
+	.A(n_27498),
+	.B(n_37127),
+	.Y(n_27616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_2 g902408 (
+	.A1(\soc_top_u_top_u_core_fp_operands[2] [9]),
+	.A2(n_61059),
+	.B1(n_39671),
+	.C1(n_27350),
+	.Y(n_27614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g902409 (
+	.A(n_27305),
+	.B(n_34700),
+	.Y(n_27649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g902411 (
+	.A(n_27303),
+	.B(n_77946),
+	.Y(n_27641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g902414 (
+	.A(n_27294),
+	.B(n_27437),
+	.Y(n_27635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g902435 (
+	.A(n_27590),
+	.Y(n_27591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g902457 (
+	.A(n_27510),
+	.Y(n_27548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g902506 (
+	.A(n_59637),
+	.B(n_26777),
+	.Y(n_27516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g902511 (
+	.A(n_59637),
+	.B(n_39671),
+	.Y(n_27512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g902512 (
+	.A(FE_DBTN14_n_80113),
+	.B(n_27408),
+	.Y(n_27511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g902513 (
+	.A(FE_DBTN14_n_80113),
+	.B(n_64035),
+	.Y(n_27590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g902517 (
+	.A(n_27288),
+	.B(n_63929),
+	.Y(n_27510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g902588 (
+	.A(n_46730),
+	.B(n_79722),
+	.Y(n_27437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g902589 (
+	.A(n_40430),
+	.B(n_50561),
+	.Y(n_27498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g902669 (
+	.A(FE_DBTN79_n_53716),
+	.B(n_76862),
+	.Y(n_27359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g902671 (
+	.A(FE_DBTN79_n_53716),
+	.B(n_26705),
+	.Y(n_27357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g902676 (
+	.A(n_27284),
+	.B(n_27192),
+	.Y(n_27352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g902678 (
+	.A(n_61059),
+	.B(n_26711),
+	.Y(n_27350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g902681 (
+	.A(\soc_top_u_top_u_core_fp_operands[2] [18]),
+	.B(n_61059),
+	.Y(n_27347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g902685 (
+	.A(\soc_top_u_top_u_core_fp_operands[2] [12]),
+	.B(n_61059),
+	.Y(n_27343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g902687 (
+	.A(n_27284),
+	.B(n_75673),
+	.Y(n_27408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g902721 (
+	.A(n_61059),
+	.B(n_26704),
+	.Y(n_27305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g902722 (
+	.A(n_61059),
+	.B(n_26703),
+	.Y(n_27341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g902724 (
+	.A(n_61059),
+	.B(n_26712),
+	.Y(n_27303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g902726 (
+	.A(n_61059),
+	.B(n_26500),
+	.Y(n_27301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g902733 (
+	.A(n_61059),
+	.B(n_26639),
+	.Y(n_27339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g902735 (
+	.A(n_61059),
+	.B(n_26707),
+	.Y(n_27294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g902741 (
+	.A(n_27284),
+	.B(n_35469),
+	.Y(n_27288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g902747 (
+	.A_N(n_37003),
+	.B(n_27248),
+	.Y(n_27284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g902781 (
+	.A_N(n_37003),
+	.B(n_27248),
+	.Y(n_27250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g902784 (
+	.A(n_58409),
+	.B(FE_DBTN17_n_78901),
+	.Y(n_27248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g902798 (
+	.A(FE_DBTN82_n_87862),
+	.B(n_78901),
+	.C(n_27217),
+	.Y(n_27234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g902804 (
+	.A(n_87857),
+	.B(n_90665),
+	.Y(n_27229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g902822 (
+	.A(n_27204),
+	.B(n_70286),
+	.Y(n_27217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g902828 (
+	.A(n_77553),
+	.B(n_35473),
+	.Y(n_27207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g902830 (
+	.A(n_59425),
+	.B(n_27158),
+	.C(n_79426),
+	.Y(n_27204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g902832 (
+	.A(n_54480),
+	.Y(n_27202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g902841 (
+	.A1(n_87853),
+	.A2(n_81224),
+	.B1(n_90665),
+	.Y(n_27192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g902844 (
+	.A(n_27183),
+	.B(n_27133),
+	.Y(n_27191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g902851 (
+	.A(n_27178),
+	.B(n_27078),
+	.Y(n_27183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g902858 (
+	.A(n_79040),
+	.B(n_27084),
+	.Y(n_27178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g902872 (
+	.A(n_78537),
+	.B(n_27058),
+	.Y(n_27166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g902880 (
+	.A(n_37434),
+	.Y(n_27158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g902893 (
+	.A_N(n_57519),
+	.B(n_26983),
+	.Y(n_27144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g902895 (
+	.A(n_80772),
+	.Y(n_27142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g902896 (
+	.A(n_56992),
+	.B(n_27130),
+	.Y(n_27140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g902904 (
+	.A(n_80420),
+	.B(n_77454),
+	.Y(n_27133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g902909 (
+	.A(n_27108),
+	.B(n_27107),
+	.Y(n_27130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g902929 (
+	.A(n_76716),
+	.B(n_34707),
+	.Y(n_27116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_4 g902931 (
+	.A(n_27023),
+	.B(n_60379),
+	.C(n_26964),
+	.D(FE_DBTN97_n_60382),
+	.Y(n_27108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_4 g902932 (
+	.A(FE_DBTN97_n_60382),
+	.B(n_27030),
+	.C(n_26964),
+	.D(n_71628),
+	.Y(n_27107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g902954 (
+	.A(n_91435),
+	.B(n_26912),
+	.Y(n_27087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g902963 (
+	.A(n_27078),
+	.Y(n_27080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g902964 (
+	.A(n_87746),
+	.B(n_27034),
+	.C(n_61495),
+	.D(FE_DBTN97_n_60382),
+	.Y(n_27084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g902965 (
+	.A(n_27055),
+	.B(n_61496),
+	.Y(n_27078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g902969 (
+	.A(n_78023),
+	.Y(n_27073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g902986 (
+	.A(n_27038),
+	.B(n_80416),
+	.C(n_26981),
+	.Y(n_27058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g902995 (
+	.A(n_80416),
+	.B(n_77446),
+	.C(n_87746),
+	.Y(n_27055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g903011 (
+	.A(n_41663),
+	.Y(n_27038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g903016 (
+	.A(n_87747),
+	.B(n_26957),
+	.Y(n_27034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g903018 (
+	.A(n_60379),
+	.Y(n_27030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g903019 (
+	.A(n_61016),
+	.Y(n_31062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g903025 (
+	.A(n_71628),
+	.Y(n_27023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_4 g903026 (
+	.A_N(n_26943),
+	.B(n_64887),
+	.C(n_69965),
+	.Y(n_27025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g903031 (
+	.A(n_27010),
+	.B(n_80348),
+	.Y(n_27018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g903040 (
+	.A(n_87742),
+	.B(n_26792),
+	.Y(n_27010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g903041 (
+	.A(n_29569),
+	.B(n_17802),
+	.Y(n_31412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g903059 (
+	.A1(n_26922),
+	.A2(n_35476),
+	.B1(n_17802),
+	.Y(n_31411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g903061 (
+	.A(n_87742),
+	.B(n_26951),
+	.Y(n_26991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g903071 (
+	.A(n_29556),
+	.Y(n_26983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g903075 (
+	.A(n_26800),
+	.B(n_35476),
+	.X(n_29564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g903076 (
+	.A(FE_DBTN42_n_64880),
+	.B(n_47832),
+	.X(n_29569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g903077 (
+	.A(n_80816),
+	.B(n_26638),
+	.Y(n_26981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g903080 (
+	.A(n_80816),
+	.B(n_77457),
+	.Y(n_29556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g903082 (
+	.A(n_26961),
+	.Y(n_26979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g903095 (
+	.A(n_56993),
+	.Y(n_26964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_2 g903098 (
+	.A1(n_26914),
+	.A2(n_90544),
+	.B1_N(n_17808),
+	.Y(n_26961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g903100 (
+	.A(n_26950),
+	.B(n_85284),
+	.Y(n_26959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g903107 (
+	.A(n_88166),
+	.Y(n_26957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g903108 (
+	.A(n_26954),
+	.Y(n_26955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g903110 (
+	.A(n_26949),
+	.Y(n_26951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g903111 (
+	.A(n_26902),
+	.B(n_58039),
+	.Y(n_26950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g903113 (
+	.A(n_58039),
+	.B(n_85284),
+	.Y(n_26954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g903115 (
+	.A(n_26792),
+	.B(n_80348),
+	.Y(n_26949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g903118 (
+	.A(n_26943),
+	.Y(n_26944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 g903135 (
+	.A1(FE_DBTN103_n_71622),
+	.A2(n_26800),
+	.B1_N(n_64880),
+	.X(n_26943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g903141 (
+	.A(n_26916),
+	.Y(n_26926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g903144 (
+	.A(n_26922),
+	.Y(n_26923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g903145 (
+	.A(n_26800),
+	.B(n_75798),
+	.Y(n_26921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g903146 (
+	.A(n_26792),
+	.B(n_58039),
+	.Y(n_26920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g903147 (
+	.A(n_26805),
+	.B(n_57093),
+	.Y(n_26919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g903149 (
+	.A(n_57093),
+	.B(n_69924),
+	.Y(n_26917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g903150 (
+	.A(n_57052),
+	.B(n_26761),
+	.C(n_26718),
+	.Y(n_26916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g903153 (
+	.A(n_37707),
+	.B(n_71622),
+	.Y(n_26922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g903154 (
+	.A(n_35477),
+	.Y(n_26912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g903161 (
+	.A(n_80348),
+	.Y(n_26902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g903257 (
+	.A(n_26747),
+	.B(n_37706),
+	.C(n_61490),
+	.Y(n_26806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g903259 (
+	.A(n_26788),
+	.B(n_26765),
+	.Y(n_26914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g903270 (
+	.A(n_37707),
+	.Y(n_26800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g903275 (
+	.A(n_69925),
+	.B(n_69899),
+	.Y(n_26805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g903282 (
+	.A(n_58894),
+	.B(n_90509),
+	.Y(n_26788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_4 g903286 (
+	.A_N(n_26602),
+	.B(n_26656),
+	.C(n_26603),
+	.Y(n_26792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g903304 (
+	.A_N(n_61246),
+	.B(n_26713),
+	.Y(n_29567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g903307 (
+	.A(n_26701),
+	.B(n_63777),
+	.Y(n_26765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g903309 (
+	.A(n_46730),
+	.B(n_26712),
+	.Y(n_26763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g903310 (
+	.A(n_26716),
+	.B(n_26604),
+	.Y(n_29351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g903312 (
+	.A1(\soc_top_u_top_u_core_fp_operands[2] [0]),
+	.A2(n_39671),
+	.B1(n_17808),
+	.X(n_26777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g903315 (
+	.A(n_26752),
+	.Y(n_26761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g903319 (
+	.A(n_26755),
+	.Y(\soc_top_u_top_u_core_fp_operands[2] [3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g903320 (
+	.A(n_26754),
+	.Y(\soc_top_u_top_u_core_fp_operands[2] [9]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g903323 (
+	.A(n_26713),
+	.B(n_26658),
+	.Y(n_26752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g903324 (
+	.A(n_61470),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_38 ),
+	.C(n_70606),
+	.Y(n_26751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g903328 (
+	.A(n_26401),
+	.B(n_26590),
+	.X(n_31112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g903329 (
+	.A1(n_58897),
+	.A2(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.B1(n_58898),
+	.Y(n_26755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g903330 (
+	.A1(soc_top_u_top_u_core_fp_alu_op_mod),
+	.A2(n_70612),
+	.B1(n_26724),
+	.Y(n_31111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g903331 (
+	.A1(n_57529),
+	.A2(n_79365),
+	.B1(n_24487),
+	.B2(n_25067),
+	.C1(\soc_top_u_top_u_core_fp_operands[0] [9]),
+	.C2(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.Y(n_26754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g903337 (
+	.A(n_26731),
+	.Y(n_26747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g903339 (
+	.A(n_58891),
+	.Y(n_31066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g903354 (
+	.A(n_75362),
+	.B(FE_DBTN142_soc_top_u_top_u_core_fp_operands_0_28),
+	.C(n_71622),
+	.Y(n_26731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g903361 (
+	.A1(n_70612),
+	.A2(soc_top_u_top_u_core_fp_alu_op_mod),
+	.B1(n_46730),
+	.Y(n_26724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g903369 (
+	.A(n_80442),
+	.Y(n_26718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g903371 (
+	.A(n_69964),
+	.Y(n_26716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g903376 (
+	.A(n_26710),
+	.Y(\soc_top_u_top_u_core_fp_operands[2] [19]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__bufinv_16 g903377 (
+	.A(n_85102),
+	.Y(\soc_top_u_top_u_core_fp_operands[2] [18]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g903378 (
+	.A(n_26708),
+	.Y(\soc_top_u_top_u_core_fp_operands[2] [11]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g903379 (
+	.A(n_26707),
+	.Y(\soc_top_u_top_u_core_fp_operands[2] [10]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g903381 (
+	.A(n_26705),
+	.Y(\soc_top_u_top_u_core_fp_operands[2] [5]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g903383 (
+	.A(n_26703),
+	.Y(\soc_top_u_top_u_core_fp_operands[2] [2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_4 g903385 (
+	.A_N(n_80790),
+	.B(n_37134),
+	.C(n_26571),
+	.Y(n_26701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g903388 (
+	.A1_N(n_61148),
+	.A2_N(FE_DBTN31_n_73576),
+	.B1(n_61148),
+	.B2(FE_DBTN31_n_73576),
+	.Y(n_26698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g903395 (
+	.A(n_61234),
+	.B(n_26598),
+	.Y(n_26713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g903397 (
+	.A1(n_70592),
+	.A2(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.B1(n_26438),
+	.Y(n_26712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g903398 (
+	.A1(n_57529),
+	.A2(n_26213),
+	.B1(n_24487),
+	.B2(n_25085),
+	.C1(n_77843),
+	.C2(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.Y(n_26711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g903399 (
+	.A1(n_80788),
+	.A2(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.B1(n_80787),
+	.B2(n_57529),
+	.C1(n_25228),
+	.X(\soc_top_u_top_u_core_fp_operands[2] [22]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g903401 (
+	.A1(n_57529),
+	.A2(n_41418),
+	.B1(n_24487),
+	.B2(n_25075),
+	.C1(n_75771),
+	.C2(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.Y(n_26710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g903403 (
+	.A1(n_57529),
+	.A2(n_48252),
+	.B1(n_24487),
+	.B2(n_25068),
+	.C1(n_29042),
+	.C2(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.Y(n_26708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_4 g903404 (
+	.A1(n_63770),
+	.A2(n_57529),
+	.B1(n_61239),
+	.B2(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.C1(n_25225),
+	.Y(n_26707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_4 g903405 (
+	.A1(n_61199),
+	.A2(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.B1(n_26435),
+	.Y(n_26706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g903406 (
+	.A1(n_62568),
+	.A2(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.B1(n_26436),
+	.Y(n_26705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_4 g903407 (
+	.A1(n_87514),
+	.A2(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.B1(n_61345),
+	.Y(n_26704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g903408 (
+	.A1(n_79166),
+	.A2(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.B1(n_26437),
+	.Y(n_26703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g903416 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_413 ),
+	.Y(n_31082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g903417 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_275 ),
+	.Y(n_31081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g903424 (
+	.A(n_26661),
+	.Y(n_31065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g903428 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_458 ),
+	.Y(n_31067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g903431 (
+	.A(n_26653),
+	.Y(n_31084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g903450 (
+	.A(soc_top_u_top_u_core_lsu_wdata[19]),
+	.B(n_17802),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_413 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g903451 (
+	.A(soc_top_u_top_u_core_lsu_wdata[18]),
+	.B(n_17802),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_275 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g903455 (
+	.A(n_62568),
+	.B(n_87514),
+	.Y(n_26669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g903465 (
+	.A(n_49541),
+	.B(n_17802),
+	.Y(n_26661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g903468 (
+	.A(n_61246),
+	.B(\soc_top_u_top_u_core_fp_operands[0] [16]),
+	.Y(n_26658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g903469 (
+	.A(n_56985),
+	.B(n_56986),
+	.Y(n_26688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g903470 (
+	.A(n_61337),
+	.B(n_17802),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_458 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_4 g903471 (
+	.A1(n_17688),
+	.A2(n_91432),
+	.B1_N(n_80225),
+	.Y(n_26656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g903474 (
+	.A(n_81728),
+	.B(n_17802),
+	.Y(n_26653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g903482 (
+	.A(FE_DBTN142_soc_top_u_top_u_core_fp_operands_0_28),
+	.B(n_80345),
+	.Y(n_26684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g903494 (
+	.A(n_26639),
+	.Y(\soc_top_u_top_u_core_fp_operands[2] [12]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g903498 (
+	.A(n_36471),
+	.Y(n_26633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g903508 (
+	.A(n_36473),
+	.Y(n_26620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g903514 (
+	.A(\soc_top_u_top_u_core_fp_operands[0] [9]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_38 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g903522 (
+	.A(n_69923),
+	.B(n_26537),
+	.Y(n_26604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g903523 (
+	.A(FE_DBTN122_n_59102),
+	.B(n_61130),
+	.C(n_80345),
+	.Y(n_26603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g903524 (
+	.A(n_61130),
+	.B(n_41818),
+	.Y(n_26602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g903528 (
+	.A_N(n_26538),
+	.B(n_25674),
+	.Y(n_26598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g903529 (
+	.A(n_61194),
+	.B(n_58322),
+	.Y(n_26597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_4 g903535 (
+	.A1(n_91431),
+	.A2(n_25526),
+	.A3(n_80345),
+	.B1(n_80221),
+	.Y(n_26592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g903539 (
+	.A1(n_18029),
+	.A2(n_54294),
+	.B1(n_17802),
+	.C1(n_26314),
+	.Y(n_26590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g903542 (
+	.A1(n_61467),
+	.A2(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.B1(n_61465),
+	.B2(n_57529),
+	.C1(n_25230),
+	.X(\soc_top_u_top_u_core_fp_operands[2] [0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g903543 (
+	.A1(n_57529),
+	.A2(n_81714),
+	.B1(n_24487),
+	.B2(n_25069),
+	.C1(n_61246),
+	.C2(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.Y(n_26639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g903544 (
+	.A(n_41817),
+	.B(n_57657),
+	.Y(n_26638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g903548 (
+	.A1(n_42763),
+	.A2(n_75071),
+	.B1(n_25690),
+	.Y(n_26630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g903549 (
+	.A1(n_61234),
+	.A2(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.B1(n_71268),
+	.B2(n_57529),
+	.C1(n_25226),
+	.X(\soc_top_u_top_u_core_fp_operands[2] [13]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g903556 (
+	.A(n_79368),
+	.B(n_25481),
+	.Y(\soc_top_u_top_u_core_fp_operands[0] [9]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_16 g903560 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_131 ),
+	.Y(n_31076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g903567 (
+	.A(n_61148),
+	.Y(n_26580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g903577 (
+	.A(n_81728),
+	.Y(n_26571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g903584 (
+	.A(n_26564),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_76 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g903613 (
+	.A1(n_26285),
+	.A2(n_17905),
+	.B1(n_72421),
+	.Y(n_26538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g903614 (
+	.A(n_73576),
+	.B(n_48960),
+	.Y(n_26537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g903615 (
+	.A(FE_DBTN31_n_73576),
+	.B(n_75362),
+	.Y(n_26536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g903617 (
+	.A(n_41420),
+	.B(n_25594),
+	.Y(soc_top_u_top_u_core_lsu_wdata[19]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g903627 (
+	.A1(n_72421),
+	.A2(n_80435),
+	.B1(n_25466),
+	.Y(\soc_top_u_top_u_core_fp_operands[0] [16]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g903629 (
+	.A1(n_72421),
+	.A2(FE_DBTN16_n_80166),
+	.B1(n_25468),
+	.Y(n_26564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g903633 (
+	.A(n_26406),
+	.B(n_25511),
+	.Y(\soc_top_u_top_u_core_fp_operands[0] [28]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g903728 (
+	.A1(n_48951),
+	.A2(n_57529),
+	.B1(n_25078),
+	.B2(n_24487),
+	.X(n_26438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g903729 (
+	.A1(n_49539),
+	.A2(n_57529),
+	.B1(n_25079),
+	.B2(n_24487),
+	.X(n_26437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g903730 (
+	.A1(n_78032),
+	.A2(n_57529),
+	.B1(n_25082),
+	.B2(n_24487),
+	.X(n_26436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g903731 (
+	.A1(n_36668),
+	.A2(n_57529),
+	.B1(n_25083),
+	.B2(n_24487),
+	.X(n_26435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g903733 (
+	.A(n_26405),
+	.B(n_92761),
+	.Y(soc_top_u_top_u_core_lsu_wdata[18]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g903734 (
+	.A(n_26403),
+	.B(n_25547),
+	.Y(soc_top_u_top_u_core_lsu_wdata[16]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g903741 (
+	.A1(n_69718),
+	.A2(n_75071),
+	.B1(n_25691),
+	.Y(n_26508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g903745 (
+	.A1(n_26219),
+	.A2(n_75071),
+	.B1(n_25689),
+	.Y(n_26501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g903746 (
+	.A1(n_58683),
+	.A2(n_75071),
+	.B1(n_25687),
+	.Y(n_26500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g903749 (
+	.A1(n_80166),
+	.A2(n_75071),
+	.B1(n_25688),
+	.Y(n_26493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g903771 (
+	.A(n_78032),
+	.B(n_84334),
+	.Y(n_26420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g903778 (
+	.A(n_60521),
+	.B(n_24882),
+	.Y(n_26413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g903783 (
+	.A(n_59622),
+	.B(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.Y(n_26408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g903784 (
+	.A(n_26213),
+	.B(n_84334),
+	.Y(n_26407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g903785 (
+	.A(n_60521),
+	.B(n_84334),
+	.Y(n_26406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g903786 (
+	.A(n_26228),
+	.B(n_58322),
+	.Y(n_26405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g903788 (
+	.A(n_26219),
+	.B(n_58322),
+	.Y(n_26403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g903791 (
+	.A_N(n_74735),
+	.B(n_17802),
+	.Y(n_26401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g903792 (
+	.A(FE_DBTN103_n_71622),
+	.B(n_26214),
+	.Y(n_26400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g903802 (
+	.A(n_75458),
+	.Y(n_26390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g903875 (
+	.A(n_69718),
+	.B(n_58322),
+	.Y(n_26316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g903877 (
+	.A(n_54294),
+	.B(n_18029),
+	.C(n_80345),
+	.Y(n_26314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g903891 (
+	.A1(n_47826),
+	.A2(n_72421),
+	.B1(n_25508),
+	.Y(n_26377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g903927 (
+	.A1(n_26166),
+	.A2(n_36401),
+	.B1_N(FE_DBTN104_n_17765),
+	.Y(n_26285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g903930 (
+	.A1(n_79280),
+	.A2(n_57529),
+	.B1(n_25104),
+	.Y(n_26282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g903992 (
+	.A(n_44411),
+	.Y(n_26228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g904001 (
+	.A(n_80435),
+	.Y(n_26219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g904002 (
+	.A1_N(n_24487),
+	.A2_N(n_25084),
+	.B1(n_38788),
+	.B2(n_79117),
+	.Y(n_26217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g904017 (
+	.A(n_49003),
+	.B(n_25479),
+	.Y(n_26214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g904019 (
+	.A1(n_16706),
+	.A2(n_17765),
+	.B1(n_47736),
+	.Y(n_26213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904030 (
+	.A(n_57651),
+	.B(n_17765),
+	.Y(n_26207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904038 (
+	.A(n_26167),
+	.B(n_90547),
+	.Y(n_26200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g904082 (
+	.A_N(n_36401),
+	.B(n_90547),
+	.Y(n_29806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904085 (
+	.A(n_39595),
+	.B(n_61168),
+	.Y(n_29802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g904086 (
+	.A(FE_DBTN12_n_81281),
+	.B(n_26130),
+	.C(n_26068),
+	.Y(n_29812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g904089 (
+	.A(n_26166),
+	.Y(n_26167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_2 g904108 (
+	.A1(n_17729),
+	.A2(n_48936),
+	.B1_N(n_58350),
+	.Y(n_26166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904110 (
+	.A(n_46727),
+	.B(n_36369),
+	.Y(n_29828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904123 (
+	.A(n_26112),
+	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.Y(n_26145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904146 (
+	.A(n_26028),
+	.B(n_18004),
+	.Y(n_26130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_4 g904149 (
+	.A1(n_18387),
+	.A2(n_87706),
+	.B1_N(n_81283),
+	.Y(n_26127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904157 (
+	.A(n_26075),
+	.B(n_25834),
+	.Y(n_31677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g904163 (
+	.A(n_75461),
+	.B(n_42650),
+	.C(n_18388),
+	.Y(n_26117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g904168 (
+	.A(n_39942),
+	.B(n_26001),
+	.C(n_18393),
+	.Y(n_26112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904191 (
+	.A(n_25966),
+	.B(n_25820),
+	.Y(n_31694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g904192 (
+	.A(n_90499),
+	.B(n_58089),
+	.Y(n_26109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904194 (
+	.A(n_25955),
+	.B(n_25833),
+	.Y(n_31676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g904205 (
+	.A(n_25823),
+	.B(n_25860),
+	.C(n_25625),
+	.Y(n_31702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g904206 (
+	.A(n_25822),
+	.B(n_25861),
+	.C(n_25620),
+	.Y(n_31698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904208 (
+	.A(n_25965),
+	.B(n_25829),
+	.Y(n_31693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904209 (
+	.A(n_25964),
+	.B(n_25819),
+	.Y(n_31690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904211 (
+	.A1(n_25794),
+	.A2(n_18017),
+	.B1(n_90501),
+	.Y(n_26076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g904212 (
+	.A(n_25765),
+	.B(n_25924),
+	.C(n_35014),
+	.Y(n_31686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g904213 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[2]),
+	.A2(n_72751),
+	.B1(n_25989),
+	.X(n_26075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904217 (
+	.A(n_26000),
+	.B(n_25983),
+	.Y(n_26103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 g904221 (
+	.A1_N(n_17231),
+	.A2_N(n_19562),
+	.B1(n_38823),
+	.B2(n_60756),
+	.Y(n_26068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g904232 (
+	.A1(n_56975),
+	.A2(n_25888),
+	.B1(n_20017),
+	.Y(n_26058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904244 (
+	.A(n_25982),
+	.B(n_25998),
+	.Y(n_26046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g904246 (
+	.A1(n_77601),
+	.A2(n_25719),
+	.B1(n_20199),
+	.B2(n_25875),
+	.Y(n_26044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g904248 (
+	.A1(n_81283),
+	.A2(n_80356),
+	.B1(n_47743),
+	.Y(n_26042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g904250 (
+	.A1(n_69424),
+	.A2(n_77601),
+	.B1(n_25979),
+	.Y(n_26040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g904262 (
+	.A1(n_81283),
+	.A2(n_25935),
+	.B1(n_25817),
+	.Y(n_26028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904268 (
+	.A(\soc_top_xbar_to_lsu[d_data] [27]),
+	.B(n_65222),
+	.Y(n_26021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904269 (
+	.A(n_47592),
+	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.Y(n_26020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904271 (
+	.A(n_47592),
+	.B(n_20200),
+	.Y(n_26018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904273 (
+	.A(n_47592),
+	.B(n_65222),
+	.Y(n_26016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904274 (
+	.A(n_47592),
+	.B(n_18005),
+	.Y(n_26015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904280 (
+	.A(n_65221),
+	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.Y(n_26009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904286 (
+	.A(n_57628),
+	.B(n_39590),
+	.Y(n_26003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904287 (
+	.A1(n_25841),
+	.A2(n_24214),
+	.B1(n_18006),
+	.Y(n_26002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904288 (
+	.A(n_57628),
+	.B(n_18005),
+	.Y(n_26001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g904289 (
+	.A1(n_25849),
+	.A2(n_24220),
+	.B1(n_18362),
+	.X(n_26000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904291 (
+	.A(n_25877),
+	.B(n_38823),
+	.Y(n_25998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904294 (
+	.A(n_65221),
+	.B(n_20200),
+	.Y(n_25995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904295 (
+	.A(n_65221),
+	.B(n_81283),
+	.Y(n_25994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904297 (
+	.A(n_25877),
+	.B(n_81283),
+	.Y(n_25992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g904300 (
+	.A(n_22735),
+	.B(n_25862),
+	.Y(n_25989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904302 (
+	.A(n_62831),
+	.B(n_18005),
+	.Y(n_25987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904306 (
+	.A(n_25936),
+	.B(n_39587),
+	.Y(n_25983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904307 (
+	.A(n_25930),
+	.B(n_39587),
+	.Y(n_25982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904308 (
+	.A(n_78434),
+	.B(n_20206),
+	.Y(n_25981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904310 (
+	.A(n_25930),
+	.B(n_20200),
+	.Y(n_25979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904314 (
+	.A(n_25759),
+	.B(n_25908),
+	.Y(n_31678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904316 (
+	.A(n_78434),
+	.B(n_77594),
+	.Y(n_25972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904319 (
+	.A(n_77591),
+	.B(n_18365),
+	.Y(n_25969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g904322 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[19]),
+	.A2(n_72751),
+	.B1(n_25756),
+	.C1(n_25614),
+	.X(n_25966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g904323 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[18]),
+	.A2(n_72751),
+	.B1(n_92759),
+	.C1(n_25757),
+	.X(n_25965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g904324 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[15]),
+	.A2(n_72751),
+	.B1(n_25610),
+	.C1(n_25758),
+	.X(n_25964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904329 (
+	.A(n_25919),
+	.B(n_75428),
+	.Y(n_25959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g904331 (
+	.A1(n_93041),
+	.A2(soc_top_u_top_u_core_alu_operand_b_ex[25]),
+	.B1(n_25856),
+	.X(n_31700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g904332 (
+	.A1(n_93041),
+	.A2(soc_top_u_top_u_core_alu_operand_b_ex[26]),
+	.B1(n_25850),
+	.X(n_31701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904336 (
+	.A(n_25912),
+	.B(n_25763),
+	.Y(n_31680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904338 (
+	.A(n_25762),
+	.B(n_25911),
+	.Y(n_31684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904339 (
+	.A(n_25760),
+	.B(n_25910),
+	.Y(n_31682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904342 (
+	.A(n_25807),
+	.B(n_25851),
+	.Y(n_31695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904343 (
+	.A(n_25808),
+	.B(n_25852),
+	.Y(n_31696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904344 (
+	.A(n_25806),
+	.B(n_25853),
+	.Y(n_31691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904345 (
+	.A(n_25761),
+	.B(n_25854),
+	.Y(n_31683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g904347 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[30]),
+	.A2(n_72751),
+	.B1(n_25603),
+	.C1(n_25713),
+	.D1(n_25831),
+	.Y(n_31705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g904348 (
+	.A1(n_93041),
+	.A2(soc_top_u_top_u_core_alu_operand_b_ex[24]),
+	.B1(n_25925),
+	.X(n_31699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904349 (
+	.A(n_25821),
+	.B(n_25865),
+	.Y(n_31697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g904350 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[29]),
+	.A2(n_72751),
+	.B1(n_25601),
+	.C1(n_25710),
+	.D1(n_25818),
+	.Y(n_31704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904351 (
+	.A(n_25764),
+	.B(n_25867),
+	.Y(n_31685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g904352 (
+	.A1(n_93041),
+	.A2(soc_top_u_top_u_core_alu_operand_b_ex[28]),
+	.B1(n_25866),
+	.X(n_31703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g904353 (
+	.A1(n_85475),
+	.A2(n_72751),
+	.B1(n_25921),
+	.X(n_25955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g904372 (
+	.A(n_25936),
+	.Y(n_25935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g904381 (
+	.A1(n_72751),
+	.A2(soc_top_u_top_u_core_alu_operand_b_ex[24]),
+	.B1(n_25621),
+	.C1(n_25740),
+	.Y(n_25925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904382 (
+	.A1(n_25213),
+	.A2(FE_DBTN100_n_23967),
+	.B1(n_25784),
+	.Y(n_25924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g904385 (
+	.A(n_22734),
+	.B(n_25781),
+	.Y(n_25921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g904386 (
+	.A(n_57695),
+	.B(n_39936),
+	.C(n_38823),
+	.Y(n_25920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g904387 (
+	.A(n_54105),
+	.B(n_39936),
+	.C(n_38823),
+	.Y(n_25919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g904394 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[5]),
+	.A2(n_72751),
+	.B1(n_25735),
+	.C1(n_25628),
+	.X(n_25912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g904395 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[9]),
+	.A2(n_72751),
+	.B1(n_25712),
+	.C1(n_25604),
+	.X(n_25911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g904396 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[7]),
+	.A2(n_72751),
+	.B1(n_25602),
+	.C1(n_25709),
+	.X(n_25910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g904398 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[3]),
+	.A2(n_72751),
+	.B1(n_25790),
+	.X(n_25908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g904401 (
+	.A(n_90496),
+	.B(n_90497),
+	.C(n_18031),
+	.Y(n_25905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904402 (
+	.A(n_24214),
+	.B(n_25841),
+	.Y(\soc_top_xbar_to_lsu[d_data] [24]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g904410 (
+	.A(n_25813),
+	.B(n_22726),
+	.Y(n_25936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g904412 (
+	.A(n_25811),
+	.B(n_22723),
+	.Y(n_25930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g904417 (
+	.A(n_58089),
+	.Y(n_25901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g904427 (
+	.A(\soc_top_xbar_to_lsu[d_data] [28]),
+	.Y(n_25890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g904430 (
+	.A(\soc_top_xbar_to_lsu[d_data] [19]),
+	.Y(n_25888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g904441 (
+	.A(n_62831),
+	.Y(n_25875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4bb_1 g904445 (
+	.A(n_25375),
+	.B(n_92757),
+	.C_N(n_25594),
+	.D_N(n_25590),
+	.Y(n_25870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g904448 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[10]),
+	.A2(n_72751),
+	.B1(n_25714),
+	.C1(n_25605),
+	.X(n_25867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g904449 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[28]),
+	.A2(n_72751),
+	.B1(n_25627),
+	.C1(n_25736),
+	.Y(n_25866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g904450 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[22]),
+	.A2(n_72751),
+	.B1(n_25618),
+	.C1(n_25753),
+	.X(n_25865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g904453 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [2]),
+	.A2(n_20196),
+	.B1(n_25537),
+	.C1(n_23965),
+	.D1(n_25493),
+	.Y(n_25862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g904454 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[23]),
+	.A2(n_72751),
+	.B1(n_25751),
+	.X(n_25861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g904455 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[27]),
+	.A2(n_72751),
+	.B1(n_25733),
+	.X(n_25860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g904456 (
+	.A1(n_29834),
+	.A2(n_227),
+	.B1(n_22777),
+	.X(n_31710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904457 (
+	.A1(n_24211),
+	.A2(n_25775),
+	.B1(n_25787),
+	.Y(n_25859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g904458 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[14]),
+	.A2(n_72751),
+	.B1(n_25609),
+	.C1(n_25769),
+	.X(n_25858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904459 (
+	.A1(n_24211),
+	.A2(n_25728),
+	.B1(n_25789),
+	.Y(n_25857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g904460 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[25]),
+	.A2(n_72751),
+	.B1(n_25739),
+	.C1(n_25622),
+	.Y(n_25856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g904461 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[6]),
+	.A2(n_72751),
+	.B1(n_25792),
+	.X(n_25855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g904462 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[8]),
+	.A2(n_72751),
+	.B1(n_25786),
+	.X(n_25854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g904463 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[16]),
+	.A2(n_72751),
+	.B1(n_25788),
+	.X(n_25853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g904464 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[21]),
+	.A2(n_72751),
+	.B1(n_25617),
+	.C1(n_25754),
+	.X(n_25852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g904465 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[20]),
+	.A2(n_72751),
+	.B1(n_25615),
+	.C1(n_25755),
+	.X(n_25851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g904466 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[26]),
+	.A2(n_72751),
+	.B1(n_25623),
+	.C1(n_25731),
+	.Y(n_25850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904468 (
+	.A(n_25828),
+	.B(n_24015),
+	.Y(\soc_top_xbar_to_lsu[d_data] [27]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904472 (
+	.A(n_24220),
+	.B(n_25849),
+	.Y(\soc_top_xbar_to_lsu[d_data] [28]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g904473 (
+	.A(n_25809),
+	.B(n_24016),
+	.Y(\soc_top_xbar_to_lsu[d_data] [19]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g904476 (
+	.A(n_25801),
+	.B(n_24018),
+	.Y(n_25877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904491 (
+	.A(n_60983),
+	.B(n_60757),
+	.Y(n_25835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904492 (
+	.A(n_93041),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[2]),
+	.Y(n_25834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904493 (
+	.A(n_93041),
+	.B(n_85475),
+	.Y(n_25833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904494 (
+	.A(n_93041),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[13]),
+	.Y(n_25832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904495 (
+	.A(n_93041),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[30]),
+	.Y(n_25831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904497 (
+	.A(n_93041),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[18]),
+	.Y(n_25829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904498 (
+	.A(n_59110),
+	.B(n_39936),
+	.Y(n_25828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904500 (
+	.A(\soc_top_xbar_to_lsu[d_data] [11]),
+	.B(n_60757),
+	.Y(n_25826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904501 (
+	.A(n_60983),
+	.B(n_18365),
+	.Y(n_25825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904503 (
+	.A(n_93041),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[27]),
+	.Y(n_25823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904504 (
+	.A(n_93041),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[23]),
+	.Y(n_25822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904505 (
+	.A(n_93041),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[22]),
+	.Y(n_25821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904506 (
+	.A(n_93041),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[19]),
+	.Y(n_25820), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904507 (
+	.A(n_93041),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[15]),
+	.Y(n_25819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904508 (
+	.A(n_93041),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[29]),
+	.Y(n_25818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904509 (
+	.A(n_61161),
+	.B(n_81283),
+	.Y(n_25817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904513 (
+	.A(n_25747),
+	.B(n_63142),
+	.Y(n_25813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904515 (
+	.A(n_79036),
+	.B(n_63142),
+	.Y(n_25811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904517 (
+	.A(n_25699),
+	.B(n_39936),
+	.Y(n_25809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904518 (
+	.A(n_93041),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[21]),
+	.Y(n_25808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904519 (
+	.A(n_93041),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[20]),
+	.Y(n_25807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904520 (
+	.A(n_93041),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[16]),
+	.Y(n_25806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904524 (
+	.A(n_25703),
+	.B(n_39936),
+	.Y(n_25849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904525 (
+	.A(n_25700),
+	.B(n_39936),
+	.Y(n_25802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904526 (
+	.A(n_25701),
+	.B(n_39936),
+	.Y(n_25801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904533 (
+	.A(n_39936),
+	.B(n_25697),
+	.Y(n_25841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g904537 (
+	.A1(n_29776),
+	.A2(n_29628),
+	.B1(n_22736),
+	.C1(n_25737),
+	.X(n_25792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g904539 (
+	.A(n_25624),
+	.B(n_25732),
+	.X(n_25790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g904540 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [17]),
+	.A2(n_20196),
+	.B1(n_23961),
+	.C1(n_25424),
+	.D1(n_25612),
+	.Y(n_25789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g904541 (
+	.A1(n_22742),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [17]),
+	.B1(n_25638),
+	.C1(n_25611),
+	.Y(n_25788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g904542 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [12]),
+	.A2(n_20196),
+	.B1(n_23957),
+	.C1(n_25418),
+	.D1(n_25607),
+	.Y(n_25787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g904543 (
+	.A1(n_29776),
+	.A2(n_29632),
+	.B1(n_22715),
+	.C1(n_25711),
+	.X(n_25786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g904545 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [11]),
+	.A2(n_20196),
+	.B1(n_23956),
+	.C1(n_25451),
+	.D1(n_22716),
+	.Y(n_25784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904546 (
+	.A(n_58184),
+	.B(n_18384),
+	.Y(n_25783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904547 (
+	.A1(n_22742),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [1]),
+	.B1(n_25694),
+	.Y(n_25782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g904548 (
+	.A1(n_34952),
+	.A2(n_25597),
+	.B1(n_22801),
+	.Y(n_31720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g904549 (
+	.A1(n_34952),
+	.A2(n_25579),
+	.B1(n_22785),
+	.Y(n_31736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g904550 (
+	.A1(n_34952),
+	.A2(n_25572),
+	.B1(n_22798),
+	.Y(n_31729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g904551 (
+	.A1(n_34952),
+	.A2(n_25596),
+	.B1(n_22800),
+	.Y(n_31734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g904552 (
+	.A1(n_29843),
+	.A2(n_227),
+	.B1(n_22799),
+	.X(n_31719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g904553 (
+	.A1(n_34952),
+	.A2(n_25571),
+	.B1(n_22797),
+	.Y(n_31718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g904554 (
+	.A1(n_34952),
+	.A2(n_25573),
+	.B1(n_22792),
+	.Y(n_31728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g904555 (
+	.A1(n_34952),
+	.A2(n_25574),
+	.B1(n_22793),
+	.Y(n_31717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g904556 (
+	.A1(n_34952),
+	.A2(n_25575),
+	.B1(n_22789),
+	.Y(n_31716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g904557 (
+	.A1(n_29839),
+	.A2(n_227),
+	.B1(n_22788),
+	.X(n_31715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g904558 (
+	.A1(n_34952),
+	.A2(n_25577),
+	.B1(n_22786),
+	.Y(n_31733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g904559 (
+	.A1(n_34952),
+	.A2(n_25576),
+	.B1(n_22787),
+	.Y(n_31727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g904560 (
+	.A1(n_34952),
+	.A2(n_25580),
+	.B1(n_22784),
+	.Y(n_31714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g904561 (
+	.A1(n_29837),
+	.A2(n_227),
+	.B1(n_22783),
+	.X(n_31713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g904562 (
+	.A1(n_34952),
+	.A2(n_25581),
+	.B1(n_22782),
+	.Y(n_31726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g904563 (
+	.A1(n_29836),
+	.A2(n_227),
+	.B1(n_22781),
+	.X(n_31712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g904564 (
+	.A1(n_34952),
+	.A2(n_25583),
+	.B1(n_22779),
+	.Y(n_31725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g904565 (
+	.A1(n_29835),
+	.A2(n_227),
+	.B1(n_22780),
+	.X(n_31711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g904566 (
+	.A1(n_34952),
+	.A2(n_741),
+	.B1(n_22770),
+	.Y(n_31737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g904567 (
+	.A1(n_29859),
+	.A2(n_227),
+	.B1(n_22771),
+	.X(n_31735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g904568 (
+	.A1(n_34952),
+	.A2(n_25582),
+	.B1(n_22778),
+	.Y(n_31732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g904569 (
+	.A1(n_34952),
+	.A2(n_25585),
+	.B1(n_22776),
+	.Y(n_31724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g904570 (
+	.A1(n_29833),
+	.A2(n_227),
+	.B1(n_22775),
+	.X(n_31709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g904571 (
+	.A1(n_34952),
+	.A2(n_25598),
+	.B1(n_22774),
+	.Y(n_31708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g904572 (
+	.A1(n_29855),
+	.A2(n_227),
+	.B1(n_22772),
+	.X(n_31731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g904573 (
+	.A1(n_34952),
+	.A2(n_76048),
+	.B1(n_22790),
+	.Y(n_31738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g904574 (
+	.A1(n_34952),
+	.A2(n_25586),
+	.B1(n_22773),
+	.Y(n_31723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g904575 (
+	.A1(n_34952),
+	.A2(n_25592),
+	.B1(n_22769),
+	.Y(n_31722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g904576 (
+	.A1(n_34952),
+	.A2(n_25595),
+	.B1(n_22858),
+	.Y(n_31730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g904577 (
+	.A1(n_34952),
+	.A2(n_25593),
+	.B1(n_22768),
+	.Y(n_31721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g904578 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [1]),
+	.A2(n_20196),
+	.B1(n_25441),
+	.C1(n_23963),
+	.D1(n_25491),
+	.Y(n_25781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g904579 (
+	.A(n_92780),
+	.B(n_25589),
+	.C(n_25587),
+	.D(n_74349),
+	.Y(n_25780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g904580 (
+	.A1(n_81283),
+	.A2(n_81199),
+	.B1(n_25653),
+	.Y(n_25794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g904585 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[12]),
+	.Y(n_25775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g904586 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[14]),
+	.Y(n_25774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904591 (
+	.A1(n_22742),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [15]),
+	.B1(n_25636),
+	.Y(n_25769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904594 (
+	.A(\soc_top_xbar_to_lsu[d_data] [23]),
+	.B(n_17945),
+	.Y(n_25766), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904595 (
+	.A(n_93041),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[11]),
+	.Y(n_25765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904596 (
+	.A(n_93041),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[10]),
+	.Y(n_25764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904597 (
+	.A(n_93041),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[5]),
+	.Y(n_25763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904598 (
+	.A(n_93041),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[9]),
+	.Y(n_25762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904599 (
+	.A(n_93041),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[8]),
+	.Y(n_25761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904600 (
+	.A(n_93041),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[7]),
+	.Y(n_25760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904601 (
+	.A(n_93041),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[3]),
+	.Y(n_25759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904602 (
+	.A1(n_22742),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [16]),
+	.B1(n_25637),
+	.Y(n_25758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904603 (
+	.A1(n_22742),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [19]),
+	.B1(n_25639),
+	.Y(n_25757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904604 (
+	.A1(n_22742),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [20]),
+	.B1(n_25640),
+	.Y(n_25756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904605 (
+	.A1(n_22742),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [21]),
+	.B1(n_25641),
+	.Y(n_25755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904606 (
+	.A1(n_22742),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [22]),
+	.B1(n_25642),
+	.Y(n_25754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904607 (
+	.A1(n_22742),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [23]),
+	.B1(n_25643),
+	.Y(n_25753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904609 (
+	.A1(n_22742),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [24]),
+	.B1(n_25644),
+	.Y(n_25751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904611 (
+	.A(n_88108),
+	.B(n_25098),
+	.Y(n_25749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904613 (
+	.A(n_25670),
+	.B(n_25096),
+	.Y(n_25747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g904614 (
+	.A1(n_23998),
+	.A2(n_25514),
+	.B1(n_25095),
+	.Y(n_25746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904620 (
+	.A1(n_22742),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [25]),
+	.B1(n_25645),
+	.Y(n_25740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904621 (
+	.A1(n_22742),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [26]),
+	.B1(n_25646),
+	.Y(n_25739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g904622 (
+	.A1(n_16610),
+	.A2(n_25512),
+	.B1(n_25106),
+	.Y(n_25738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g904623 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [6]),
+	.A2(n_20196),
+	.B1(n_25448),
+	.C1(n_23966),
+	.X(n_25737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904624 (
+	.A1(n_22742),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [29]),
+	.B1(n_25651),
+	.Y(n_25736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904625 (
+	.A1(n_22742),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [6]),
+	.B1(n_25652),
+	.Y(n_25735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904626 (
+	.A1(n_22742),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [5]),
+	.B1(n_25650),
+	.Y(n_25734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904627 (
+	.A1(n_22742),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [28]),
+	.B1(n_25649),
+	.Y(n_25733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904628 (
+	.A1(n_22742),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [4]),
+	.B1(n_25648),
+	.Y(n_25732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904629 (
+	.A1(n_22742),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [27]),
+	.B1(n_25647),
+	.Y(n_25731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g904630 (
+	.A1(FE_DBTN100_n_23967),
+	.A2(n_25402),
+	.B1(FE_DBTN153_soc_top_u_top_u_core_instr_rdata_alu_id_30),
+	.B2(n_29613),
+	.C1(n_25031),
+	.Y(soc_top_u_top_u_core_alu_operand_b_ex[30]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904631 (
+	.A(n_25534),
+	.B(n_25031),
+	.Y(soc_top_u_top_u_core_alu_operand_b_ex[24]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904634 (
+	.A(n_25563),
+	.B(n_25031),
+	.Y(soc_top_u_top_u_core_alu_operand_b_ex[12]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904635 (
+	.A(n_25562),
+	.B(n_25031),
+	.Y(soc_top_u_top_u_core_alu_operand_b_ex[13]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904636 (
+	.A(n_25561),
+	.B(n_25031),
+	.Y(soc_top_u_top_u_core_alu_operand_b_ex[14]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904637 (
+	.A(n_25536),
+	.B(n_25031),
+	.Y(soc_top_u_top_u_core_alu_operand_b_ex[25]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904638 (
+	.A(n_25538),
+	.B(n_25031),
+	.Y(soc_top_u_top_u_core_alu_operand_b_ex[26]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g904640 (
+	.A(n_25728),
+	.Y(soc_top_u_top_u_core_alu_operand_b_ex[17]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g904642 (
+	.A(n_25726),
+	.Y(n_25727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g904650 (
+	.A(\soc_top_xbar_to_lsu[d_data] [11]),
+	.Y(n_25719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904654 (
+	.A1(n_22742),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [11]),
+	.B1(n_25634),
+	.Y(n_25714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904655 (
+	.A1(n_22742),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [31]),
+	.B1(n_25633),
+	.Y(n_25713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904656 (
+	.A1(n_22742),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [10]),
+	.B1(n_25632),
+	.Y(n_25712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g904657 (
+	.A(n_23955),
+	.B(n_25631),
+	.Y(n_25711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904658 (
+	.A1(n_22742),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [30]),
+	.B1(n_25630),
+	.Y(n_25710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904659 (
+	.A1(n_22742),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [8]),
+	.B1(n_25629),
+	.Y(n_25709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904665 (
+	.A(n_25662),
+	.B(n_23557),
+	.Y(n_25703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904668 (
+	.A(n_49538),
+	.B(n_23527),
+	.Y(n_25701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904669 (
+	.A(n_80401),
+	.B(n_23521),
+	.Y(n_25700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904670 (
+	.A(n_39957),
+	.B(n_23514),
+	.Y(n_25699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904673 (
+	.A(n_63534),
+	.B(n_24012),
+	.Y(n_25697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904674 (
+	.A1(n_22742),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [14]),
+	.B1(n_25635),
+	.Y(n_25696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g904676 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.A2(n_20196),
+	.B1(n_35499),
+	.C1(n_25490),
+	.Y(n_25694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g904679 (
+	.A1_N(n_24487),
+	.A2_N(n_25070),
+	.B1(n_16610),
+	.B2(n_25464),
+	.Y(n_25691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g904680 (
+	.A1(n_42764),
+	.A2(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.B1(n_25071),
+	.B2(n_24487),
+	.X(n_25690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g904681 (
+	.A1_N(n_24487),
+	.A2_N(n_25072),
+	.B1(n_16610),
+	.B2(n_25466),
+	.Y(n_25689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g904682 (
+	.A1_N(n_24487),
+	.A2_N(n_25073),
+	.B1(n_16610),
+	.B2(n_25468),
+	.Y(n_25688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g904683 (
+	.A1_N(n_24487),
+	.A2_N(n_25076),
+	.B1(n_16610),
+	.B2(n_25467),
+	.Y(n_25687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904684 (
+	.A(n_25089),
+	.B(n_25559),
+	.Y(n_29834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_4 g904685 (
+	.A1(n_17186),
+	.A2(n_29512),
+	.B1(n_25485),
+	.C1(n_25239),
+	.Y(soc_top_u_top_u_core_alu_operand_b_ex[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904686 (
+	.A(n_25565),
+	.B(n_25031),
+	.Y(soc_top_u_top_u_core_alu_operand_b_ex[28]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_2 g904687 (
+	.A1(FE_DBTN100_n_23967),
+	.A2(n_25352),
+	.B1(FE_DBTN152_soc_top_u_top_u_core_instr_rdata_alu_id_29),
+	.B2(n_29613),
+	.C1(n_25031),
+	.Y(soc_top_u_top_u_core_alu_operand_b_ex[29]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904688 (
+	.A(n_92760),
+	.B(n_25031),
+	.Y(soc_top_u_top_u_core_alu_operand_b_ex[18]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 g904689 (
+	.A1(FE_DBTN100_n_23967),
+	.A2(n_25401),
+	.B1(FE_DBTN147_soc_top_u_top_u_core_instr_rdata_alu_id_17),
+	.B2(n_29613),
+	.C1(n_25031),
+	.X(n_25728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904690 (
+	.A(n_25567),
+	.B(n_25031),
+	.Y(soc_top_u_top_u_core_alu_operand_b_ex[16]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904691 (
+	.A(n_25560),
+	.B(n_25031),
+	.Y(soc_top_u_top_u_core_alu_operand_b_ex[15]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904692 (
+	.A(n_25541),
+	.B(n_25031),
+	.Y(soc_top_u_top_u_core_alu_operand_b_ex[20]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904693 (
+	.A(n_85474),
+	.B(n_25031),
+	.Y(soc_top_u_top_u_core_alu_operand_b_ex[21]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904694 (
+	.A(n_25539),
+	.B(n_25031),
+	.Y(soc_top_u_top_u_core_alu_operand_b_ex[27]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_2 g904695 (
+	.A1(FE_DBTN100_n_23967),
+	.A2(n_25405),
+	.B1(FE_DBTN156_soc_top_u_top_u_core_instr_rdata_id_20),
+	.B2(n_29512),
+	.C1(n_35020),
+	.Y(n_25726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904696 (
+	.A(n_25548),
+	.B(n_25031),
+	.Y(soc_top_u_top_u_core_alu_operand_b_ex[19]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904697 (
+	.A(n_25532),
+	.B(n_25031),
+	.Y(soc_top_u_top_u_core_alu_operand_b_ex[23]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_2 g904698 (
+	.A1(FE_DBTN100_n_23967),
+	.A2(n_25404),
+	.B1(n_17186),
+	.B2(n_29613),
+	.C1(n_25031),
+	.Y(soc_top_u_top_u_core_alu_operand_b_ex[22]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g904700 (
+	.A(n_25486),
+	.B(n_25487),
+	.C(n_25376),
+	.Y(\soc_top_xbar_to_lsu[d_data] [11]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g904706 (
+	.A(n_90497),
+	.Y(n_25680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g904710 (
+	.A1(n_81700),
+	.A2(FE_DBTN99_n_25132),
+	.B1(n_25369),
+	.Y(n_25676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g904712 (
+	.A(n_42764),
+	.B_N(n_25464),
+	.Y(n_25674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g904713 (
+	.A_N(n_25511),
+	.B(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.Y(n_25673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904716 (
+	.A(n_75848),
+	.B(n_25513),
+	.Y(n_25670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904724 (
+	.A(n_25510),
+	.B(n_57067),
+	.Y(n_25662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904731 (
+	.A(n_59011),
+	.B(n_57067),
+	.Y(n_25655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904734 (
+	.A(n_58092),
+	.B(n_81283),
+	.Y(n_25653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g904736 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [5]),
+	.A2(n_20196),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [5]),
+	.B2(n_29774),
+	.C1(n_25396),
+	.Y(n_25652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g904737 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [28]),
+	.A2(n_20196),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [28]),
+	.B2(n_29774),
+	.C1(n_25397),
+	.Y(n_25651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g904738 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [4]),
+	.A2(n_20196),
+	.B1(n_25445),
+	.Y(n_25650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g904739 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [27]),
+	.A2(n_20196),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [27]),
+	.B2(n_29774),
+	.C1(n_25392),
+	.Y(n_25649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g904740 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [3]),
+	.A2(n_20196),
+	.B1(n_29775),
+	.B2(n_29624),
+	.C1(n_20621),
+	.Y(n_25648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g904741 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [26]),
+	.A2(n_20196),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [26]),
+	.B2(n_29774),
+	.C1(n_25395),
+	.Y(n_25647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g904742 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [25]),
+	.A2(n_20196),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [25]),
+	.B2(n_29774),
+	.C1(n_25394),
+	.Y(n_25646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g904743 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [24]),
+	.A2(n_20196),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [24]),
+	.B2(n_29774),
+	.C1(n_25393),
+	.Y(n_25645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g904744 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [23]),
+	.A2(n_20196),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [23]),
+	.B2(n_29774),
+	.C1(n_25391),
+	.Y(n_25644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g904745 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [22]),
+	.A2(n_20196),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [22]),
+	.B2(n_29774),
+	.C1(n_25390),
+	.Y(n_25643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g904746 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [21]),
+	.A2(n_20196),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [21]),
+	.B2(n_29774),
+	.C1(n_25389),
+	.Y(n_25642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g904747 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [20]),
+	.A2(n_20196),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [20]),
+	.B2(n_29774),
+	.C1(n_25388),
+	.Y(n_25641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g904748 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [19]),
+	.A2(n_20196),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [19]),
+	.B2(n_29774),
+	.C1(n_25387),
+	.Y(n_25640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g904749 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [18]),
+	.A2(n_20196),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [18]),
+	.B2(n_29774),
+	.C1(n_25386),
+	.Y(n_25639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g904750 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [16]),
+	.A2(n_20196),
+	.B1(n_29775),
+	.B2(n_35504),
+	.C1(n_19908),
+	.Y(n_25638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g904751 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [15]),
+	.A2(n_20196),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [15]),
+	.B2(n_29774),
+	.C1(n_25385),
+	.Y(n_25637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g904752 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [14]),
+	.A2(n_20196),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [14]),
+	.B2(n_29774),
+	.C1(n_25384),
+	.Y(n_25636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g904753 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [13]),
+	.A2(n_20196),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [13]),
+	.B2(n_29774),
+	.C1(n_25383),
+	.Y(n_25635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g904754 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [10]),
+	.A2(n_20196),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [10]),
+	.B2(n_29774),
+	.C1(n_25382),
+	.Y(n_25634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g904755 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [30]),
+	.A2(n_20196),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [30]),
+	.B2(n_29774),
+	.C1(n_25381),
+	.Y(n_25633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g904756 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [9]),
+	.A2(n_20196),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [9]),
+	.B2(n_29774),
+	.C1(n_25380),
+	.Y(n_25632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g904757 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [8]),
+	.A2(n_20196),
+	.B1(n_29775),
+	.B2(n_29633),
+	.C1(n_20630),
+	.Y(n_25631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g904758 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [29]),
+	.A2(n_20196),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [29]),
+	.B2(n_29774),
+	.C1(n_25379),
+	.Y(n_25630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g904759 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [7]),
+	.A2(n_20196),
+	.B1(n_29775),
+	.B2(n_35508),
+	.C1(n_20624),
+	.Y(n_25629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904760 (
+	.A1_N(n_29776),
+	.A2_N(n_29626),
+	.B1(n_17657),
+	.B2(n_20197),
+	.Y(n_25628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904761 (
+	.A1_N(n_29776),
+	.A2_N(n_29672),
+	.B1(n_17638),
+	.B2(n_20197),
+	.Y(n_25627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904762 (
+	.A1_N(n_29776),
+	.A2_N(n_29625),
+	.B1(n_17757),
+	.B2(n_20197),
+	.Y(n_25626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904763 (
+	.A1_N(n_29776),
+	.A2_N(n_29670),
+	.B1(n_17649),
+	.B2(n_20197),
+	.Y(n_25625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904764 (
+	.A1_N(n_29776),
+	.A2_N(n_35500),
+	.B1(n_17752),
+	.B2(n_20197),
+	.Y(n_25624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904765 (
+	.A1_N(n_29776),
+	.A2_N(n_29668),
+	.B1(n_17749),
+	.B2(n_20197),
+	.Y(n_25623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904766 (
+	.A1_N(n_29776),
+	.A2_N(n_29666),
+	.B1(n_17640),
+	.B2(n_20197),
+	.Y(n_25622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904767 (
+	.A1_N(n_29776),
+	.A2_N(n_29664),
+	.B1(n_17764),
+	.B2(n_20197),
+	.Y(n_25621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904768 (
+	.A1_N(n_29776),
+	.A2_N(n_29662),
+	.B1(n_17654),
+	.B2(n_20197),
+	.Y(n_25620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g904770 (
+	.A1(n_25404),
+	.A2(n_17999),
+	.B1(n_20197),
+	.B2(n_17642),
+	.Y(n_25618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904771 (
+	.A1_N(n_29776),
+	.A2_N(n_29658),
+	.B1(n_17648),
+	.B2(n_20197),
+	.Y(n_25617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904773 (
+	.A1_N(n_29776),
+	.A2_N(n_29656),
+	.B1(n_17644),
+	.B2(n_20197),
+	.Y(n_25615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904774 (
+	.A1_N(n_29776),
+	.A2_N(n_84881),
+	.B1(n_17656),
+	.B2(n_20197),
+	.Y(n_25614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904776 (
+	.A1(n_25401),
+	.A2(n_17999),
+	.B1(n_22717),
+	.Y(n_25612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g904777 (
+	.A1(n_29776),
+	.A2(n_29648),
+	.B1(n_17653),
+	.B2(n_20198),
+	.Y(n_25611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904778 (
+	.A1_N(n_29776),
+	.A2_N(n_29646),
+	.B1(n_17652),
+	.B2(n_20197),
+	.Y(n_25610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904779 (
+	.A1_N(n_29776),
+	.A2_N(n_29644),
+	.B1(n_17754),
+	.B2(n_20197),
+	.Y(n_25609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904780 (
+	.A1_N(n_29776),
+	.A2_N(n_29642),
+	.B1(n_17748),
+	.B2(n_20197),
+	.Y(n_25608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904781 (
+	.A1_N(n_29776),
+	.A2_N(n_29640),
+	.B1(n_17762),
+	.B2(n_20197),
+	.Y(n_25607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904783 (
+	.A1_N(n_29776),
+	.A2_N(n_29636),
+	.B1(n_17758),
+	.B2(n_20197),
+	.Y(n_25605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904784 (
+	.A1_N(n_29776),
+	.A2_N(n_29634),
+	.B1(n_17655),
+	.B2(n_20197),
+	.Y(n_25604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g904785 (
+	.A1(n_25402),
+	.A2(n_17999),
+	.B1(n_20197),
+	.B2(n_17759),
+	.Y(n_25603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904786 (
+	.A1_N(n_29776),
+	.A2_N(n_29630),
+	.B1(n_17756),
+	.B2(n_20197),
+	.Y(n_25602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g904787 (
+	.A1(n_25352),
+	.A2(n_17999),
+	.B1(n_17645),
+	.B2(n_20197),
+	.Y(n_25601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904788 (
+	.A(n_25427),
+	.B(n_25086),
+	.Y(n_29836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904789 (
+	.A(n_25426),
+	.B(n_25087),
+	.Y(n_29835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904790 (
+	.A(n_25421),
+	.B(n_25100),
+	.Y(n_29833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g904791 (
+	.A1(n_29638),
+	.A2(n_23967),
+	.B1(n_25030),
+	.X(soc_top_u_top_u_core_alu_operand_b_ex[11]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g904793 (
+	.A(n_25525),
+	.B(n_24964),
+	.Y(\soc_top_xbar_to_lsu[d_data] [23]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g904794 (
+	.A(n_25598),
+	.Y(n_29832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g904795 (
+	.A(n_25597),
+	.Y(n_29844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g904796 (
+	.A(n_25596),
+	.Y(n_29858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g904797 (
+	.A(n_25595),
+	.Y(n_29854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g904798 (
+	.A(n_25593),
+	.Y(n_29845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g904799 (
+	.A(n_25592),
+	.Y(n_29846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g904800 (
+	.A(n_741),
+	.Y(n_29861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g904801 (
+	.A(n_25586),
+	.Y(n_29847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g904802 (
+	.A(n_25585),
+	.Y(n_29848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g904803 (
+	.A(n_25583),
+	.Y(n_29849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g904804 (
+	.A(n_25582),
+	.Y(n_29856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g904805 (
+	.A(n_25581),
+	.Y(n_29850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g904806 (
+	.A(n_25580),
+	.Y(n_29838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g904807 (
+	.A(n_25579),
+	.Y(n_29860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g904808 (
+	.A(n_76048),
+	.Y(n_29862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g904809 (
+	.A(n_25577),
+	.Y(n_29857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g904810 (
+	.A(n_25576),
+	.Y(n_29851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g904811 (
+	.A(n_25575),
+	.Y(n_29840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g904812 (
+	.A(n_25574),
+	.Y(n_29841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g904813 (
+	.A(n_25573),
+	.Y(n_29852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g904814 (
+	.A(n_25572),
+	.Y(n_29853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g904815 (
+	.A(n_25571),
+	.Y(n_29842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g904816 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[6]),
+	.Y(n_25570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 g904818 (
+	.A1_N(n_24945),
+	.A2_N(n_25053),
+	.B1(n_16540),
+	.B2(n_29668),
+	.Y(n_25568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904819 (
+	.A1_N(FE_DBTN146_soc_top_u_top_u_core_instr_rdata_alu_id_16),
+	.A2_N(n_29613),
+	.B1(n_23967),
+	.B2(n_29648),
+	.Y(n_25567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g904821 (
+	.A1(n_29672),
+	.A2(n_23967),
+	.B1(n_24876),
+	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
+	.Y(n_25565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g904822 (
+	.A1_N(n_16540),
+	.A2_N(n_29678),
+	.B1(n_24945),
+	.B2(n_24960),
+	.Y(n_25564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g904823 (
+	.A1(n_29640),
+	.A2(n_23967),
+	.B1(n_24876),
+	.B2(soc_top_u_top_u_core_instr_rdata_id[12]),
+	.Y(n_25563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g904824 (
+	.A1(n_29642),
+	.A2(n_23967),
+	.B1(n_24876),
+	.B2(soc_top_u_top_u_core_instr_rdata_id[13]),
+	.Y(n_25562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904825 (
+	.A1(n_29644),
+	.A2(n_23967),
+	.B1(n_25000),
+	.Y(n_25561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904826 (
+	.A1_N(n_12101),
+	.A2_N(n_29613),
+	.B1(n_23967),
+	.B2(n_29646),
+	.Y(n_25560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g904827 (
+	.A1(n_24485),
+	.A2(n_29622),
+	.B1(n_23996),
+	.B2(soc_top_u_top_u_core_lsu_addr_last[2]),
+	.Y(n_25559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 g904829 (
+	.A1_N(n_24945),
+	.A2_N(n_25054),
+	.B1(n_16540),
+	.B2(n_29619),
+	.Y(n_25557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 g904830 (
+	.A1_N(n_24945),
+	.A2_N(n_25039),
+	.B1(n_16540),
+	.B2(n_29621),
+	.Y(n_25556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904831 (
+	.A1_N(n_24945),
+	.A2_N(n_25049),
+	.B1(n_16540),
+	.B2(n_35500),
+	.Y(n_25555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904832 (
+	.A1_N(n_24945),
+	.A2_N(n_25047),
+	.B1(n_16540),
+	.B2(n_29625),
+	.Y(n_25554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904833 (
+	.A1_N(n_24945),
+	.A2_N(n_25042),
+	.B1(n_16540),
+	.B2(n_29626),
+	.Y(n_25553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 g904838 (
+	.A1_N(n_24945),
+	.A2_N(n_24955),
+	.B1(n_16540),
+	.B2(n_29638),
+	.Y(n_25549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904839 (
+	.A1_N(n_12096),
+	.A2_N(n_29613),
+	.B1(n_23967),
+	.B2(n_84881),
+	.Y(n_25548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904840 (
+	.A1_N(n_24945),
+	.A2_N(n_24949),
+	.B1(n_16540),
+	.B2(n_29648),
+	.Y(n_25547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904843 (
+	.A1_N(n_24945),
+	.A2_N(n_25034),
+	.B1(n_16540),
+	.B2(n_29656),
+	.Y(n_25544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904844 (
+	.A1_N(n_24945),
+	.A2_N(n_25037),
+	.B1(n_16540),
+	.B2(n_29658),
+	.Y(n_25543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904845 (
+	.A1_N(n_24945),
+	.A2_N(n_25041),
+	.B1(n_16540),
+	.B2(n_29660),
+	.Y(n_25542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904846 (
+	.A1_N(FE_DBTN156_soc_top_u_top_u_core_instr_rdata_id_20),
+	.A2_N(n_29613),
+	.B1(n_23967),
+	.B2(n_29656),
+	.Y(n_25541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_4 g904848 (
+	.A1_N(n_24945),
+	.A2_N(n_25045),
+	.B1(n_16540),
+	.B2(n_29664),
+	.Y(n_25540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g904849 (
+	.A1(n_29670),
+	.A2(n_23967),
+	.B1(n_24876),
+	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
+	.Y(n_25539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g904850 (
+	.A1(n_29668),
+	.A2(n_23967),
+	.B1(n_24876),
+	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[26]),
+	.Y(n_25538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g904851 (
+	.A1(n_29775),
+	.A2(n_29622),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [2]),
+	.B2(n_29774),
+	.X(n_25537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g904852 (
+	.A1(n_29666),
+	.A2(n_23967),
+	.B1(n_24876),
+	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
+	.Y(n_25536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904853 (
+	.A1_N(n_24945),
+	.A2_N(n_25043),
+	.B1(n_16540),
+	.B2(n_29662),
+	.Y(n_25535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904854 (
+	.A1_N(FE_DBTN145_soc_top_u_top_u_core_rf_raddr_b_4),
+	.A2_N(n_29613),
+	.B1(n_23967),
+	.B2(n_29664),
+	.Y(n_25534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g904855 (
+	.A1(soc_top_u_top_u_core_use_fp_rs2),
+	.A2(n_25352),
+	.B1(n_24945),
+	.B2(n_24961),
+	.X(n_25533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904856 (
+	.A1_N(n_17203),
+	.A2_N(n_29613),
+	.B1(n_23967),
+	.B2(n_29662),
+	.Y(n_25532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_4 g904857 (
+	.A1_N(n_24945),
+	.A2_N(n_25052),
+	.B1(n_16540),
+	.B2(n_29666),
+	.Y(n_25531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 g904860 (
+	.A1_N(n_24945),
+	.A2_N(n_25044),
+	.B1(n_16540),
+	.B2(n_29670),
+	.Y(n_25528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904862 (
+	.A1(n_23996),
+	.A2(soc_top_u_top_u_core_lsu_addr_last[0]),
+	.B1(n_25463),
+	.Y(n_25598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904863 (
+	.A1(n_23996),
+	.A2(soc_top_u_top_u_core_lsu_addr_last[12]),
+	.B1(n_25446),
+	.Y(n_25597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g904864 (
+	.A1(n_24488),
+	.A2(soc_top_u_top_u_core_pc_id[11]),
+	.B1(n_25447),
+	.X(n_29843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904865 (
+	.A1(n_23996),
+	.A2(soc_top_u_top_u_core_lsu_addr_last[26]),
+	.B1(n_25443),
+	.Y(n_25596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904866 (
+	.A1(n_23996),
+	.A2(soc_top_u_top_u_core_lsu_addr_last[22]),
+	.B1(n_25458),
+	.Y(n_25595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904867 (
+	.A1_N(n_24945),
+	.A2_N(n_25033),
+	.B1(n_16540),
+	.B2(n_84881),
+	.Y(n_25594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904868 (
+	.A1(n_23996),
+	.A2(soc_top_u_top_u_core_lsu_addr_last[13]),
+	.B1(n_25456),
+	.Y(n_25593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904869 (
+	.A1(n_23996),
+	.A2(soc_top_u_top_u_core_lsu_addr_last[14]),
+	.B1(n_25454),
+	.Y(n_25592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g904870 (
+	.A1(n_23996),
+	.A2(soc_top_u_top_u_core_lsu_addr_last[29]),
+	.B1(n_25453),
+	.Y(n_741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 g904871 (
+	.A1_N(n_24945),
+	.A2_N(n_24968),
+	.B1(n_16540),
+	.B2(n_29646),
+	.Y(n_25590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904872 (
+	.A1_N(n_24945),
+	.A2_N(n_24967),
+	.B1(n_16540),
+	.B2(n_29644),
+	.Y(n_25589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g904874 (
+	.A1(n_24488),
+	.A2(soc_top_u_top_u_core_pc_id[27]),
+	.B1(n_25417),
+	.X(n_29859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904875 (
+	.A1_N(n_24945),
+	.A2_N(n_24954),
+	.B1(n_16540),
+	.B2(n_29640),
+	.Y(n_25587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g904876 (
+	.A1(n_24488),
+	.A2(soc_top_u_top_u_core_pc_id[23]),
+	.B1(n_25419),
+	.X(n_29855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904877 (
+	.A1(n_23996),
+	.A2(soc_top_u_top_u_core_lsu_addr_last[15]),
+	.B1(n_25420),
+	.Y(n_25586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904878 (
+	.A1(n_23996),
+	.A2(soc_top_u_top_u_core_lsu_addr_last[16]),
+	.B1(n_25422),
+	.Y(n_25585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904880 (
+	.A1(n_23996),
+	.A2(soc_top_u_top_u_core_lsu_addr_last[17]),
+	.B1(n_25425),
+	.Y(n_25583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904881 (
+	.A1(n_23996),
+	.A2(soc_top_u_top_u_core_lsu_addr_last[24]),
+	.B1(n_25423),
+	.Y(n_25582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g904882 (
+	.A1(n_29012),
+	.A2(n_29865),
+	.B1(n_25428),
+	.Y(n_29837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904883 (
+	.A1(n_23996),
+	.A2(soc_top_u_top_u_core_lsu_addr_last[18]),
+	.B1(n_25429),
+	.Y(n_25581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904884 (
+	.A1(n_23996),
+	.A2(soc_top_u_top_u_core_lsu_addr_last[6]),
+	.B1(n_25431),
+	.Y(n_25580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904885 (
+	.A1(n_23996),
+	.A2(soc_top_u_top_u_core_lsu_addr_last[28]),
+	.B1(n_25430),
+	.Y(n_25579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904887 (
+	.A1(n_23996),
+	.A2(soc_top_u_top_u_core_lsu_addr_last[25]),
+	.B1(n_25432),
+	.Y(n_25577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904888 (
+	.A1(n_23996),
+	.A2(soc_top_u_top_u_core_lsu_addr_last[19]),
+	.B1(n_25433),
+	.Y(n_25576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 g904889 (
+	.A1(n_29013),
+	.A2(n_29865),
+	.B1(n_25435),
+	.Y(n_29839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904890 (
+	.A1(n_23996),
+	.A2(soc_top_u_top_u_core_lsu_addr_last[8]),
+	.B1(n_25436),
+	.Y(n_25575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904891 (
+	.A1(n_24488),
+	.A2(soc_top_u_top_u_core_pc_id[9]),
+	.B1(n_25438),
+	.Y(n_25574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904892 (
+	.A1(n_23996),
+	.A2(soc_top_u_top_u_core_lsu_addr_last[20]),
+	.B1(n_25437),
+	.Y(n_25573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904893 (
+	.A1(n_23996),
+	.A2(soc_top_u_top_u_core_lsu_addr_last[21]),
+	.B1(n_25444),
+	.Y(n_25572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g904894 (
+	.A1(n_23996),
+	.A2(soc_top_u_top_u_core_lsu_addr_last[10]),
+	.B1(n_25440),
+	.Y(n_25571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g904895 (
+	.A1_N(n_23967),
+	.A2_N(n_29636),
+	.B1(FE_DBTN153_soc_top_u_top_u_core_instr_rdata_alu_id_30),
+	.B2(n_24675),
+	.Y(soc_top_u_top_u_core_alu_operand_b_ex[10]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g904896 (
+	.A1_N(n_23967),
+	.A2_N(n_29630),
+	.B1(FE_DBTN150_soc_top_u_top_u_core_instr_rdata_alu_id_27),
+	.B2(n_24675),
+	.Y(soc_top_u_top_u_core_alu_operand_b_ex[7]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g904897 (
+	.A1_N(n_23967),
+	.A2_N(n_29634),
+	.B1(FE_DBTN152_soc_top_u_top_u_core_instr_rdata_alu_id_29),
+	.B2(n_24675),
+	.Y(soc_top_u_top_u_core_alu_operand_b_ex[9]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g904898 (
+	.A1_N(n_23967),
+	.A2_N(n_29628),
+	.B1(FE_DBTN149_soc_top_u_top_u_core_instr_rdata_alu_id_26),
+	.B2(n_24675),
+	.Y(soc_top_u_top_u_core_alu_operand_b_ex[6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g904899 (
+	.A1_N(n_23967),
+	.A2_N(n_29632),
+	.B1(FE_DBTN151_soc_top_u_top_u_core_instr_rdata_alu_id_28),
+	.B2(n_24675),
+	.Y(soc_top_u_top_u_core_alu_operand_b_ex[8]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_4 g904901 (
+	.A1(n_35500),
+	.A2(n_23967),
+	.B1(n_25234),
+	.X(soc_top_u_top_u_core_alu_operand_b_ex[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g904902 (
+	.A1(n_29626),
+	.A2(n_23967),
+	.B1(n_25024),
+	.X(soc_top_u_top_u_core_alu_operand_b_ex[5]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g904903 (
+	.A(n_81276),
+	.Y(n_25525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g904913 (
+	.A(n_25514),
+	.Y(\soc_top_dccm_adapter_data_mem_rdata[0] [12]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g904916 (
+	.A(n_25509),
+	.Y(\soc_top_dccm_adapter_data_mem_rdata[0] [9]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g904919 (
+	.A(n_25503),
+	.Y(\soc_top_dccm_adapter_data_mem_rdata[0] [29]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904927 (
+	.A1_N(n_24484),
+	.A2_N(n_24918),
+	.B1(n_17070),
+	.B2(n_29653),
+	.Y(n_25494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g904930 (
+	.A_N(n_29621),
+	.B(n_17999),
+	.Y(n_25493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904931 (
+	.A(n_29619),
+	.B(n_23967),
+	.Y(n_25492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g904932 (
+	.A_N(n_29619),
+	.B(n_17999),
+	.Y(n_25491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904933 (
+	.A(n_25405),
+	.B(n_17999),
+	.Y(n_25490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904934 (
+	.A(n_75848),
+	.B(n_25360),
+	.Y(n_25489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904935 (
+	.A(n_75848),
+	.B(n_25358),
+	.Y(n_25488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904936 (
+	.A(n_25356),
+	.B(n_24492),
+	.Y(n_25487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904937 (
+	.A(n_43245),
+	.B(n_24492),
+	.Y(n_25486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g904938 (
+	.A(n_29621),
+	.B(n_23967),
+	.Y(n_25485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904939 (
+	.A1_N(n_24484),
+	.A2_N(n_24919),
+	.B1(n_17070),
+	.B2(n_29637),
+	.Y(n_25484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904940 (
+	.A1_N(n_24484),
+	.A2_N(n_24920),
+	.B1(n_17070),
+	.B2(n_29633),
+	.Y(n_25483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904942 (
+	.A1_N(n_24484),
+	.A2_N(n_24923),
+	.B1(n_17070),
+	.B2(n_29635),
+	.Y(n_25481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 g904944 (
+	.A1_N(n_24484),
+	.A2_N(n_24930),
+	.B1(n_17070),
+	.B2(n_35505),
+	.Y(n_25479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_4 g904945 (
+	.A1_N(n_24484),
+	.A2_N(n_24932),
+	.B1(n_17070),
+	.B2(n_29665),
+	.Y(n_25526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904947 (
+	.A1_N(n_24484),
+	.A2_N(n_24937),
+	.B1(n_17070),
+	.B2(n_35508),
+	.Y(n_25477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904948 (
+	.A1_N(n_24484),
+	.A2_N(n_24938),
+	.B1(n_17070),
+	.B2(n_29629),
+	.Y(n_25476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904949 (
+	.A1_N(n_24484),
+	.A2_N(n_24939),
+	.B1(n_17070),
+	.B2(n_29680),
+	.Y(n_25475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 g904950 (
+	.A1_N(n_24484),
+	.A2_N(n_24941),
+	.B1(n_17070),
+	.B2(n_29627),
+	.Y(n_25474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904951 (
+	.A1_N(n_24484),
+	.A2_N(n_24942),
+	.B1(n_17070),
+	.B2(n_29624),
+	.Y(n_25473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g904966 (
+	.A(n_25329),
+	.B(n_25328),
+	.Y(n_25514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904967 (
+	.A(n_25331),
+	.B(n_25330),
+	.Y(n_25513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_4 g904968 (
+	.A1_N(n_24484),
+	.A2_N(n_24935),
+	.B1(n_17070),
+	.B2(n_29669),
+	.Y(n_25512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 g904969 (
+	.A1_N(n_24484),
+	.A2_N(n_24934),
+	.B1(n_17070),
+	.B2(n_29673),
+	.Y(n_25511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g904970 (
+	.A(n_25332),
+	.B(n_40146),
+	.Y(n_25510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g904971 (
+	.A(n_25335),
+	.B(n_25334),
+	.Y(n_25509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904973 (
+	.A1_N(n_24484),
+	.A2_N(n_24927),
+	.B1(n_17070),
+	.B2(n_29675),
+	.Y(n_25508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g904974 (
+	.A1_N(n_17070),
+	.A2_N(n_29677),
+	.B1(n_24484),
+	.B2(n_24926),
+	.Y(n_25507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g904978 (
+	.A(n_25341),
+	.B(n_25342),
+	.Y(n_25503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g904985 (
+	.A1_N(n_29618),
+	.A2_N(n_24485),
+	.B1(n_12101),
+	.B2(n_24682),
+	.Y(n_25463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904987 (
+	.A1_N(n_24484),
+	.A2_N(n_24913),
+	.B1(n_17070),
+	.B2(n_29639),
+	.Y(n_25461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 g904988 (
+	.A1_N(n_24484),
+	.A2_N(n_24911),
+	.B1(n_17070),
+	.B2(n_29655),
+	.Y(n_25460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g904990 (
+	.A1(n_24485),
+	.A2(n_29661),
+	.B1(n_24488),
+	.B2(soc_top_u_top_u_core_pc_id[22]),
+	.X(n_25458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904991 (
+	.A1_N(n_24484),
+	.A2_N(n_24907),
+	.B1(n_17070),
+	.B2(n_29641),
+	.Y(n_25457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g904992 (
+	.A1(n_24485),
+	.A2(n_29643),
+	.B1(n_24488),
+	.B2(soc_top_u_top_u_core_pc_id[13]),
+	.X(n_25456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g904993 (
+	.A1_N(n_24484),
+	.A2_N(n_24904),
+	.B1(n_17070),
+	.B2(n_29643),
+	.Y(n_25455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g904994 (
+	.A1(n_24485),
+	.A2(n_29645),
+	.B1(n_24488),
+	.B2(soc_top_u_top_u_core_pc_id[14]),
+	.X(n_25454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g904995 (
+	.A1(n_24485),
+	.A2(n_29675),
+	.B1(n_24488),
+	.B2(soc_top_u_top_u_core_pc_id[29]),
+	.X(n_25453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g904996 (
+	.A1_N(n_17070),
+	.A2_N(n_29679),
+	.B1(n_24484),
+	.B2(n_24892),
+	.Y(n_25452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g904998 (
+	.A(n_25353),
+	.B(n_59721),
+	.C(n_25214),
+	.Y(\soc_top_dccm_adapter_data_mem_rdata[0] [8]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g904999 (
+	.A1(n_29775),
+	.A2(n_29639),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [11]),
+	.B2(n_29774),
+	.X(n_25451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g905002 (
+	.A1(n_29775),
+	.A2(n_29629),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [6]),
+	.B2(n_29774),
+	.X(n_25448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g905003 (
+	.A1_N(soc_top_u_top_u_core_lsu_addr_last[11]),
+	.A2_N(n_23996),
+	.B1(n_25240),
+	.B2(n_29866),
+	.Y(n_25447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g905004 (
+	.A1(n_24485),
+	.A2(n_29641),
+	.B1(n_24488),
+	.B2(soc_top_u_top_u_core_pc_id[12]),
+	.X(n_25446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g905005 (
+	.A1(n_25243),
+	.A2(n_18342),
+	.B1(n_19673),
+	.Y(n_25445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g905006 (
+	.A1(n_24485),
+	.A2(n_29659),
+	.B1(n_24488),
+	.B2(soc_top_u_top_u_core_pc_id[21]),
+	.X(n_25444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g905007 (
+	.A1(n_43246),
+	.A2(soc_top_u_dccm_rdata4[15]),
+	.B1(n_43186),
+	.B2(soc_top_u_dccm_rdata3[15]),
+	.C1(FE_DBTN99_n_25132),
+	.X(\soc_top_dccm_adapter_data_mem_rdata[0] [15]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g905008 (
+	.A1(n_24485),
+	.A2(n_29669),
+	.B1(n_24488),
+	.B2(soc_top_u_top_u_core_pc_id[26]),
+	.X(n_25443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g905010 (
+	.A1(n_29775),
+	.A2(n_29620),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [1]),
+	.B2(n_29774),
+	.X(n_25441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g905011 (
+	.A1(n_24485),
+	.A2(n_29637),
+	.B1(n_24488),
+	.B2(soc_top_u_top_u_core_pc_id[10]),
+	.X(n_25440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g905013 (
+	.A1(n_24485),
+	.A2(n_29635),
+	.B1(n_23996),
+	.B2(soc_top_u_top_u_core_lsu_addr_last[9]),
+	.X(n_25438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g905014 (
+	.A1(n_24485),
+	.A2(n_29657),
+	.B1(n_24488),
+	.B2(soc_top_u_top_u_core_pc_id[20]),
+	.X(n_25437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g905015 (
+	.A1_N(soc_top_u_top_u_core_pc_id[8]),
+	.A2_N(n_24488),
+	.B1(n_25241),
+	.B2(n_29866),
+	.Y(n_25436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 g905016 (
+	.A1(n_24485),
+	.A2(n_35508),
+	.B1(n_24488),
+	.B2(soc_top_u_top_u_core_pc_id[7]),
+	.Y(n_25435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g905018 (
+	.A1(n_24485),
+	.A2(n_29655),
+	.B1(n_24488),
+	.B2(soc_top_u_top_u_core_pc_id[19]),
+	.X(n_25433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g905019 (
+	.A1(n_24485),
+	.A2(n_29667),
+	.B1(n_24488),
+	.B2(soc_top_u_top_u_core_pc_id[25]),
+	.X(n_25432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g905020 (
+	.A1(n_24485),
+	.A2(n_29629),
+	.B1(n_24488),
+	.B2(soc_top_u_top_u_core_pc_id[6]),
+	.X(n_25431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g905021 (
+	.A1(n_24485),
+	.A2(n_29673),
+	.B1(n_24488),
+	.B2(soc_top_u_top_u_core_pc_id[28]),
+	.X(n_25430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g905022 (
+	.A1(n_24485),
+	.A2(n_29653),
+	.B1(n_24488),
+	.B2(soc_top_u_top_u_core_pc_id[18]),
+	.X(n_25429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g905023 (
+	.A1(n_24485),
+	.A2(n_29627),
+	.B1(n_24488),
+	.B2(soc_top_u_top_u_core_pc_id[5]),
+	.Y(n_25428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 g905024 (
+	.A1(n_24485),
+	.A2(n_29680),
+	.B1(n_23996),
+	.B2(soc_top_u_top_u_core_lsu_addr_last[4]),
+	.Y(n_25427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 g905025 (
+	.A1(n_24485),
+	.A2(n_29624),
+	.B1(n_23996),
+	.B2(soc_top_u_top_u_core_lsu_addr_last[3]),
+	.Y(n_25426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g905026 (
+	.A1(n_24485),
+	.A2(n_35503),
+	.B1(n_24488),
+	.B2(soc_top_u_top_u_core_pc_id[17]),
+	.X(n_25425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g905027 (
+	.A1(n_29775),
+	.A2(n_35503),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [17]),
+	.B2(n_29774),
+	.X(n_25424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g905028 (
+	.A1(n_24485),
+	.A2(n_29665),
+	.B1(n_24488),
+	.B2(soc_top_u_top_u_core_pc_id[24]),
+	.X(n_25423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g905029 (
+	.A1(n_24485),
+	.A2(n_35504),
+	.B1(n_24488),
+	.B2(soc_top_u_top_u_core_pc_id[16]),
+	.X(n_25422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g905030 (
+	.A1(n_23996),
+	.A2(soc_top_u_top_u_core_lsu_addr_last[1]),
+	.B1(n_35019),
+	.Y(n_25421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g905031 (
+	.A1(n_24485),
+	.A2(n_29647),
+	.B1(n_24488),
+	.B2(soc_top_u_top_u_core_pc_id[15]),
+	.X(n_25420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g905032 (
+	.A1(n_24485),
+	.A2(n_35505),
+	.B1(n_23996),
+	.B2(soc_top_u_top_u_core_lsu_addr_last[23]),
+	.X(n_25419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g905033 (
+	.A1(n_29775),
+	.A2(n_29641),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [12]),
+	.B2(n_29774),
+	.X(n_25418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g905034 (
+	.A1(n_24485),
+	.A2(n_75671),
+	.B1(n_23996),
+	.B2(soc_top_u_top_u_core_lsu_addr_last[27]),
+	.X(n_25417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g905036 (
+	.A1_N(n_24484),
+	.A2_N(n_24909),
+	.B1(n_17070),
+	.B2(n_35503),
+	.Y(n_25468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 g905037 (
+	.A1_N(n_24484),
+	.A2_N(n_24908),
+	.B1(n_17070),
+	.B2(n_29657),
+	.Y(n_25467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g905038 (
+	.A1_N(n_24484),
+	.A2_N(n_24905),
+	.B1(n_17070),
+	.B2(n_35504),
+	.Y(n_25466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g905040 (
+	.A1_N(n_17070),
+	.A2_N(n_29645),
+	.B1(n_24484),
+	.B2(n_24900),
+	.X(n_25464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g905041 (
+	.A(n_72357),
+	.Y(n_25416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g905050 (
+	.A(n_25405),
+	.Y(soc_top_u_top_u_core_multdiv_operand_b_ex[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g905051 (
+	.A(n_25404),
+	.Y(n_29660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g905053 (
+	.A(n_29676),
+	.Y(n_25402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g905054 (
+	.A(n_25401),
+	.Y(n_29650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g905058 (
+	.A_N(n_29673),
+	.B(n_18342),
+	.Y(n_25397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g905059 (
+	.A_N(n_29627),
+	.B(n_18342),
+	.Y(n_25396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g905060 (
+	.A_N(n_29669),
+	.B(n_18342),
+	.Y(n_25395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g905061 (
+	.A(n_29775),
+	.B(n_29667),
+	.X(n_25394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g905062 (
+	.A_N(n_29665),
+	.B(n_18342),
+	.Y(n_25393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g905063 (
+	.A_N(n_75671),
+	.B(n_18342),
+	.Y(n_25392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g905064 (
+	.A_N(n_35505),
+	.B(n_18342),
+	.Y(n_25391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g905065 (
+	.A_N(n_29661),
+	.B(n_18342),
+	.Y(n_25390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g905066 (
+	.A_N(n_29659),
+	.B(n_18342),
+	.Y(n_25389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g905067 (
+	.A(n_29775),
+	.B(n_29657),
+	.X(n_25388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g905068 (
+	.A_N(n_29655),
+	.B(n_18342),
+	.Y(n_25387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g905069 (
+	.A_N(n_29653),
+	.B(n_18342),
+	.Y(n_25386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g905070 (
+	.A_N(n_29647),
+	.B(n_18342),
+	.Y(n_25385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g905071 (
+	.A_N(n_29645),
+	.B(n_18342),
+	.Y(n_25384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g905072 (
+	.A_N(n_29643),
+	.B(n_18342),
+	.Y(n_25383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g905073 (
+	.A_N(n_29637),
+	.B(n_18342),
+	.Y(n_25382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g905074 (
+	.A(n_29775),
+	.B(n_29677),
+	.X(n_25381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g905075 (
+	.A_N(n_29635),
+	.B(n_18342),
+	.Y(n_25380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g905076 (
+	.A(n_29775),
+	.B(n_29675),
+	.X(n_25379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g905079 (
+	.A1(n_25090),
+	.A2(n_63142),
+	.B1(n_22720),
+	.Y(n_25376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g905080 (
+	.A1(n_24951),
+	.A2(n_24949),
+	.A3(n_92750),
+	.B1(n_24945),
+	.Y(n_25375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g905082 (
+	.A(soc_top_u_dccm_bank_sel[0]),
+	.B(n_25303),
+	.Y(n_25413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g905087 (
+	.A1(n_25119),
+	.A2(n_23998),
+	.B1(n_22745),
+	.Y(n_25369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_4 g905089 (
+	.A1(n_92749),
+	.A2(n_25034),
+	.B1(n_35515),
+	.C1(n_25006),
+	.Y(n_29656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g905090 (
+	.A(n_25166),
+	.B(n_35512),
+	.C(n_25017),
+	.Y(n_29621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_4 g905091 (
+	.A1(n_92749),
+	.A2(n_25044),
+	.B1(n_35511),
+	.C1(n_25019),
+	.Y(n_29670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g905092 (
+	.A(n_25165),
+	.B(n_25177),
+	.C(n_25020),
+	.Y(n_29625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_4 g905094 (
+	.A1(n_92749),
+	.A2(n_25053),
+	.B1(n_35513),
+	.C1(n_25016),
+	.Y(n_29668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 g905095 (
+	.A1(n_92749),
+	.A2(n_25054),
+	.B1(n_25217),
+	.Y(n_29619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_4 g905096 (
+	.A1(n_92749),
+	.A2(n_25052),
+	.B1(n_25142),
+	.C1(n_25014),
+	.Y(n_29666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_2 g905097 (
+	.A1(n_17311),
+	.A2(n_24855),
+	.B1(n_25143),
+	.C1(n_25163),
+	.X(n_25405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_4 g905098 (
+	.A1(n_92749),
+	.A2(n_25045),
+	.B1(n_25141),
+	.C1(n_25012),
+	.Y(n_29664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_4 g905099 (
+	.A1(n_92749),
+	.A2(n_25043),
+	.B1(n_35514),
+	.C1(n_25008),
+	.Y(n_29662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_2 g905100 (
+	.A1(n_80781),
+	.A2(n_24855),
+	.B1(n_25139),
+	.C1(n_25162),
+	.X(n_25404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_2 g905101 (
+	.A1(n_92749),
+	.A2(n_25037),
+	.B1(n_25138),
+	.C1(n_25007),
+	.Y(n_29658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_2 g905102 (
+	.A1(n_92749),
+	.A2(n_25042),
+	.B1(n_25149),
+	.C1(n_25023),
+	.Y(n_29626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_4 g905104 (
+	.A1_N(n_24414),
+	.A2_N(n_25151),
+	.B1(n_43108),
+	.B2(n_24414),
+	.Y(n_29622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g905105 (
+	.A(n_25159),
+	.B(n_25156),
+	.C(n_24993),
+	.Y(n_29636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_2 g905106 (
+	.A1(n_92749),
+	.A2(n_24955),
+	.B1(n_24994),
+	.C1(n_25155),
+	.Y(n_29638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_4 g905107 (
+	.A1(n_92749),
+	.A2(n_24968),
+	.B1(n_25133),
+	.C1(n_25001),
+	.Y(n_29646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_2 g905108 (
+	.A1(n_92749),
+	.A2(n_24967),
+	.B1(n_35510),
+	.C1(n_24999),
+	.Y(n_29644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_2 g905109 (
+	.A1(n_92749),
+	.A2(n_24952),
+	.B1(n_25153),
+	.C1(n_24998),
+	.Y(n_29642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g905110 (
+	.A(n_25160),
+	.B(n_25154),
+	.C(n_24997),
+	.Y(n_29640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_2 g905111 (
+	.A1(n_92749),
+	.A2(n_24956),
+	.B1(n_24992),
+	.C1(n_25157),
+	.Y(n_29676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g905112 (
+	.A1(n_92749),
+	.A2(n_24949),
+	.B1(n_25218),
+	.Y(n_29648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_4 g905113 (
+	.A1(n_16680),
+	.A2(n_24855),
+	.B1(n_25134),
+	.C1(n_25161),
+	.X(n_25401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g905119 (
+	.A(n_25309),
+	.Y(n_25353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g905120 (
+	.A(n_29674),
+	.Y(n_25352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_2 g905130 (
+	.A1(n_17015),
+	.A2(n_25196),
+	.B1(n_17625),
+	.B2(n_77861),
+	.Y(n_25342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_2 g905131 (
+	.A1(n_17624),
+	.A2(n_90675),
+	.B1(n_17628),
+	.B2(n_39535),
+	.Y(n_25341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g905139 (
+	.A1_N(soc_top_u_dccm_rdata4[9]),
+	.A2_N(n_43246),
+	.B1(n_17008),
+	.B2(n_25197),
+	.Y(n_25335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905140 (
+	.A(n_81271),
+	.B(n_25308),
+	.Y(n_25334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g905142 (
+	.A1(n_90490),
+	.A2(soc_top_u_dccm_rdata2[28]),
+	.B1(n_90683),
+	.Y(n_25332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g905143 (
+	.A1(n_37760),
+	.A2(soc_top_u_dccm_rdata2[4]),
+	.B1(n_81693),
+	.Y(n_25331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 g905144 (
+	.A1_N(n_17017),
+	.A2_N(n_25130),
+	.B1(soc_top_u_dccm_rdata1[4]),
+	.B2(n_25186),
+	.Y(n_25330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905145 (
+	.A(n_81273),
+	.B(n_81274),
+	.Y(n_25329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_2 g905146 (
+	.A1(n_17007),
+	.A2(n_59724),
+	.B1(n_17620),
+	.B2(n_25197),
+	.Y(n_25328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g905147 (
+	.A1(n_25204),
+	.A2(soc_top_u_dccm_rdata2[16]),
+	.B1(n_25249),
+	.Y(n_25327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905161 (
+	.A(n_35039),
+	.B(n_90682),
+	.Y(n_25318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g905162 (
+	.A1(n_25194),
+	.A2(soc_top_u_dccm_rdata1[10]),
+	.B1(n_43186),
+	.B2(soc_top_u_dccm_rdata3[10]),
+	.Y(n_25317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 g905167 (
+	.A1_N(n_17016),
+	.A2_N(n_25130),
+	.B1(soc_top_u_dccm_rdata1[3]),
+	.B2(n_49445),
+	.Y(n_25312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g905171 (
+	.A(n_59720),
+	.B(n_81264),
+	.Y(n_25360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_2 g905172 (
+	.A1(n_92749),
+	.A2(n_24960),
+	.B1(n_24990),
+	.C1(n_25168),
+	.Y(n_29678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905173 (
+	.A(n_74662),
+	.B(n_35051),
+	.Y(n_25358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g905174 (
+	.A1(n_88126),
+	.A2(soc_top_u_dccm_rdata2[11]),
+	.B1(n_90676),
+	.X(n_25356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g905176 (
+	.A1_N(soc_top_u_dccm_rdata4[8]),
+	.A2_N(n_43246),
+	.B1(n_17024),
+	.B2(n_59724),
+	.Y(n_25309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 g905177 (
+	.A1(n_92749),
+	.A2(n_24959),
+	.B1(n_25219),
+	.Y(n_29634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g905178 (
+	.A1(n_92749),
+	.A2(n_24969),
+	.B1(n_25221),
+	.Y(n_29628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_4 g905179 (
+	.A1(n_92749),
+	.A2(n_24966),
+	.B1(n_25150),
+	.C1(n_24988),
+	.Y(n_29672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g905180 (
+	.A1(n_92749),
+	.A2(n_24965),
+	.B1(n_25220),
+	.Y(n_29630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_2 g905181 (
+	.A1(n_16706),
+	.A2(n_24855),
+	.B1(n_25170),
+	.C1(n_25158),
+	.Y(n_29632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g905182 (
+	.A1(n_92749),
+	.A2(n_24961),
+	.B1(n_17306),
+	.B2(n_24855),
+	.C1(n_25171),
+	.Y(n_29674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g905183 (
+	.A(n_25286),
+	.Y(n_25308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905187 (
+	.A(soc_top_u_dccm_rdata4[15]),
+	.B(n_25120),
+	.Y(n_25303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905188 (
+	.A(soc_top_u_dccm_rdata3[15]),
+	.B(n_25120),
+	.Y(n_25302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g905199 (
+	.A1(n_61932),
+	.A2(n_61933),
+	.B1(soc_top_u_dccm_rdata3[1]),
+	.C1(soc_top_u_dccm_bank_sel[1]),
+	.D1(n_78545),
+	.X(n_25291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g905204 (
+	.A(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.B(n_78545),
+	.C(n_24879),
+	.D(soc_top_u_dccm_rdata1[9]),
+	.X(n_25286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g905229 (
+	.A1(n_61932),
+	.A2(n_61933),
+	.B1(soc_top_u_dccm_rdata3[2]),
+	.C1(soc_top_u_dccm_bank_sel[1]),
+	.D1(n_78545),
+	.X(n_25262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g905237 (
+	.A1(n_63542),
+	.A2(n_63543),
+	.B1(soc_top_u_dccm_rdata3[19]),
+	.C1(soc_top_u_dccm_bank_sel[1]),
+	.D1(n_78545),
+	.X(n_25254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g905239 (
+	.A1(n_63542),
+	.A2(n_63543),
+	.B1(soc_top_u_dccm_rdata1[19]),
+	.C1(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.D1(n_78545),
+	.X(n_25252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905242 (
+	.A(n_25194),
+	.B(soc_top_u_dccm_rdata1[14]),
+	.Y(n_29539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g905246 (
+	.A(n_39533),
+	.Y(n_25249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g905251 (
+	.A(n_25243),
+	.Y(n_29680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g905253 (
+	.A(n_29633),
+	.Y(n_25241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g905254 (
+	.A(n_29639),
+	.Y(n_25240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g905255 (
+	.A1(n_24083),
+	.A2(n_23967),
+	.B1(n_17619),
+	.B2(n_29513),
+	.X(n_25239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905258 (
+	.A(n_78545),
+	.B(n_24877),
+	.C(soc_top_u_dccm_rdata1[24]),
+	.D(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.Y(n_25236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g905260 (
+	.A1(n_17203),
+	.A2(n_29512),
+	.B1(n_17618),
+	.B2(n_29513),
+	.Y(n_25234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 g905264 (
+	.A1(n_24805),
+	.A2(n_24806),
+	.A3(n_24804),
+	.A4(n_24803),
+	.B1(n_24486),
+	.Y(n_25230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 g905266 (
+	.A1(n_24794),
+	.A2(n_24795),
+	.A3(n_24792),
+	.A4(n_24793),
+	.B1(n_24486),
+	.Y(n_25228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 g905267 (
+	.A1(n_24790),
+	.A2(n_24791),
+	.A3(n_24788),
+	.A4(n_24789),
+	.B1(n_24486),
+	.Y(n_25227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 g905268 (
+	.A1(n_24759),
+	.A2(n_24758),
+	.A3(n_24756),
+	.A4(n_24757),
+	.B1(n_24486),
+	.Y(n_25226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 g905269 (
+	.A1(n_24746),
+	.A2(n_24747),
+	.A3(n_24744),
+	.A4(n_24745),
+	.B1(n_24486),
+	.Y(n_25225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g905270 (
+	.A1(FE_DBTN145_soc_top_u_top_u_core_rf_raddr_b_4),
+	.A2(n_29512),
+	.B1(n_17621),
+	.B2(n_29513),
+	.X(n_25224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g905273 (
+	.A1(n_24856),
+	.A2(soc_top_u_top_u_core_rf_wdata_fwd_wb[6]),
+	.B1(n_25172),
+	.Y(n_25221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_2 g905274 (
+	.A1(n_84877),
+	.A2(n_24884),
+	.B1(n_25025),
+	.X(n_25220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905275 (
+	.A(n_24989),
+	.B(n_25169),
+	.Y(n_25219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g905276 (
+	.A1(n_84877),
+	.A2(n_24925),
+	.B1(n_25002),
+	.X(n_25218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905277 (
+	.A(n_25015),
+	.B(n_25144),
+	.Y(n_25217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32a_1 g905279 (
+	.A1(n_23964),
+	.A2(n_35057),
+	.A3(n_23967),
+	.B1(n_17022),
+	.B2(n_29513),
+	.X(n_25215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g905280 (
+	.A1(n_24891),
+	.A2(n_24414),
+	.B1(n_24415),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[31]),
+	.X(n_29679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905282 (
+	.A(n_43186),
+	.B(soc_top_u_dccm_rdata3[8]),
+	.Y(n_25214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_2 g905283 (
+	.A1(n_25010),
+	.A2(n_24414),
+	.B1(n_24415),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[28]),
+	.X(n_29673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g905284 (
+	.A1(n_24415),
+	.A2(soc_top_u_top_u_core_rf_wdata_fwd_wb[4]),
+	.B1(n_25117),
+	.Y(n_25243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_4 g905285 (
+	.A1_N(n_24414),
+	.A2_N(n_35531),
+	.B1(n_17368),
+	.B2(n_24414),
+	.Y(n_29624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_2 g905287 (
+	.A1(n_24415),
+	.A2(soc_top_u_top_u_core_rf_wdata_fwd_wb[24]),
+	.B1(n_25115),
+	.X(n_29665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g905288 (
+	.A1(n_24415),
+	.A2(n_24914),
+	.B1(n_24850),
+	.Y(n_29620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g905290 (
+	.A1_N(n_24414),
+	.A2_N(n_24944),
+	.B1(n_17311),
+	.B2(n_24414),
+	.Y(n_29618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g905291 (
+	.A1_N(n_24414),
+	.A2_N(n_24898),
+	.B1(n_16639),
+	.B2(n_24414),
+	.Y(n_29647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 g905294 (
+	.A1(n_43089),
+	.A2(n_24414),
+	.B1(n_25112),
+	.Y(n_29645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g905295 (
+	.A_N(n_24851),
+	.B(n_25176),
+	.Y(n_29629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g905296 (
+	.A1(n_17306),
+	.A2(n_24414),
+	.B1(n_25111),
+	.Y(n_29675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_2 g905297 (
+	.A1(n_24415),
+	.A2(soc_top_u_top_u_core_rf_wdata_fwd_wb[13]),
+	.B1(n_25110),
+	.X(n_29643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g905298 (
+	.A1_N(n_24414),
+	.A2_N(n_76053),
+	.B1(n_80781),
+	.B2(n_24414),
+	.Y(n_29661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g905299 (
+	.A1_N(n_24414),
+	.A2_N(n_24991),
+	.B1(n_48939),
+	.B2(n_24414),
+	.Y(n_29667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g905300 (
+	.A1_N(n_24414),
+	.A2_N(n_24987),
+	.B1(n_16647),
+	.B2(n_24414),
+	.Y(n_29655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_4 g905302 (
+	.A1_N(n_16706),
+	.A2_N(n_24414),
+	.B1(n_24414),
+	.B2(n_35524),
+	.X(n_29633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_4 g905303 (
+	.A1_N(n_24414),
+	.A2_N(n_24983),
+	.B1(n_16683),
+	.B2(n_24414),
+	.Y(n_29657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g905304 (
+	.A(n_25174),
+	.B(n_24852),
+	.Y(n_29635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_4 g905305 (
+	.A1_N(n_24414),
+	.A2_N(n_35526),
+	.B1(n_39637),
+	.B2(n_24414),
+	.Y(n_29637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_2 g905306 (
+	.A1(n_24978),
+	.A2(n_24414),
+	.B1(n_24415),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[26]),
+	.X(n_29669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_4 g905307 (
+	.A1_N(n_24414),
+	.A2_N(n_24974),
+	.B1(n_16678),
+	.B2(n_24414),
+	.Y(n_29659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 g905308 (
+	.A1(n_48246),
+	.A2(n_24414),
+	.B1(n_25173),
+	.Y(n_29639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_4 g905309 (
+	.A1_N(soc_top_u_top_u_core_rf_wdata_fwd_wb[12]),
+	.A2_N(n_24415),
+	.B1(n_24415),
+	.B2(n_24982),
+	.Y(n_29641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_4 g905310 (
+	.A1_N(n_24414),
+	.A2_N(n_25021),
+	.B1(n_17237),
+	.B2(n_24414),
+	.Y(n_29677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_4 g905311 (
+	.A1_N(n_24414),
+	.A2_N(n_35520),
+	.B1(n_16651),
+	.B2(n_24414),
+	.Y(n_29627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 g905312 (
+	.A1(n_16689),
+	.A2(n_24414),
+	.B1(n_25088),
+	.Y(n_29653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g905321 (
+	.A(n_81272),
+	.Y(n_25204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g905324 (
+	.A(n_25130),
+	.Y(n_25201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g905329 (
+	.A(n_59724),
+	.Y(n_25194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g905333 (
+	.A(n_90673),
+	.Y(n_25186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g905340 (
+	.A1(n_24732),
+	.A2(n_24504),
+	.B1(n_84877),
+	.X(n_25177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g905341 (
+	.A(n_87021),
+	.B(n_24414),
+	.Y(n_25176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g905343 (
+	.A1(n_24549),
+	.A2(n_24702),
+	.B1(n_24414),
+	.Y(n_25174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g905344 (
+	.A1(n_24557),
+	.A2(n_24721),
+	.B1(n_24414),
+	.Y(n_25173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g905345 (
+	.A1(n_24566),
+	.A2(n_24501),
+	.B1(n_84877),
+	.Y(n_25172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g905346 (
+	.A1(n_24506),
+	.A2(n_24573),
+	.B1(n_25028),
+	.Y(n_25171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g905347 (
+	.A1(n_24508),
+	.A2(n_24576),
+	.B1(n_25028),
+	.Y(n_25170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g905348 (
+	.A1(n_24581),
+	.A2(n_24509),
+	.B1(n_84877),
+	.Y(n_25169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g905349 (
+	.A1(n_24544),
+	.A2(n_24582),
+	.B1(n_25028),
+	.Y(n_25168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905351 (
+	.A(n_35528),
+	.B(n_25027),
+	.Y(n_25166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g905352 (
+	.A(n_25046),
+	.B(n_25027),
+	.Y(n_25165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905353 (
+	.A(n_25048),
+	.B(n_25027),
+	.Y(n_25164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905354 (
+	.A(n_25050),
+	.B(n_25027),
+	.Y(n_25163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905355 (
+	.A(n_35527),
+	.B(n_25027),
+	.Y(n_25162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905356 (
+	.A(n_35543),
+	.B(n_25027),
+	.Y(n_25161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905357 (
+	.A(n_35542),
+	.B(n_25027),
+	.Y(n_25160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905358 (
+	.A(n_24957),
+	.B(n_25027),
+	.Y(n_25159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905359 (
+	.A(n_24962),
+	.B(n_25027),
+	.Y(n_25158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g905360 (
+	.A1(n_24512),
+	.A2(n_24586),
+	.B1(n_25028),
+	.Y(n_25157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g905361 (
+	.A1(n_24513),
+	.A2(n_24590),
+	.B1(n_25028),
+	.Y(n_25156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g905362 (
+	.A1(n_24515),
+	.A2(n_24595),
+	.B1(n_25028),
+	.Y(n_25155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g905363 (
+	.A1(n_24610),
+	.A2(n_24518),
+	.B1(n_84877),
+	.X(n_25154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905364 (
+	.A(n_35535),
+	.B(n_25028),
+	.Y(n_25153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905367 (
+	.A(n_24647),
+	.B(n_24886),
+	.Y(n_25151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_2 g905368 (
+	.A1(n_24739),
+	.A2(n_24563),
+	.B1(n_84877),
+	.X(n_25150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g905369 (
+	.A1(n_24737),
+	.A2(n_24562),
+	.B1(n_84877),
+	.X(n_25149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g905374 (
+	.A1(n_24717),
+	.A2(n_24554),
+	.B1(n_84877),
+	.Y(n_25144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g905375 (
+	.A1(n_24551),
+	.A2(n_24711),
+	.B1(n_25028),
+	.Y(n_25143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905376 (
+	.A(n_35525),
+	.B(n_25028),
+	.Y(n_25142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g905377 (
+	.A1(n_24703),
+	.A2(n_24548),
+	.B1(n_84877),
+	.X(n_25141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g905379 (
+	.A1(n_24543),
+	.A2(n_24693),
+	.B1(n_25028),
+	.Y(n_25139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g905380 (
+	.A1(n_24688),
+	.A2(n_24540),
+	.B1(n_84877),
+	.X(n_25138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g905384 (
+	.A1(n_24661),
+	.A2(n_24529),
+	.B1(n_84877),
+	.X(n_25134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g905385 (
+	.A1(n_24640),
+	.A2(n_24524),
+	.B1(n_84877),
+	.X(n_25133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905386 (
+	.A(n_25030),
+	.B(n_72751),
+	.Y(n_25213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g905387 (
+	.A(n_63308),
+	.B(n_17897),
+	.Y(n_25132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g905389 (
+	.A(soc_top_u_dccm_bank_sel[0]),
+	.B(n_49441),
+	.C(soc_top_u_dccm_bank_sel[1]),
+	.Y(n_25130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_4 g905391 (
+	.A_N(soc_top_u_dccm_bank_sel[0]),
+	.B(soc_top_u_dccm_bank_sel[1]),
+	.C(n_24879),
+	.Y(n_25197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g905404 (
+	.A(n_25121),
+	.Y(n_25122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__bufinv_16 g905405 (
+	.A(n_25120),
+	.Y(n_25119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g905407 (
+	.A1(n_36414),
+	.A2(n_24531),
+	.B1(n_24415),
+	.Y(n_25117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g905409 (
+	.A1(n_24627),
+	.A2(n_24526),
+	.B1(n_24415),
+	.Y(n_25115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g905412 (
+	.A(n_24889),
+	.B(n_24414),
+	.Y(n_25112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g905413 (
+	.A1(n_24705),
+	.A2(n_24507),
+	.B1(n_24414),
+	.Y(n_25111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g905414 (
+	.A1(n_24567),
+	.A2(n_24505),
+	.B1(n_24415),
+	.Y(n_25110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g905415 (
+	.A1(n_24653),
+	.A2(n_24652),
+	.B1(n_24483),
+	.X(n_25109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g905417 (
+	.A1(n_24612),
+	.A2(n_24613),
+	.B1(n_24487),
+	.Y(n_25107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g905418 (
+	.A1(n_24609),
+	.A2(n_24611),
+	.B1(n_24487),
+	.Y(n_25106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g905419 (
+	.A1(n_24607),
+	.A2(n_24608),
+	.B1(n_24487),
+	.Y(n_25105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g905420 (
+	.A1(n_24604),
+	.A2(n_24605),
+	.B1(n_24487),
+	.X(n_25104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g905423 (
+	.A1(n_24594),
+	.A2(n_24596),
+	.B1(n_24487),
+	.Y(n_25101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g905424 (
+	.A1(FE_DBTN146_soc_top_u_top_u_core_instr_rdata_alu_id_16),
+	.A2(n_24682),
+	.B1(n_17622),
+	.B2(n_29864),
+	.X(n_25100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_2 g905425 (
+	.A1(n_75558),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [14]),
+	.B1(n_24001),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [14]),
+	.C1(n_66475),
+	.Y(n_25099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_4 g905426 (
+	.A1(n_75558),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [2]),
+	.B1(n_24001),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [2]),
+	.C1(n_66474),
+	.Y(n_25098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_2 g905428 (
+	.A1(n_75558),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [5]),
+	.B1(n_35028),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [5]),
+	.C1(n_66472),
+	.Y(n_25096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905429 (
+	.A1(n_35028),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [13]),
+	.B1(n_24001),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [13]),
+	.C1(n_66471),
+	.Y(n_25095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905432 (
+	.A1(n_75558),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [11]),
+	.B1(n_35028),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [11]),
+	.C1(n_66470),
+	.Y(n_25092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g905434 (
+	.A1(n_75558),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [12]),
+	.B1(n_24001),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [12]),
+	.C1(n_66468),
+	.X(n_25090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g905435 (
+	.A1_N(FE_DBTN147_soc_top_u_top_u_core_instr_rdata_alu_id_17),
+	.A2_N(n_24682),
+	.B1(soc_top_u_top_u_core_pc_id[2]),
+	.B2(n_24488),
+	.Y(n_25089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905436 (
+	.A(n_35519),
+	.B(n_24414),
+	.Y(n_25088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g905437 (
+	.A1(n_24681),
+	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[18]),
+	.B1(n_24488),
+	.B2(soc_top_u_top_u_core_pc_id[3]),
+	.Y(n_25087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g905438 (
+	.A1_N(n_12096),
+	.A2_N(n_24682),
+	.B1(soc_top_u_top_u_core_pc_id[4]),
+	.B2(n_24488),
+	.Y(n_25086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905439 (
+	.A(n_24802),
+	.B(n_24836),
+	.C(n_24834),
+	.D(n_24835),
+	.Y(n_25085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905440 (
+	.A(n_24832),
+	.B(n_24833),
+	.C(n_24830),
+	.D(n_24831),
+	.Y(n_25084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905441 (
+	.A(n_24829),
+	.B(n_24828),
+	.C(n_24827),
+	.D(n_24826),
+	.Y(n_25083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905442 (
+	.A(n_24824),
+	.B(n_24825),
+	.C(n_24823),
+	.D(n_24822),
+	.Y(n_25082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905443 (
+	.A(n_24820),
+	.B(n_24821),
+	.C(n_24800),
+	.D(n_24819),
+	.Y(n_25081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905444 (
+	.A(n_24817),
+	.B(n_24818),
+	.C(n_24816),
+	.D(n_24815),
+	.Y(n_25080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905445 (
+	.A(n_24813),
+	.B(n_24814),
+	.C(n_24812),
+	.D(n_24811),
+	.Y(n_25079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905446 (
+	.A(n_24809),
+	.B(n_24810),
+	.C(n_24808),
+	.D(n_24807),
+	.Y(n_25078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905447 (
+	.A(n_24798),
+	.B(n_24799),
+	.C(n_24797),
+	.D(n_24796),
+	.Y(n_25077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905448 (
+	.A(n_24786),
+	.B(n_24787),
+	.C(n_24785),
+	.D(n_24784),
+	.Y(n_25076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905449 (
+	.A(n_24783),
+	.B(n_24782),
+	.C(n_24781),
+	.D(n_24780),
+	.Y(n_25075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905450 (
+	.A(n_24778),
+	.B(n_24779),
+	.C(n_24776),
+	.D(n_24777),
+	.Y(n_25074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905451 (
+	.A(n_24774),
+	.B(n_24772),
+	.C(n_24773),
+	.D(n_24775),
+	.Y(n_25073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905452 (
+	.A(n_24770),
+	.B(n_24771),
+	.C(n_24768),
+	.D(n_24769),
+	.Y(n_25072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905453 (
+	.A(n_24766),
+	.B(n_24765),
+	.C(n_24764),
+	.D(n_24767),
+	.Y(n_25071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905454 (
+	.A(n_24762),
+	.B(n_24763),
+	.C(n_24761),
+	.D(n_24760),
+	.Y(n_25070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905455 (
+	.A(n_24752),
+	.B(n_24755),
+	.C(n_24753),
+	.D(n_24754),
+	.Y(n_25069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905456 (
+	.A(n_24750),
+	.B(n_24751),
+	.C(n_24748),
+	.D(n_24749),
+	.Y(n_25068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905457 (
+	.A(n_24839),
+	.B(n_24743),
+	.C(n_24837),
+	.D(n_24838),
+	.Y(n_25067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905458 (
+	.A(n_93049),
+	.B(n_24084),
+	.Y(n_31674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_2 g905460 (
+	.A1(n_19562),
+	.A2(n_24491),
+	.B1(n_19598),
+	.B2(n_76661),
+	.C1(n_24847),
+	.X(n_25065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_2 g905461 (
+	.A1(n_19562),
+	.A2(n_22749),
+	.B1(n_18362),
+	.B2(n_24214),
+	.C1(n_24848),
+	.X(n_25064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g905464 (
+	.A(n_66467),
+	.B(n_24565),
+	.X(n_25121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905466 (
+	.A(n_24887),
+	.B(n_66476),
+	.Y(n_25120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g905470 (
+	.A(n_25050),
+	.Y(n_25051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g905471 (
+	.A(n_25048),
+	.Y(n_25049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g905472 (
+	.A(n_25046),
+	.Y(n_25047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g905473 (
+	.A(n_35527),
+	.Y(n_25041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g905474 (
+	.A(n_35528),
+	.Y(n_25039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g905477 (
+	.A(n_25031),
+	.Y(n_25030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g905478 (
+	.A(n_84877),
+	.Y(n_25028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905480 (
+	.A(n_24856),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[7]),
+	.Y(n_25025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g905481 (
+	.A(n_24675),
+	.B_N(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
+	.Y(n_25024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905482 (
+	.A(n_24856),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[5]),
+	.Y(n_25023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905484 (
+	.A(n_24691),
+	.B(n_24532),
+	.Y(n_25021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905485 (
+	.A(n_24856),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[4]),
+	.Y(n_25020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905486 (
+	.A(n_24856),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[27]),
+	.Y(n_25019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905487 (
+	.A(n_24856),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[3]),
+	.Y(n_25018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905488 (
+	.A(n_24856),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[2]),
+	.Y(n_25017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905489 (
+	.A(n_24856),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[26]),
+	.Y(n_25016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g905490 (
+	.A(n_24855),
+	.B_N(soc_top_u_top_u_core_rf_wdata_fwd_wb[1]),
+	.Y(n_25015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905491 (
+	.A(n_24856),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[25]),
+	.Y(n_25014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905494 (
+	.A(n_24856),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[24]),
+	.Y(n_25012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905495 (
+	.A(n_24877),
+	.B(soc_top_u_dccm_rdata2[31]),
+	.Y(n_25060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905496 (
+	.A(n_24877),
+	.B(soc_top_u_dccm_rdata3[31]),
+	.Y(n_25059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905498 (
+	.A(n_24614),
+	.B(n_24536),
+	.Y(n_25010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905500 (
+	.A(n_24856),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[23]),
+	.Y(n_25008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905501 (
+	.A(n_24856),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[21]),
+	.Y(n_25007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905502 (
+	.A(n_24856),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[20]),
+	.Y(n_25006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905503 (
+	.A(n_24856),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[19]),
+	.Y(n_25005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905504 (
+	.A(FE_DBTN148_soc_top_u_top_u_core_instr_rdata_alu_id_18),
+	.B(n_29613),
+	.Y(n_25004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905506 (
+	.A(n_24856),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[16]),
+	.Y(n_25002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905507 (
+	.A(n_24856),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[15]),
+	.Y(n_25001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g905508 (
+	.A(n_29613),
+	.B_N(soc_top_u_top_u_core_instr_rdata_id[14]),
+	.Y(n_25000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905509 (
+	.A(n_24856),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[14]),
+	.Y(n_24999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905510 (
+	.A(n_24856),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[13]),
+	.Y(n_24998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905511 (
+	.A(n_24856),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[12]),
+	.Y(n_24997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905514 (
+	.A(n_24856),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[11]),
+	.Y(n_24994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905515 (
+	.A(n_24856),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[10]),
+	.Y(n_24993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905516 (
+	.A(n_24856),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[30]),
+	.Y(n_24992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905517 (
+	.A(n_24842),
+	.B(n_24539),
+	.Y(n_24991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905518 (
+	.A(n_24856),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[31]),
+	.Y(n_24990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g905519 (
+	.A(n_24855),
+	.B_N(soc_top_u_top_u_core_rf_wdata_fwd_wb[9]),
+	.Y(n_24989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905520 (
+	.A(n_24856),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[28]),
+	.Y(n_24988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905521 (
+	.A(n_24686),
+	.B(n_24541),
+	.Y(n_24987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905525 (
+	.A(n_24698),
+	.B(n_24547),
+	.Y(n_24983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g905526 (
+	.A(n_24731),
+	.B(n_24561),
+	.Y(n_24982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905530 (
+	.A(n_24704),
+	.B(n_24553),
+	.Y(n_24978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905531 (
+	.A(n_24559),
+	.B(n_24727),
+	.Y(n_24977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g905534 (
+	.A(n_24713),
+	.B(n_24556),
+	.Y(n_24974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g905535 (
+	.A(soc_top_u_dccm_bank_sel[1]),
+	.B(n_24879),
+	.Y(n_25055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905536 (
+	.A(n_24718),
+	.B(n_24720),
+	.Y(n_25054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905537 (
+	.A(n_24725),
+	.B(n_24726),
+	.Y(n_25053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g905538 (
+	.A(n_24716),
+	.B(n_24712),
+	.Y(n_25052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905539 (
+	.A(n_24715),
+	.B(n_24714),
+	.Y(n_25050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905540 (
+	.A(n_24730),
+	.B(n_24729),
+	.Y(n_25048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g905541 (
+	.A(n_73550),
+	.B(n_36412),
+	.Y(n_25046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g905542 (
+	.A(n_24707),
+	.B(n_24706),
+	.Y(n_25045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g905543 (
+	.A(n_35551),
+	.B(n_24735),
+	.Y(n_25044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905544 (
+	.A(n_24699),
+	.B(n_35553),
+	.Y(n_25043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905545 (
+	.A(n_35548),
+	.B(n_24741),
+	.Y(n_25042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g905546 (
+	.A(n_49441),
+	.B(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.Y(n_24973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905549 (
+	.A(n_35556),
+	.B(n_24692),
+	.Y(n_25037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g905550 (
+	.A(soc_top_u_dccm_bank_sel[1]),
+	.B(n_24879),
+	.Y(n_24972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905551 (
+	.A(n_75848),
+	.B(n_49441),
+	.Y(n_25035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g905552 (
+	.A(n_35557),
+	.B(n_24687),
+	.Y(n_25034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905553 (
+	.A(n_24841),
+	.B(n_24840),
+	.Y(n_25033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905554 (
+	.A(n_24877),
+	.B(soc_top_u_dccm_bank_sel[1]),
+	.Y(n_24971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g905556 (
+	.A(n_24676),
+	.B(soc_top_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[0]),
+	.Y(n_29513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g905557 (
+	.A(n_24676),
+	.B(n_16633),
+	.Y(n_29512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g905558 (
+	.A(n_24676),
+	.B(soc_top_u_top_u_core_instr_rdata_id[31]),
+	.Y(n_25031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g905560 (
+	.A(n_17877),
+	.B(n_24855),
+	.X(n_25027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g905561 (
+	.A(n_24962),
+	.Y(n_24963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g905562 (
+	.A(n_24957),
+	.Y(n_24958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g905563 (
+	.A(n_35542),
+	.Y(n_24954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g905564 (
+	.A(n_35543),
+	.Y(n_24951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905566 (
+	.A(n_24619),
+	.B(n_24520),
+	.Y(n_24944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g905568 (
+	.A(n_23453),
+	.B(n_23454),
+	.C(n_24266),
+	.D(n_24585),
+	.Y(n_24942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g905569 (
+	.A(n_23442),
+	.B(n_23443),
+	.C(n_24263),
+	.D(n_24673),
+	.Y(n_24941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g905571 (
+	.A(n_23433),
+	.B(n_23434),
+	.C(n_24259),
+	.D(n_24672),
+	.Y(n_24939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g905572 (
+	.A(n_23420),
+	.B(n_23421),
+	.C(n_24252),
+	.D(n_24670),
+	.Y(n_24938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g905573 (
+	.A(n_23413),
+	.B(n_23415),
+	.C(n_24250),
+	.D(n_24667),
+	.Y(n_24937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g905575 (
+	.A(n_23395),
+	.B(n_23399),
+	.C(n_24246),
+	.D(n_24666),
+	.Y(n_24935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g905576 (
+	.A(n_23393),
+	.B(n_23394),
+	.C(n_24244),
+	.D(n_24664),
+	.Y(n_24934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g905577 (
+	.A(n_23382),
+	.B(n_23383),
+	.C(n_24242),
+	.D(n_24662),
+	.Y(n_24933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g905578 (
+	.A(n_23374),
+	.B(n_23375),
+	.C(n_24238),
+	.D(n_24660),
+	.Y(n_24932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g905580 (
+	.A(n_23367),
+	.B(n_23368),
+	.C(n_24234),
+	.D(n_24659),
+	.Y(n_24930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g905583 (
+	.A(n_23355),
+	.B(n_23357),
+	.C(n_24228),
+	.D(n_24656),
+	.Y(n_24927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g905584 (
+	.A(n_23346),
+	.B(n_23348),
+	.C(n_24225),
+	.D(n_24654),
+	.Y(n_24926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905585 (
+	.A(n_24525),
+	.B(n_76055),
+	.Y(n_24925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g905586 (
+	.A(n_23320),
+	.B(n_23322),
+	.C(n_24402),
+	.D(n_24649),
+	.Y(n_24924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g905587 (
+	.A(n_23308),
+	.B(n_23310),
+	.C(n_24408),
+	.D(n_24648),
+	.Y(n_24923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g905589 (
+	.A(n_23299),
+	.B(n_23300),
+	.C(n_24411),
+	.D(n_24645),
+	.Y(n_24921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g905590 (
+	.A(n_23951),
+	.B(n_23949),
+	.C(n_24107),
+	.D(n_24643),
+	.Y(n_24920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g905591 (
+	.A(n_23290),
+	.B(n_23291),
+	.C(n_24206),
+	.D(n_24642),
+	.Y(n_24919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g905592 (
+	.A(n_23280),
+	.B(n_23282),
+	.C(n_24203),
+	.D(n_24641),
+	.Y(n_24918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g905593 (
+	.A(n_23273),
+	.B(n_23274),
+	.C(n_24200),
+	.D(n_24639),
+	.Y(n_24917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g905594 (
+	.A(n_23265),
+	.B(n_23267),
+	.C(n_24198),
+	.D(n_24637),
+	.Y(n_24916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905596 (
+	.A(n_24633),
+	.B(n_24523),
+	.Y(n_24914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g905597 (
+	.A(n_23255),
+	.B(n_23257),
+	.C(n_24193),
+	.D(n_24635),
+	.Y(n_24913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905598 (
+	.A(n_24626),
+	.B(n_24522),
+	.Y(n_24912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g905599 (
+	.A(n_23244),
+	.B(n_23246),
+	.C(n_24191),
+	.D(n_24634),
+	.Y(n_24911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g905601 (
+	.A(n_23235),
+	.B(n_23236),
+	.C(n_24190),
+	.D(n_24632),
+	.Y(n_24909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g905602 (
+	.A(n_23225),
+	.B(n_23226),
+	.C(n_24184),
+	.D(n_24631),
+	.Y(n_24908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g905603 (
+	.A(n_23213),
+	.B(n_23214),
+	.C(n_24182),
+	.D(n_24629),
+	.Y(n_24907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g905604 (
+	.A1(n_24001),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [3]),
+	.B1(n_35028),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [3]),
+	.C1(n_29033),
+	.X(n_24906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g905605 (
+	.A(n_23204),
+	.B(n_23205),
+	.C(n_24181),
+	.D(n_24625),
+	.Y(n_24905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g905606 (
+	.A(n_23195),
+	.B(n_23196),
+	.C(n_24178),
+	.D(n_24623),
+	.Y(n_24904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g905607 (
+	.A(n_23463),
+	.B(n_23464),
+	.C(n_24269),
+	.D(n_24846),
+	.Y(n_24903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g905608 (
+	.A(n_23179),
+	.B(n_23181),
+	.C(n_24174),
+	.D(n_24622),
+	.Y(n_24902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g905610 (
+	.A(n_23168),
+	.B(n_23170),
+	.C(n_24170),
+	.D(n_24620),
+	.Y(n_24900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g905611 (
+	.A1(n_24001),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [15]),
+	.B1(n_35028),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [15]),
+	.C1(n_29033),
+	.X(n_24899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905612 (
+	.A(n_24597),
+	.B(n_24517),
+	.Y(n_24898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905617 (
+	.A(n_24572),
+	.B(n_24514),
+	.Y(n_24893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g905618 (
+	.A(n_23043),
+	.B(n_23045),
+	.C(n_24145),
+	.D(n_24588),
+	.Y(n_24892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905619 (
+	.A(n_24511),
+	.B(n_24143),
+	.C(n_23026),
+	.D(n_23028),
+	.Y(n_24891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905621 (
+	.A(n_35563),
+	.B(n_24510),
+	.Y(n_24889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905623 (
+	.A1(n_75558),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [16]),
+	.B1(n_24001),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [16]),
+	.C1(n_22739),
+	.Y(n_24887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905624 (
+	.A(n_24499),
+	.B(n_24412),
+	.Y(n_24886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905626 (
+	.A(n_24503),
+	.B(n_24571),
+	.Y(n_24884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905628 (
+	.A(n_24569),
+	.B(n_24709),
+	.Y(n_24969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g905629 (
+	.A(n_35562),
+	.B(n_24646),
+	.Y(n_24968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905630 (
+	.A(n_24636),
+	.B(n_24638),
+	.Y(n_24967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g905631 (
+	.A(n_24568),
+	.B(n_24570),
+	.Y(n_24966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905632 (
+	.A(n_24574),
+	.B(n_24575),
+	.Y(n_24965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_2 g905633 (
+	.A1(n_23617),
+	.A2(n_43916),
+	.B1(n_18044),
+	.C1(n_19616),
+	.D1(n_23997),
+	.X(n_24964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905634 (
+	.A(n_24701),
+	.B(n_24578),
+	.Y(n_24962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905635 (
+	.A(n_24577),
+	.B(n_24579),
+	.Y(n_24961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905636 (
+	.A(n_24583),
+	.B(n_24584),
+	.Y(n_24960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g905637 (
+	.A(n_24674),
+	.B(n_24587),
+	.Y(n_24959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905638 (
+	.A(n_24593),
+	.B(n_24591),
+	.Y(n_24957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905639 (
+	.A(n_24592),
+	.B(n_24600),
+	.Y(n_24956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905640 (
+	.A(n_24602),
+	.B(n_24606),
+	.Y(n_24955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g905642 (
+	.A(n_24624),
+	.B(n_24628),
+	.Y(n_24952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g905644 (
+	.A(n_35561),
+	.B(n_76054),
+	.Y(n_24949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g905647 (
+	.A(n_16540),
+	.B(n_58322),
+	.X(n_24945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g905649 (
+	.A(n_29613),
+	.Y(n_24876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g905656 (
+	.A(n_24855),
+	.Y(n_24856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905671 (
+	.A(n_24415),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[9]),
+	.Y(n_24852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g905672 (
+	.A(n_24415),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[6]),
+	.X(n_24851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905673 (
+	.A(n_24415),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[1]),
+	.Y(n_24850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905674 (
+	.A(n_35616),
+	.B(n_35617),
+	.Y(n_24849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g905675 (
+	.A(n_19598),
+	.B(n_74713),
+	.X(n_24848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g905676 (
+	.A(n_18362),
+	.B(n_24215),
+	.X(n_24847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905677 (
+	.A(n_23458),
+	.B(n_23460),
+	.C(n_23461),
+	.D(n_23457),
+	.Y(n_24846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g905678 (
+	.A(n_35615),
+	.B(n_36417),
+	.Y(n_24845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905681 (
+	.A(n_35612),
+	.B(n_24257),
+	.Y(n_24842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905682 (
+	.A(n_23480),
+	.B(n_23479),
+	.C(n_23476),
+	.D(n_23477),
+	.Y(n_24841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905683 (
+	.A(n_23484),
+	.B(n_35736),
+	.C(n_35735),
+	.D(n_23485),
+	.Y(n_24840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905684 (
+	.A1(n_19582),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [9]),
+	.B1(n_19579),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [9]),
+	.C1(n_24122),
+	.Y(n_24839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905685 (
+	.A1(n_19589),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [9]),
+	.B1(n_19573),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [9]),
+	.C1(n_24121),
+	.Y(n_24838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905686 (
+	.A1(n_19577),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [9]),
+	.B1(n_19575),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [9]),
+	.C1(n_24120),
+	.Y(n_24837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905687 (
+	.A1(n_18355),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [8]),
+	.B1(n_18352),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [8]),
+	.C1(n_24119),
+	.Y(n_24836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905688 (
+	.A1(n_19589),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [8]),
+	.B1(n_19573),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [8]),
+	.C1(n_24117),
+	.Y(n_24835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905689 (
+	.A1(n_19577),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [8]),
+	.B1(n_19575),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [8]),
+	.C1(n_24116),
+	.Y(n_24834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905690 (
+	.A1(n_18355),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [7]),
+	.B1(n_18352),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [7]),
+	.C1(n_24115),
+	.Y(n_24833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905691 (
+	.A1(n_19571),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [7]),
+	.B1(n_19586),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [7]),
+	.C1(n_24114),
+	.Y(n_24832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905692 (
+	.A1(n_19587),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [7]),
+	.B1(n_19596),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [7]),
+	.C1(n_24113),
+	.Y(n_24831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905693 (
+	.A1(n_19577),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [7]),
+	.B1(n_19575),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [7]),
+	.C1(n_24112),
+	.Y(n_24830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905694 (
+	.A1(n_19566),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [6]),
+	.B1(n_19590),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [6]),
+	.C1(n_24111),
+	.Y(n_24829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905695 (
+	.A1(n_19563),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [6]),
+	.B1(n_19584),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [6]),
+	.C1(n_24110),
+	.Y(n_24828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905696 (
+	.A1(n_19589),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [6]),
+	.B1(n_19573),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [6]),
+	.C1(n_24109),
+	.Y(n_24827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905697 (
+	.A1(n_19564),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [6]),
+	.B1(n_19583),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [6]),
+	.C1(n_24108),
+	.Y(n_24826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905698 (
+	.A1(n_19566),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [5]),
+	.B1(n_19590),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [5]),
+	.C1(n_24210),
+	.Y(n_24825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905699 (
+	.A1(n_19595),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [5]),
+	.B1(n_19593),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [5]),
+	.C1(n_24106),
+	.Y(n_24824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905700 (
+	.A1(n_19589),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [5]),
+	.B1(n_19573),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [5]),
+	.C1(n_24105),
+	.Y(n_24823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905701 (
+	.A1(n_19577),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [5]),
+	.B1(n_19575),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [5]),
+	.C1(n_24104),
+	.Y(n_24822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905702 (
+	.A1(n_19566),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [4]),
+	.B1(n_19590),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [4]),
+	.C1(n_24103),
+	.Y(n_24821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905703 (
+	.A1(n_19571),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [4]),
+	.B1(n_19586),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [4]),
+	.C1(n_24082),
+	.Y(n_24820), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905704 (
+	.A1(n_19577),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [4]),
+	.B1(n_19575),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [4]),
+	.C1(n_24101),
+	.Y(n_24819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905705 (
+	.A1(n_19566),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [3]),
+	.B1(n_19590),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [3]),
+	.C1(n_24100),
+	.Y(n_24818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905706 (
+	.A1(n_19582),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [3]),
+	.B1(n_19579),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [3]),
+	.C1(n_24099),
+	.Y(n_24817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905707 (
+	.A1(n_19589),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [3]),
+	.B1(n_19573),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [3]),
+	.C1(n_24098),
+	.Y(n_24816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905708 (
+	.A1(n_18348),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [3]),
+	.B1(n_18344),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [3]),
+	.C1(n_24097),
+	.Y(n_24815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905709 (
+	.A1(n_19571),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [2]),
+	.B1(n_19586),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [2]),
+	.C1(n_24095),
+	.Y(n_24814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905710 (
+	.A1(n_19566),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [2]),
+	.B1(n_19590),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [2]),
+	.C1(n_24096),
+	.Y(n_24813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905711 (
+	.A1(n_19592),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [2]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [2]),
+	.C1(n_24094),
+	.Y(n_24812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905712 (
+	.A1(n_18348),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [2]),
+	.B1(n_18344),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [2]),
+	.C1(n_24093),
+	.Y(n_24811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905713 (
+	.A1(n_18355),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [1]),
+	.B1(n_18352),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [1]),
+	.C1(n_24092),
+	.Y(n_24810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905714 (
+	.A1(n_19582),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [1]),
+	.B1(n_19579),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [1]),
+	.C1(n_24091),
+	.Y(n_24809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905715 (
+	.A1(n_19592),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [1]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [1]),
+	.C1(n_24090),
+	.Y(n_24808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905716 (
+	.A1(n_18348),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [1]),
+	.B1(n_18344),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [1]),
+	.C1(n_24089),
+	.Y(n_24807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905717 (
+	.A1(n_19566),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [0]),
+	.B1(n_19590),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [0]),
+	.C1(n_24088),
+	.Y(n_24806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905718 (
+	.A1(n_19571),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [0]),
+	.B1(n_19586),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [0]),
+	.C1(n_24087),
+	.Y(n_24805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905719 (
+	.A1(n_19592),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [0]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [0]),
+	.C1(n_24085),
+	.Y(n_24804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905720 (
+	.A1(n_19577),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [0]),
+	.B1(n_19575),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [0]),
+	.C1(n_24086),
+	.Y(n_24803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905721 (
+	.A1(n_19582),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [8]),
+	.B1(n_19579),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [8]),
+	.C1(n_24118),
+	.Y(n_24802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905723 (
+	.A1(n_19589),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [4]),
+	.B1(n_19573),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [4]),
+	.C1(n_24102),
+	.Y(n_24800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905724 (
+	.A1(n_19566),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [31]),
+	.B1(n_19590),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [31]),
+	.C1(n_24081),
+	.Y(n_24799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905725 (
+	.A1(n_19595),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [31]),
+	.B1(n_19593),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [31]),
+	.C1(n_24080),
+	.Y(n_24798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905726 (
+	.A1(n_19587),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [31]),
+	.B1(n_19596),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [31]),
+	.C1(n_24079),
+	.Y(n_24797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905727 (
+	.A1(n_19564),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [31]),
+	.B1(n_19583),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [31]),
+	.C1(n_24078),
+	.Y(n_24796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905728 (
+	.A1(n_18355),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [22]),
+	.B1(n_18352),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [22]),
+	.C1(n_24077),
+	.Y(n_24795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905729 (
+	.A1(n_19582),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [22]),
+	.B1(n_19579),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [22]),
+	.C1(n_24076),
+	.Y(n_24794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905730 (
+	.A1(n_19592),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [22]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [22]),
+	.C1(n_24075),
+	.Y(n_24793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905731 (
+	.A1(n_18348),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [22]),
+	.B1(n_18344),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [22]),
+	.C1(n_24074),
+	.Y(n_24792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905732 (
+	.A1(n_18355),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [21]),
+	.B1(n_18352),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [21]),
+	.C1(n_24073),
+	.Y(n_24791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905733 (
+	.A1(n_19571),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [21]),
+	.B1(n_19586),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [21]),
+	.C1(n_24072),
+	.Y(n_24790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905734 (
+	.A1(n_19592),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [21]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [21]),
+	.C1(n_24071),
+	.Y(n_24789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905735 (
+	.A1(n_19564),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [21]),
+	.B1(n_19583),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [21]),
+	.C1(n_24070),
+	.Y(n_24788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905736 (
+	.A1(n_19566),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [20]),
+	.B1(n_19590),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [20]),
+	.C1(n_24069),
+	.Y(n_24787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905737 (
+	.A1(n_19582),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [20]),
+	.B1(n_19579),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [20]),
+	.C1(n_24068),
+	.Y(n_24786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905738 (
+	.A1(n_19587),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [20]),
+	.B1(n_19596),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [20]),
+	.C1(n_24067),
+	.Y(n_24785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905739 (
+	.A1(n_18348),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [20]),
+	.B1(n_18344),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [20]),
+	.C1(n_24066),
+	.Y(n_24784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905740 (
+	.A1(n_19582),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [19]),
+	.B1(n_19579),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [19]),
+	.C1(n_24064),
+	.Y(n_24783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905741 (
+	.A1(n_18355),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [19]),
+	.B1(n_18352),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [19]),
+	.C1(n_24065),
+	.Y(n_24782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905742 (
+	.A1(n_19589),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [19]),
+	.B1(n_19573),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [19]),
+	.C1(n_24063),
+	.Y(n_24781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905743 (
+	.A1(n_19577),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [19]),
+	.B1(n_19575),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [19]),
+	.C1(n_24062),
+	.Y(n_24780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905744 (
+	.A1(n_18345),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [18]),
+	.B1(n_18346),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [18]),
+	.C1(n_24061),
+	.Y(n_24779), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905745 (
+	.A1(n_19582),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [18]),
+	.B1(n_19579),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [18]),
+	.C1(n_24060),
+	.Y(n_24778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905746 (
+	.A1(n_19589),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [18]),
+	.B1(n_19573),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [18]),
+	.C1(n_24059),
+	.Y(n_24777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905747 (
+	.A1(n_19577),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [18]),
+	.B1(n_19575),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [18]),
+	.C1(n_24058),
+	.Y(n_24776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905748 (
+	.A1(n_19566),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [17]),
+	.B1(n_19590),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [17]),
+	.C1(n_24057),
+	.Y(n_24775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905749 (
+	.A1(n_19582),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [17]),
+	.B1(n_19579),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [17]),
+	.C1(n_24056),
+	.Y(n_24774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905750 (
+	.A1(n_19589),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [17]),
+	.B1(n_19573),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [17]),
+	.C1(n_24055),
+	.Y(n_24773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905751 (
+	.A1(n_18348),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [17]),
+	.B1(n_18344),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [17]),
+	.C1(n_24054),
+	.Y(n_24772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905752 (
+	.A1(n_19566),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [16]),
+	.B1(n_19590),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [16]),
+	.C1(n_24053),
+	.Y(n_24771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905753 (
+	.A1(n_19582),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [16]),
+	.B1(n_19579),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [16]),
+	.C1(n_24052),
+	.Y(n_24770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905754 (
+	.A1(n_19592),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [16]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [16]),
+	.C1(n_24051),
+	.Y(n_24769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905755 (
+	.A1(n_19577),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [16]),
+	.B1(n_19575),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [16]),
+	.C1(n_24050),
+	.Y(n_24768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905756 (
+	.A1(n_19566),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [15]),
+	.B1(n_19590),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [15]),
+	.C1(n_24049),
+	.Y(n_24767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905757 (
+	.A1(n_19571),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [15]),
+	.B1(n_19586),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [15]),
+	.C1(n_24048),
+	.Y(n_24766), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905758 (
+	.A1(n_19592),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [15]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [15]),
+	.C1(n_24047),
+	.Y(n_24765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905759 (
+	.A1(n_19577),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [15]),
+	.B1(n_19575),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [15]),
+	.C1(n_24046),
+	.Y(n_24764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905760 (
+	.A1(n_19566),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [14]),
+	.B1(n_19590),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [14]),
+	.C1(n_24045),
+	.Y(n_24763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905761 (
+	.A1(n_19582),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [14]),
+	.B1(n_19579),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [14]),
+	.C1(n_24044),
+	.Y(n_24762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905762 (
+	.A1(n_19592),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [14]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [14]),
+	.C1(n_24043),
+	.Y(n_24761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905763 (
+	.A1(n_18348),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [14]),
+	.B1(n_18344),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [14]),
+	.C1(n_24042),
+	.Y(n_24760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905764 (
+	.A1(n_19566),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [13]),
+	.B1(n_19590),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [13]),
+	.C1(n_24041),
+	.Y(n_24759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905765 (
+	.A1(n_19571),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [13]),
+	.B1(n_19586),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [13]),
+	.C1(n_24040),
+	.Y(n_24758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905766 (
+	.A1(n_19589),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [13]),
+	.B1(n_19573),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [13]),
+	.C1(n_24039),
+	.Y(n_24757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905767 (
+	.A1(n_19577),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [13]),
+	.B1(n_19575),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [13]),
+	.C1(n_24038),
+	.Y(n_24756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905768 (
+	.A1(n_18355),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [12]),
+	.B1(n_18352),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [12]),
+	.C1(n_24037),
+	.Y(n_24755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905769 (
+	.A1(n_19589),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [12]),
+	.B1(n_19573),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [12]),
+	.C1(n_24035),
+	.Y(n_24754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905770 (
+	.A1(n_19577),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [12]),
+	.B1(n_19575),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [12]),
+	.C1(n_24034),
+	.Y(n_24753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905771 (
+	.A1(n_19582),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [12]),
+	.B1(n_19579),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [12]),
+	.C1(n_24036),
+	.Y(n_24752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905772 (
+	.A1(n_19566),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [11]),
+	.B1(n_19590),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [11]),
+	.C1(n_24033),
+	.Y(n_24751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905773 (
+	.A1(n_19582),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [11]),
+	.B1(n_19579),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [11]),
+	.C1(n_24032),
+	.Y(n_24750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905774 (
+	.A1(n_19587),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [11]),
+	.B1(n_19596),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [11]),
+	.C1(n_24031),
+	.Y(n_24749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905775 (
+	.A1(n_19577),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [11]),
+	.B1(n_19575),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [11]),
+	.C1(n_24030),
+	.Y(n_24748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905776 (
+	.A1(n_19566),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [10]),
+	.B1(n_19590),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [10]),
+	.C1(n_24029),
+	.Y(n_24747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905777 (
+	.A1(n_19582),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [10]),
+	.B1(n_19579),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [10]),
+	.C1(n_24028),
+	.Y(n_24746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905778 (
+	.A1(n_19589),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [10]),
+	.B1(n_19573),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [10]),
+	.C1(n_24027),
+	.Y(n_24745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905779 (
+	.A1(n_19577),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [10]),
+	.B1(n_19575),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [10]),
+	.C1(n_24026),
+	.Y(n_24744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g905780 (
+	.A1(n_18355),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [9]),
+	.B1(n_18352),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [9]),
+	.C1(n_24025),
+	.Y(n_24743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905781 (
+	.A(n_24283),
+	.B(n_24285),
+	.Y(n_24742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905782 (
+	.A(n_23936),
+	.B(n_35646),
+	.C(n_76060),
+	.D(n_23934),
+	.Y(n_24741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905784 (
+	.A(n_35577),
+	.B(n_35576),
+	.Y(n_24739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905785 (
+	.A(n_24381),
+	.B(n_24385),
+	.Y(n_24738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905786 (
+	.A(n_24386),
+	.B(n_24149),
+	.Y(n_24737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905788 (
+	.A(n_23892),
+	.B(n_35673),
+	.C(n_23891),
+	.D(n_35654),
+	.Y(n_24735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905791 (
+	.A(n_24377),
+	.B(n_24375),
+	.Y(n_24732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905792 (
+	.A(n_35658),
+	.B(n_23845),
+	.C(n_35660),
+	.D(n_22933),
+	.Y(n_24731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905793 (
+	.A(n_35581),
+	.B(n_24374),
+	.Y(n_24730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905794 (
+	.A(n_24368),
+	.B(n_24371),
+	.Y(n_24729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905796 (
+	.A(n_23843),
+	.B(n_35667),
+	.C(n_23844),
+	.D(n_23837),
+	.Y(n_24727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905797 (
+	.A(n_23838),
+	.B(n_23833),
+	.C(n_23835),
+	.D(n_35647),
+	.Y(n_24726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905798 (
+	.A(n_23828),
+	.B(n_35675),
+	.C(n_23826),
+	.D(n_23850),
+	.Y(n_24725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905799 (
+	.A(n_24366),
+	.B(n_24363),
+	.Y(n_24724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905801 (
+	.A(n_24360),
+	.B(n_24358),
+	.Y(n_24722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905802 (
+	.A(n_35678),
+	.B(n_35679),
+	.C(n_23804),
+	.D(n_35682),
+	.Y(n_24721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905803 (
+	.A(n_23821),
+	.B(n_23817),
+	.C(n_23819),
+	.D(n_35676),
+	.Y(n_24720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905804 (
+	.A(n_35587),
+	.B(n_35586),
+	.Y(n_24719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905805 (
+	.A(n_23816),
+	.B(n_23813),
+	.C(n_76063),
+	.D(n_23810),
+	.Y(n_24718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905806 (
+	.A(n_24350),
+	.B(n_24349),
+	.Y(n_24717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905807 (
+	.A(n_35683),
+	.B(n_23643),
+	.C(n_35684),
+	.D(n_23803),
+	.Y(n_24716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905808 (
+	.A(n_24346),
+	.B(n_24347),
+	.Y(n_24715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905809 (
+	.A(n_24344),
+	.B(n_35588),
+	.Y(n_24714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905810 (
+	.A(n_35593),
+	.B(n_35589),
+	.Y(n_24713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905811 (
+	.A(n_35686),
+	.B(n_36491),
+	.C(n_23628),
+	.D(n_23641),
+	.Y(n_24712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905812 (
+	.A(n_23631),
+	.B(n_23630),
+	.C(n_23632),
+	.D(n_23629),
+	.Y(n_24711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905814 (
+	.A(n_22900),
+	.B(n_23475),
+	.C(n_72050),
+	.D(n_22896),
+	.Y(n_24709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905816 (
+	.A(n_76255),
+	.B(n_23609),
+	.C(n_35693),
+	.D(n_23612),
+	.Y(n_24707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905817 (
+	.A(n_23602),
+	.B(n_35696),
+	.C(n_23599),
+	.D(n_35695),
+	.Y(n_24706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905818 (
+	.A(n_23542),
+	.B(n_23615),
+	.C(n_23635),
+	.D(n_23565),
+	.Y(n_24705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905819 (
+	.A(n_24326),
+	.B(n_24311),
+	.Y(n_24704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905820 (
+	.A(n_35595),
+	.B(n_35597),
+	.Y(n_24703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905821 (
+	.A(n_36423),
+	.B(n_76066),
+	.C(n_35703),
+	.D(n_23579),
+	.Y(n_24702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905822 (
+	.A(n_35641),
+	.B(n_35594),
+	.Y(n_24701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905824 (
+	.A(n_76257),
+	.B(n_23568),
+	.C(n_23570),
+	.D(n_23574),
+	.Y(n_24699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905825 (
+	.A(n_35602),
+	.B(n_24321),
+	.Y(n_24698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905829 (
+	.A(n_24308),
+	.B(n_35603),
+	.Y(n_24694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905830 (
+	.A(n_23531),
+	.B(n_76068),
+	.C(n_35719),
+	.D(n_35718),
+	.Y(n_24693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905831 (
+	.A(n_35720),
+	.B(n_23520),
+	.C(n_23522),
+	.D(n_23525),
+	.Y(n_24692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g905832 (
+	.A(n_23248),
+	.B(n_23311),
+	.C(n_23473),
+	.D(n_23528),
+	.X(n_24691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905835 (
+	.A(n_24297),
+	.B(n_24296),
+	.Y(n_24688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905836 (
+	.A(n_76070),
+	.B(n_23502),
+	.C(n_35727),
+	.D(n_23504),
+	.Y(n_24687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905837 (
+	.A(n_24293),
+	.B(n_24287),
+	.Y(n_24686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905839 (
+	.A(n_24289),
+	.B(n_24282),
+	.Y(n_24684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g905840 (
+	.A(n_16610),
+	.B(n_72421),
+	.Y(n_24882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g905843 (
+	.A(n_34774),
+	.B(n_24495),
+	.X(n_24879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g905844 (
+	.A(n_60029),
+	.B(n_60030),
+	.X(n_24877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g905845 (
+	.A(n_35022),
+	.B(n_23967),
+	.X(n_29613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905847 (
+	.A(n_34799),
+	.B(n_34778),
+	.C(n_18372),
+	.D(n_19556),
+	.Y(n_24855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g905848 (
+	.A(n_75488),
+	.B(n_19556),
+	.C(n_18372),
+	.Y(n_24683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g905850 (
+	.A(n_24682),
+	.Y(n_24681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g905855 (
+	.A(n_24675),
+	.Y(n_24676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905856 (
+	.A(n_23016),
+	.B(n_23020),
+	.C(n_23007),
+	.D(n_76082),
+	.Y(n_24674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905857 (
+	.A(n_23439),
+	.B(n_23437),
+	.C(n_23440),
+	.D(n_23438),
+	.Y(n_24673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905858 (
+	.A(n_23428),
+	.B(n_23429),
+	.C(n_23430),
+	.D(n_23426),
+	.Y(n_24672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905860 (
+	.A(n_23418),
+	.B(n_23417),
+	.C(n_23419),
+	.D(n_23416),
+	.Y(n_24670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905863 (
+	.A(n_23410),
+	.B(n_23408),
+	.C(n_23411),
+	.D(n_23407),
+	.Y(n_24667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905864 (
+	.A(n_23401),
+	.B(n_23403),
+	.C(n_23404),
+	.D(n_23402),
+	.Y(n_24666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905865 (
+	.A(n_24243),
+	.B(n_35620),
+	.Y(n_24665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905866 (
+	.A(n_23389),
+	.B(n_23388),
+	.C(n_23390),
+	.D(n_23387),
+	.Y(n_24664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905867 (
+	.A(n_24241),
+	.B(n_35618),
+	.Y(n_24663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905868 (
+	.A(n_23378),
+	.B(n_23380),
+	.C(n_23381),
+	.D(n_23377),
+	.Y(n_24662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905869 (
+	.A(n_24235),
+	.B(n_24237),
+	.Y(n_24661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905870 (
+	.A(n_23370),
+	.B(n_23372),
+	.C(n_23373),
+	.D(n_23369),
+	.Y(n_24660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905871 (
+	.A(n_23363),
+	.B(n_23364),
+	.C(n_23365),
+	.D(n_23361),
+	.Y(n_24659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905874 (
+	.A(n_23353),
+	.B(n_23350),
+	.C(n_23354),
+	.D(n_23349),
+	.Y(n_24656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905876 (
+	.A(n_23341),
+	.B(n_23337),
+	.C(n_23343),
+	.D(n_23342),
+	.Y(n_24654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905877 (
+	.A(n_23331),
+	.B(n_23334),
+	.C(n_23336),
+	.D(n_23332),
+	.Y(n_24653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905878 (
+	.A(n_23328),
+	.B(n_23325),
+	.C(n_23330),
+	.D(n_23329),
+	.Y(n_24652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905881 (
+	.A(n_23316),
+	.B(n_23313),
+	.C(n_23317),
+	.D(n_23315),
+	.Y(n_24649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905882 (
+	.A(n_23304),
+	.B(n_23306),
+	.C(n_23307),
+	.D(n_23303),
+	.Y(n_24648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905883 (
+	.A(n_24199),
+	.B(n_24208),
+	.Y(n_24647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905884 (
+	.A(n_36427),
+	.B(n_23944),
+	.C(n_35645),
+	.D(n_23302),
+	.Y(n_24646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905885 (
+	.A(n_23945),
+	.B(n_23946),
+	.C(n_23596),
+	.D(n_23947),
+	.Y(n_24645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905887 (
+	.A(n_23954),
+	.B(n_23958),
+	.C(n_23953),
+	.D(n_23959),
+	.Y(n_24643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905888 (
+	.A(n_23288),
+	.B(n_23286),
+	.C(n_23289),
+	.D(n_23285),
+	.Y(n_24642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905889 (
+	.A(n_23276),
+	.B(n_23277),
+	.C(n_23279),
+	.D(n_23275),
+	.Y(n_24641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905890 (
+	.A(n_24202),
+	.B(n_24201),
+	.Y(n_24640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905891 (
+	.A(n_23271),
+	.B(n_23270),
+	.C(n_23272),
+	.D(n_23269),
+	.Y(n_24639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905892 (
+	.A(n_36418),
+	.B(n_24194),
+	.Y(n_24638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905893 (
+	.A(n_23262),
+	.B(n_23261),
+	.C(n_23264),
+	.D(n_23260),
+	.Y(n_24637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905894 (
+	.A(n_23249),
+	.B(n_23245),
+	.C(n_23242),
+	.D(n_23253),
+	.Y(n_24636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905895 (
+	.A(n_23252),
+	.B(n_23251),
+	.C(n_23254),
+	.D(n_23250),
+	.Y(n_24635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905896 (
+	.A(n_23240),
+	.B(n_23239),
+	.C(n_23241),
+	.D(n_23238),
+	.Y(n_24634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905897 (
+	.A(n_35770),
+	.B(n_35772),
+	.C(n_23217),
+	.D(n_76939),
+	.Y(n_24633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905898 (
+	.A(n_23233),
+	.B(n_23230),
+	.C(n_23913),
+	.D(n_23231),
+	.Y(n_24632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905899 (
+	.A(n_23221),
+	.B(n_23219),
+	.C(n_23222),
+	.D(n_23216),
+	.Y(n_24631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905900 (
+	.A(n_24185),
+	.B(n_35629),
+	.Y(n_24630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905901 (
+	.A(n_23210),
+	.B(n_23208),
+	.C(n_23212),
+	.D(n_23207),
+	.Y(n_24629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905902 (
+	.A(n_35773),
+	.B(n_35774),
+	.C(n_35776),
+	.D(n_23211),
+	.Y(n_24628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905903 (
+	.A(n_24189),
+	.B(n_24180),
+	.Y(n_24627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905904 (
+	.A(n_24164),
+	.B(n_35630),
+	.Y(n_24626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905905 (
+	.A(n_23200),
+	.B(n_23202),
+	.C(n_23203),
+	.D(n_23199),
+	.Y(n_24625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905906 (
+	.A(n_35777),
+	.B(n_35778),
+	.C(n_23188),
+	.D(n_23198),
+	.Y(n_24624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905907 (
+	.A(n_23190),
+	.B(n_23192),
+	.C(n_23193),
+	.D(n_23189),
+	.Y(n_24623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905908 (
+	.A(n_23183),
+	.B(n_23186),
+	.C(n_23187),
+	.D(n_23184),
+	.Y(n_24622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905910 (
+	.A(n_23173),
+	.B(n_23177),
+	.C(n_23178),
+	.D(n_23176),
+	.Y(n_24620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905911 (
+	.A(n_24169),
+	.B(n_24168),
+	.Y(n_24619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905913 (
+	.A(n_23161),
+	.B(n_23158),
+	.C(n_23159),
+	.D(n_23164),
+	.Y(n_24617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905914 (
+	.A(n_24163),
+	.B(n_24165),
+	.Y(n_24616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905915 (
+	.A(n_22911),
+	.B(n_23157),
+	.C(n_23153),
+	.D(n_23155),
+	.Y(n_24615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905916 (
+	.A(n_24231),
+	.B(n_24160),
+	.Y(n_24614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905917 (
+	.A(n_23149),
+	.B(n_23147),
+	.C(n_23148),
+	.D(n_23150),
+	.Y(n_24613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905918 (
+	.A(n_23144),
+	.B(n_23146),
+	.C(n_23142),
+	.D(n_23145),
+	.Y(n_24612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905919 (
+	.A(n_23136),
+	.B(n_23140),
+	.C(n_23139),
+	.D(n_23137),
+	.Y(n_24611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905920 (
+	.A(n_24158),
+	.B(n_24157),
+	.Y(n_24610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905921 (
+	.A(n_23601),
+	.B(n_23135),
+	.C(n_23133),
+	.D(n_23134),
+	.Y(n_24609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905922 (
+	.A(n_23128),
+	.B(n_23132),
+	.C(n_23131),
+	.D(n_23130),
+	.Y(n_24608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905923 (
+	.A(n_23125),
+	.B(n_23127),
+	.C(n_23124),
+	.D(n_23126),
+	.Y(n_24607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905924 (
+	.A(n_76078),
+	.B(n_23123),
+	.C(n_23114),
+	.D(n_23112),
+	.Y(n_24606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905925 (
+	.A(n_23121),
+	.B(n_23122),
+	.C(n_23119),
+	.D(n_23118),
+	.Y(n_24605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905926 (
+	.A(n_23113),
+	.B(n_23111),
+	.C(n_23117),
+	.D(n_23115),
+	.Y(n_24604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905927 (
+	.A(n_23109),
+	.B(n_23106),
+	.C(n_23108),
+	.D(n_23110),
+	.Y(n_24603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905928 (
+	.A(n_35793),
+	.B(n_23107),
+	.C(n_23095),
+	.D(n_23099),
+	.Y(n_24602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905929 (
+	.A(n_23102),
+	.B(n_23105),
+	.C(n_23101),
+	.D(n_23104),
+	.Y(n_24601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905930 (
+	.A(n_23084),
+	.B(n_23100),
+	.C(n_23073),
+	.D(n_23069),
+	.Y(n_24600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905931 (
+	.A(n_23096),
+	.B(n_23093),
+	.C(n_23094),
+	.D(n_23097),
+	.Y(n_24599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905932 (
+	.A(n_23090),
+	.B(n_23092),
+	.C(n_23089),
+	.D(n_23091),
+	.Y(n_24598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905933 (
+	.A(n_35636),
+	.B(n_35635),
+	.Y(n_24597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905934 (
+	.A(n_23085),
+	.B(n_23081),
+	.C(n_23083),
+	.D(n_23087),
+	.Y(n_24596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905935 (
+	.A(n_23075),
+	.B(n_76080),
+	.C(n_76081),
+	.D(n_35797),
+	.Y(n_24595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905936 (
+	.A(n_23076),
+	.B(n_23585),
+	.C(n_23074),
+	.D(n_23078),
+	.Y(n_24594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905937 (
+	.A(n_35637),
+	.B(n_24153),
+	.Y(n_24593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905938 (
+	.A(n_23060),
+	.B(n_23058),
+	.C(n_23053),
+	.D(n_23066),
+	.Y(n_24592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905939 (
+	.A(n_24146),
+	.B(n_24147),
+	.Y(n_24591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905940 (
+	.A(n_23048),
+	.B(n_35801),
+	.C(n_23046),
+	.D(n_36430),
+	.Y(n_24590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905942 (
+	.A(n_23041),
+	.B(n_23042),
+	.C(n_23385),
+	.D(n_23040),
+	.Y(n_24588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905943 (
+	.A(n_23037),
+	.B(n_23029),
+	.C(n_23027),
+	.D(n_36432),
+	.Y(n_24587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905944 (
+	.A(n_23018),
+	.B(n_23025),
+	.C(n_23012),
+	.D(n_23009),
+	.Y(n_24586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905945 (
+	.A(n_23449),
+	.B(n_23445),
+	.C(n_23451),
+	.D(n_23446),
+	.Y(n_24585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905946 (
+	.A(n_23023),
+	.B(n_23022),
+	.C(n_23017),
+	.D(n_23019),
+	.Y(n_24584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905947 (
+	.A(n_23014),
+	.B(n_23013),
+	.C(n_23010),
+	.D(n_84665),
+	.Y(n_24583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905948 (
+	.A(n_23003),
+	.B(n_23004),
+	.C(n_23001),
+	.D(n_23000),
+	.Y(n_24582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905949 (
+	.A(n_24137),
+	.B(n_24138),
+	.Y(n_24581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905951 (
+	.A(n_22979),
+	.B(n_22990),
+	.C(n_87033),
+	.D(n_76083),
+	.Y(n_24579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905952 (
+	.A(n_35643),
+	.B(n_35642),
+	.Y(n_24578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905953 (
+	.A(n_87034),
+	.B(n_22951),
+	.C(n_87035),
+	.D(n_84657),
+	.Y(n_24577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905954 (
+	.A(n_22954),
+	.B(n_22948),
+	.C(n_22950),
+	.D(n_36435),
+	.Y(n_24576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905955 (
+	.A(n_22942),
+	.B(n_35817),
+	.C(n_22938),
+	.D(n_76086),
+	.Y(n_24575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905956 (
+	.A(n_35819),
+	.B(n_22926),
+	.C(n_22923),
+	.D(n_36437),
+	.Y(n_24574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905957 (
+	.A(n_22922),
+	.B(n_22925),
+	.C(n_22914),
+	.D(n_87036),
+	.Y(n_24573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g905958 (
+	.A(n_35832),
+	.B(n_35659),
+	.C(n_23943),
+	.D(n_35656),
+	.X(n_24572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905959 (
+	.A(n_35826),
+	.B(n_22906),
+	.C(n_35827),
+	.D(n_22910),
+	.Y(n_24571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905960 (
+	.A(n_22897),
+	.B(n_35831),
+	.C(n_76089),
+	.D(n_76088),
+	.Y(n_24570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905961 (
+	.A(n_35829),
+	.B(n_22889),
+	.C(n_22882),
+	.D(n_22886),
+	.Y(n_24569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g905962 (
+	.A(n_24126),
+	.B(n_24396),
+	.Y(n_24568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905963 (
+	.A(n_24397),
+	.B(n_24127),
+	.Y(n_24567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905964 (
+	.A(n_24123),
+	.B(n_24398),
+	.Y(n_24566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g905965 (
+	.A1(n_75558),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [9]),
+	.B1(n_35028),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [9]),
+	.Y(n_24565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905967 (
+	.A(n_24395),
+	.B(n_35575),
+	.Y(n_24563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905968 (
+	.A(n_24390),
+	.B(n_35578),
+	.Y(n_24562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905969 (
+	.A(n_23888),
+	.B(n_23881),
+	.C(n_22871),
+	.D(n_23900),
+	.Y(n_24561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905970 (
+	.A(n_35580),
+	.B(n_24370),
+	.Y(n_24560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905971 (
+	.A(n_35666),
+	.B(n_84659),
+	.C(n_22868),
+	.D(n_35664),
+	.Y(n_24559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905972 (
+	.A(n_24365),
+	.B(n_24361),
+	.Y(n_24558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905973 (
+	.A(n_23825),
+	.B(n_76254),
+	.C(n_22869),
+	.D(n_35650),
+	.Y(n_24557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905974 (
+	.A(n_35584),
+	.B(n_24351),
+	.Y(n_24556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905975 (
+	.A(n_24357),
+	.B(n_35585),
+	.Y(n_24555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905976 (
+	.A(n_24353),
+	.B(n_24352),
+	.Y(n_24554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905977 (
+	.A(n_24348),
+	.B(n_24332),
+	.Y(n_24553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905978 (
+	.A(n_24343),
+	.B(n_24338),
+	.Y(n_24552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905979 (
+	.A(n_23637),
+	.B(n_23638),
+	.C(n_22860),
+	.D(n_23640),
+	.Y(n_24551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905980 (
+	.A(n_24341),
+	.B(n_35590),
+	.Y(n_24550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905981 (
+	.A(n_23592),
+	.B(n_23587),
+	.C(n_35834),
+	.D(n_23598),
+	.Y(n_24549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905982 (
+	.A(n_24331),
+	.B(n_24330),
+	.Y(n_24548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905983 (
+	.A(n_35596),
+	.B(n_35598),
+	.Y(n_24547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905986 (
+	.A(n_23005),
+	.B(n_23006),
+	.C(n_22808),
+	.D(n_23008),
+	.Y(n_24544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g905987 (
+	.A(n_23533),
+	.B(n_35717),
+	.C(n_22852),
+	.D(n_35716),
+	.Y(n_24543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905989 (
+	.A(n_24305),
+	.B(n_24299),
+	.Y(n_24541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905990 (
+	.A(n_35605),
+	.B(n_35607),
+	.Y(n_24540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905991 (
+	.A(n_24295),
+	.B(n_24284),
+	.Y(n_24539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905993 (
+	.A(n_24288),
+	.B(n_35609),
+	.Y(n_24537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905994 (
+	.A(n_24281),
+	.B(n_24255),
+	.Y(n_24536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905995 (
+	.A(n_35610),
+	.B(n_24279),
+	.Y(n_24535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g905998 (
+	.A(n_23857),
+	.B(n_23636),
+	.C(n_23340),
+	.D(n_22829),
+	.X(n_24532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g905999 (
+	.A(n_24262),
+	.B(n_24254),
+	.Y(n_24531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906001 (
+	.A(n_24240),
+	.B(n_35621),
+	.Y(n_24529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906002 (
+	.A(n_24236),
+	.B(n_24233),
+	.Y(n_24528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906004 (
+	.A(n_24399),
+	.B(n_24197),
+	.Y(n_24526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g906005 (
+	.A(n_24400),
+	.B(n_23324),
+	.C(n_23323),
+	.Y(n_24525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906006 (
+	.A(n_35623),
+	.B(n_35624),
+	.Y(n_24524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g906007 (
+	.A(n_24195),
+	.B(n_23247),
+	.C(n_23243),
+	.Y(n_24523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906008 (
+	.A(n_24192),
+	.B(n_24186),
+	.Y(n_24522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906009 (
+	.A(n_24188),
+	.B(n_35628),
+	.Y(n_24521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906010 (
+	.A(n_24177),
+	.B(n_24176),
+	.Y(n_24520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906011 (
+	.A(n_24175),
+	.B(n_24173),
+	.Y(n_24519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906012 (
+	.A(n_24162),
+	.B(n_24161),
+	.Y(n_24518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906013 (
+	.A(n_35633),
+	.B(n_24156),
+	.Y(n_24517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906014 (
+	.A(n_35634),
+	.B(n_35639),
+	.Y(n_24516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906015 (
+	.A(n_76079),
+	.B(n_35795),
+	.C(n_22814),
+	.D(n_23088),
+	.Y(n_24515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g906016 (
+	.A(n_22972),
+	.B(n_22920),
+	.C(n_23024),
+	.D(n_22811),
+	.X(n_24514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906017 (
+	.A(n_23051),
+	.B(n_23054),
+	.C(n_22812),
+	.D(n_23055),
+	.Y(n_24513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906018 (
+	.A(n_84666),
+	.B(n_23031),
+	.C(n_22810),
+	.D(n_23039),
+	.Y(n_24512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g906019 (
+	.A(n_23034),
+	.B(n_23038),
+	.C(n_23036),
+	.D(n_22840),
+	.X(n_24511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906020 (
+	.A(n_24144),
+	.B(n_24140),
+	.Y(n_24510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906021 (
+	.A(n_24141),
+	.B(n_24139),
+	.Y(n_24509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906022 (
+	.A(n_22959),
+	.B(n_22961),
+	.C(n_22806),
+	.D(n_35812),
+	.Y(n_24508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906023 (
+	.A(n_23897),
+	.B(n_23834),
+	.C(n_22804),
+	.D(n_22885),
+	.Y(n_24507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906024 (
+	.A(n_22930),
+	.B(n_22934),
+	.C(n_22805),
+	.D(n_22939),
+	.Y(n_24506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906025 (
+	.A(n_24130),
+	.B(n_24129),
+	.Y(n_24505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906026 (
+	.A(n_24379),
+	.B(n_24378),
+	.Y(n_24504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906027 (
+	.A(n_22913),
+	.B(n_22916),
+	.C(n_22918),
+	.D(n_22844),
+	.Y(n_24503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906029 (
+	.A(n_24125),
+	.B(n_24124),
+	.Y(n_24501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g906031 (
+	.A(n_22823),
+	.B(n_35933),
+	.C(n_21586),
+	.Y(n_24499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g906032 (
+	.A1(n_23549),
+	.A2(n_43916),
+	.B1(n_18050),
+	.C1(n_19610),
+	.D1(n_23997),
+	.X(n_24498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g906033 (
+	.A(soc_top_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec[1]),
+	.B(soc_top_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec[0]),
+	.C(n_23962),
+	.Y(n_24682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g906034 (
+	.A(FE_DBTN100_n_23967),
+	.B(n_24409),
+	.Y(n_24675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g906037 (
+	.A(n_29864),
+	.Y(n_24488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g906038 (
+	.A(n_24487),
+	.Y(n_24486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g906039 (
+	.A(n_29866),
+	.Y(n_24485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g906040 (
+	.A(n_24484),
+	.Y(n_24483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g906071 (
+	.A(n_24414),
+	.Y(n_24415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g906110 (
+	.A(n_35024),
+	.B(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [2]),
+	.X(n_24495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906111 (
+	.A(soc_top_u_dccm_rdata4[31]),
+	.B(n_24004),
+	.Y(n_24413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906113 (
+	.A(n_21568),
+	.B(n_21557),
+	.C(n_21554),
+	.D(n_21548),
+	.Y(n_24412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906114 (
+	.A(n_21581),
+	.B(n_21580),
+	.C(n_21579),
+	.D(n_21583),
+	.Y(n_24411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906116 (
+	.A(n_24007),
+	.B(soc_top_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[1]),
+	.Y(n_24409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906117 (
+	.A(n_21602),
+	.B(n_21601),
+	.C(n_21600),
+	.D(n_21603),
+	.Y(n_24408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906118 (
+	.A(n_23314),
+	.B(n_23312),
+	.Y(n_24407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906123 (
+	.A(n_21621),
+	.B(n_21619),
+	.C(n_21618),
+	.D(n_21622),
+	.Y(n_24402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906125 (
+	.A(n_22825),
+	.B(n_23326),
+	.Y(n_24400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g906126 (
+	.A(n_22824),
+	.B(n_21589),
+	.C(n_21572),
+	.Y(n_24399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906127 (
+	.A(n_22032),
+	.B(n_22705),
+	.C(n_22704),
+	.D(n_22707),
+	.Y(n_24398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906128 (
+	.A(n_22681),
+	.B(n_22487),
+	.C(n_22678),
+	.D(n_21137),
+	.Y(n_24397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906129 (
+	.A(n_23384),
+	.B(n_23941),
+	.Y(n_24396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g906130 (
+	.A(n_22872),
+	.B(n_22687),
+	.C(n_22684),
+	.Y(n_24395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906134 (
+	.A(n_23917),
+	.B(n_23921),
+	.Y(n_24391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906135 (
+	.A(n_35738),
+	.B(n_22867),
+	.Y(n_24390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906139 (
+	.A(n_21117),
+	.B(n_22646),
+	.C(n_22643),
+	.D(n_22644),
+	.Y(n_24386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906140 (
+	.A(n_21941),
+	.B(n_22626),
+	.C(n_22594),
+	.D(n_22609),
+	.Y(n_24385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906144 (
+	.A(n_22546),
+	.B(n_22563),
+	.C(n_22518),
+	.D(n_22497),
+	.Y(n_24381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g906146 (
+	.A(n_22847),
+	.B(n_22592),
+	.C(n_22590),
+	.Y(n_24379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906147 (
+	.A(n_84661),
+	.B(n_22588),
+	.C(n_22583),
+	.D(n_22585),
+	.Y(n_24378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906148 (
+	.A(n_22578),
+	.B(n_76091),
+	.C(n_22582),
+	.D(n_22579),
+	.Y(n_24377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906150 (
+	.A(n_22572),
+	.B(n_22575),
+	.C(n_76092),
+	.D(n_76097),
+	.Y(n_24375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906151 (
+	.A(n_23864),
+	.B(n_23867),
+	.Y(n_24374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906154 (
+	.A(n_23855),
+	.B(n_23856),
+	.Y(n_24371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906155 (
+	.A(n_23860),
+	.B(n_23858),
+	.Y(n_24370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906157 (
+	.A(n_35662),
+	.B(n_23851),
+	.Y(n_24368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906159 (
+	.A(n_35672),
+	.B(n_23832),
+	.Y(n_24366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g906160 (
+	.A(n_22866),
+	.B(n_22478),
+	.C(n_22475),
+	.Y(n_24365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906162 (
+	.A(n_22553),
+	.B(n_22484),
+	.C(n_22538),
+	.D(n_22482),
+	.Y(n_24363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906164 (
+	.A(n_84669),
+	.B(n_22469),
+	.C(n_22473),
+	.D(n_22470),
+	.Y(n_24361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906165 (
+	.A(n_22462),
+	.B(n_22466),
+	.C(n_76094),
+	.D(n_22463),
+	.Y(n_24360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906167 (
+	.A(n_22456),
+	.B(n_22461),
+	.C(n_22459),
+	.D(n_22458),
+	.Y(n_24358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906168 (
+	.A(n_35677),
+	.B(n_22864),
+	.Y(n_24357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g906172 (
+	.A(n_22863),
+	.B(n_22411),
+	.C(n_22410),
+	.Y(n_24353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906173 (
+	.A(n_84649),
+	.B(n_22407),
+	.C(n_22404),
+	.D(n_22406),
+	.Y(n_24352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906174 (
+	.A(n_23805),
+	.B(n_23802),
+	.Y(n_24351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906175 (
+	.A(n_22398),
+	.B(n_22403),
+	.C(n_22399),
+	.D(n_22401),
+	.Y(n_24350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906176 (
+	.A(n_22394),
+	.B(n_22396),
+	.C(n_22397),
+	.D(n_22395),
+	.Y(n_24349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g906177 (
+	.A(n_22862),
+	.B(n_22342),
+	.C(n_22314),
+	.Y(n_24348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906178 (
+	.A(n_22383),
+	.B(n_22384),
+	.C(n_22387),
+	.D(n_22386),
+	.Y(n_24347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906179 (
+	.A(n_22376),
+	.B(n_22377),
+	.C(n_22381),
+	.D(n_22380),
+	.Y(n_24346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906181 (
+	.A(n_22365),
+	.B(n_22367),
+	.C(n_22363),
+	.D(n_22368),
+	.Y(n_24344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g906182 (
+	.A(n_22861),
+	.B(n_22352),
+	.C(n_22341),
+	.Y(n_24343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906184 (
+	.A(n_35687),
+	.B(n_22859),
+	.Y(n_24341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906187 (
+	.A(n_23626),
+	.B(n_23624),
+	.Y(n_24338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906188 (
+	.A(n_35689),
+	.B(n_23614),
+	.Y(n_24337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906193 (
+	.A(n_22301),
+	.B(n_22285),
+	.C(n_22270),
+	.D(n_22273),
+	.Y(n_24332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906194 (
+	.A(n_35697),
+	.B(n_22856),
+	.Y(n_24331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906195 (
+	.A(n_23595),
+	.B(n_23594),
+	.Y(n_24330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906199 (
+	.A(n_22236),
+	.B(n_22223),
+	.C(n_22207),
+	.D(n_36377),
+	.Y(n_24326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906202 (
+	.A(n_35702),
+	.B(n_35701),
+	.Y(n_24323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906204 (
+	.A(n_22169),
+	.B(n_22191),
+	.C(n_22177),
+	.D(n_22184),
+	.Y(n_24321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906208 (
+	.A(n_23558),
+	.B(n_23559),
+	.Y(n_24317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906214 (
+	.A(n_22161),
+	.B(n_22171),
+	.C(n_22125),
+	.D(n_22143),
+	.Y(n_24311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906216 (
+	.A(n_23543),
+	.B(n_23536),
+	.Y(n_24309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906217 (
+	.A(n_23541),
+	.B(n_76067),
+	.Y(n_24308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g906220 (
+	.A(n_35837),
+	.B(n_22090),
+	.C(n_22084),
+	.Y(n_24305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906221 (
+	.A(n_23518),
+	.B(n_23517),
+	.Y(n_24304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906226 (
+	.A(n_22054),
+	.B(n_22074),
+	.C(n_22057),
+	.D(n_22068),
+	.Y(n_24299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906228 (
+	.A(n_22065),
+	.B(n_22069),
+	.C(n_22070),
+	.D(n_22066),
+	.Y(n_24297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906229 (
+	.A(n_22059),
+	.B(n_22060),
+	.C(n_22062),
+	.D(n_22063),
+	.Y(n_24296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g906230 (
+	.A(n_22848),
+	.B(n_22031),
+	.C(n_22037),
+	.Y(n_24295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906231 (
+	.A(n_23501),
+	.B(n_22846),
+	.Y(n_24294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906232 (
+	.A(n_22040),
+	.B(n_22044),
+	.C(n_22021),
+	.D(n_22029),
+	.Y(n_24293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906235 (
+	.A(n_23494),
+	.B(n_35729),
+	.Y(n_24290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906236 (
+	.A(n_22008),
+	.B(n_22020),
+	.C(n_22004),
+	.D(n_22015),
+	.Y(n_24289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906237 (
+	.A(n_35730),
+	.B(n_22845),
+	.Y(n_24288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906238 (
+	.A(n_22009),
+	.B(n_22000),
+	.C(n_21981),
+	.D(n_21990),
+	.Y(n_24287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906240 (
+	.A(n_35732),
+	.B(n_23489),
+	.Y(n_24285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906241 (
+	.A(n_23490),
+	.B(n_23482),
+	.Y(n_24284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906242 (
+	.A(n_23486),
+	.B(n_35733),
+	.Y(n_24283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906243 (
+	.A(n_21996),
+	.B(n_21995),
+	.C(n_21986),
+	.D(n_21989),
+	.Y(n_24282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g906244 (
+	.A(n_22843),
+	.B(n_21925),
+	.C(n_21891),
+	.Y(n_24281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906246 (
+	.A(n_21955),
+	.B(n_21957),
+	.C(n_21942),
+	.D(n_21950),
+	.Y(n_24279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g906247 (
+	.A(n_22835),
+	.B(n_21933),
+	.C(n_21924),
+	.Y(n_24278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906250 (
+	.A(n_21934),
+	.B(n_21937),
+	.C(n_21938),
+	.D(n_21935),
+	.Y(n_24275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906253 (
+	.A(n_21927),
+	.B(n_21929),
+	.C(n_21928),
+	.D(n_21930),
+	.Y(n_24272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906256 (
+	.A(n_21918),
+	.B(n_21916),
+	.C(n_21915),
+	.D(n_21920),
+	.Y(n_24269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906259 (
+	.A(n_21897),
+	.B(n_21895),
+	.C(n_21894),
+	.D(n_21898),
+	.Y(n_24266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906262 (
+	.A(n_21876),
+	.B(n_21874),
+	.C(n_21873),
+	.D(n_21877),
+	.Y(n_24263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g906263 (
+	.A(n_34776),
+	.B(n_21847),
+	.C(n_21857),
+	.Y(n_24262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906265 (
+	.A(n_22830),
+	.B(n_23435),
+	.Y(n_24260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906266 (
+	.A(n_21858),
+	.B(n_21856),
+	.C(n_21855),
+	.D(n_21861),
+	.Y(n_24259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906268 (
+	.A(n_21805),
+	.B(n_21825),
+	.C(n_21739),
+	.D(n_21762),
+	.Y(n_24257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906270 (
+	.A(n_21809),
+	.B(n_21754),
+	.C(n_21659),
+	.D(n_21688),
+	.Y(n_24255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906271 (
+	.A(n_21828),
+	.B(n_87517),
+	.C(n_36384),
+	.D(n_36381),
+	.Y(n_24254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906273 (
+	.A(n_21833),
+	.B(n_21832),
+	.C(n_21831),
+	.D(n_21835),
+	.Y(n_24252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906275 (
+	.A(n_21813),
+	.B(n_21812),
+	.C(n_21810),
+	.D(n_21814),
+	.Y(n_24250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906279 (
+	.A(n_22740),
+	.B(n_21766),
+	.C(n_21765),
+	.D(n_22738),
+	.Y(n_24246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906281 (
+	.A(n_21755),
+	.B(n_21753),
+	.C(n_21752),
+	.D(n_21751),
+	.Y(n_24244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906282 (
+	.A(n_35753),
+	.B(n_76075),
+	.Y(n_24243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906283 (
+	.A(n_21850),
+	.B(n_21860),
+	.C(n_21731),
+	.D(n_21732),
+	.Y(n_24242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906284 (
+	.A(n_21793),
+	.B(n_21801),
+	.C(n_21746),
+	.D(n_21759),
+	.Y(n_24241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g906285 (
+	.A(n_22828),
+	.B(n_21728),
+	.C(n_21726),
+	.Y(n_24240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906287 (
+	.A(n_21718),
+	.B(n_21717),
+	.C(n_21714),
+	.D(n_21715),
+	.Y(n_24238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906288 (
+	.A(n_21709),
+	.B(n_21712),
+	.C(n_21716),
+	.D(n_21713),
+	.Y(n_24237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906289 (
+	.A(n_76076),
+	.B(n_22827),
+	.Y(n_24236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906290 (
+	.A(n_21700),
+	.B(n_21705),
+	.C(n_21702),
+	.D(n_21707),
+	.Y(n_24235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906291 (
+	.A(n_21698),
+	.B(n_21697),
+	.C(n_21695),
+	.D(n_21696),
+	.Y(n_24234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906292 (
+	.A(n_23366),
+	.B(n_23359),
+	.Y(n_24233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906294 (
+	.A(n_21592),
+	.B(n_21540),
+	.C(n_21474),
+	.D(n_36378),
+	.Y(n_24231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906295 (
+	.A(n_23362),
+	.B(n_23360),
+	.Y(n_24230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906297 (
+	.A(n_21680),
+	.B(n_21678),
+	.C(n_21676),
+	.D(n_21677),
+	.Y(n_24228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906298 (
+	.A(n_23352),
+	.B(n_23345),
+	.Y(n_24227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906300 (
+	.A(n_21654),
+	.B(n_21656),
+	.C(n_21652),
+	.D(n_21655),
+	.Y(n_24225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906302 (
+	.A(n_23333),
+	.B(n_23338),
+	.Y(n_24223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906304 (
+	.A(n_22745),
+	.B(n_23998),
+	.Y(n_24492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_4 g906305 (
+	.A(n_23997),
+	.B(n_18077),
+	.C(n_18066),
+	.D(n_18073),
+	.X(n_24491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906306 (
+	.A(n_75488),
+	.B(n_19556),
+	.Y(n_29367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g906308 (
+	.A(n_17326),
+	.B(soc_top_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec[1]),
+	.C(FE_DBTN101_n_21768),
+	.Y(n_29864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g906309 (
+	.A(n_16610),
+	.B(n_24011),
+	.X(n_24487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g906310 (
+	.A(n_17238),
+	.B(n_17326),
+	.C(FE_DBTN101_n_21768),
+	.Y(n_29866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g906311 (
+	.A(n_24006),
+	.B(n_17070),
+	.X(n_24484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g906313 (
+	.A(n_22750),
+	.B(n_18341),
+	.C(n_18372),
+	.Y(n_24414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g906316 (
+	.A(n_72751),
+	.Y(n_24211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906317 (
+	.A1(n_18345),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [5]),
+	.B1(n_18346),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [5]),
+	.C1(n_23908),
+	.X(n_24210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906318 (
+	.A(n_76059),
+	.B(n_35644),
+	.Y(n_24209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906319 (
+	.A(n_21535),
+	.B(n_21538),
+	.C(n_21517),
+	.D(n_21526),
+	.Y(n_24208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906321 (
+	.A(n_21541),
+	.B(n_21126),
+	.C(n_21537),
+	.D(n_21542),
+	.Y(n_24206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906324 (
+	.A(n_21518),
+	.B(n_21313),
+	.C(n_21515),
+	.D(n_21519),
+	.Y(n_24203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906325 (
+	.A(n_21498),
+	.B(n_21504),
+	.C(n_21501),
+	.D(n_21505),
+	.Y(n_24202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906326 (
+	.A(n_21488),
+	.B(n_21489),
+	.C(n_21491),
+	.D(n_21496),
+	.Y(n_24201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906327 (
+	.A(n_21495),
+	.B(n_21494),
+	.C(n_21493),
+	.D(n_21480),
+	.Y(n_24200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906328 (
+	.A(n_21509),
+	.B(n_21497),
+	.C(n_21492),
+	.D(n_21502),
+	.Y(n_24199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906329 (
+	.A(n_21479),
+	.B(n_21478),
+	.C(n_21477),
+	.D(n_21693),
+	.Y(n_24198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906330 (
+	.A(n_23287),
+	.B(n_23268),
+	.Y(n_24197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906332 (
+	.A(n_22821),
+	.B(n_72272),
+	.Y(n_24195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906333 (
+	.A(n_35767),
+	.B(n_35768),
+	.Y(n_24194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906334 (
+	.A(n_21460),
+	.B(n_21459),
+	.C(n_21458),
+	.D(n_21461),
+	.Y(n_24193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g906335 (
+	.A(n_34777),
+	.B(n_21425),
+	.C(n_21415),
+	.Y(n_24192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906336 (
+	.A(n_21443),
+	.B(n_22261),
+	.C(n_21441),
+	.D(n_21444),
+	.Y(n_24191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906337 (
+	.A(n_21422),
+	.B(n_21421),
+	.C(n_21420),
+	.D(n_21424),
+	.Y(n_24190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906338 (
+	.A(n_21427),
+	.B(n_21398),
+	.C(n_21363),
+	.D(n_36388),
+	.Y(n_24189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906339 (
+	.A(n_35769),
+	.B(n_22819),
+	.Y(n_24188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906341 (
+	.A(n_23218),
+	.B(n_23224),
+	.Y(n_24186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906342 (
+	.A(n_23227),
+	.B(n_23228),
+	.Y(n_24185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906343 (
+	.A(n_21402),
+	.B(n_21400),
+	.C(n_21893),
+	.D(n_21403),
+	.Y(n_24184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906345 (
+	.A(n_21384),
+	.B(n_21383),
+	.C(n_21382),
+	.D(n_21385),
+	.Y(n_24182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906346 (
+	.A(n_21367),
+	.B(n_21365),
+	.C(n_21364),
+	.D(n_21368),
+	.Y(n_24181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906347 (
+	.A(n_21295),
+	.B(n_21311),
+	.C(n_21253),
+	.D(n_21276),
+	.Y(n_24180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906349 (
+	.A(n_21347),
+	.B(n_21214),
+	.C(n_21345),
+	.D(n_21348),
+	.Y(n_24178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906350 (
+	.A(n_21342),
+	.B(n_21333),
+	.C(n_19808),
+	.D(n_21330),
+	.Y(n_24177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906351 (
+	.A(n_21324),
+	.B(n_35944),
+	.C(n_21299),
+	.D(n_21316),
+	.Y(n_24176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906352 (
+	.A(n_35779),
+	.B(n_22818),
+	.Y(n_24175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906353 (
+	.A(n_21323),
+	.B(n_21322),
+	.C(n_21318),
+	.D(n_21320),
+	.Y(n_24174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906354 (
+	.A(n_23180),
+	.B(n_23175),
+	.Y(n_24173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906356 (
+	.A(n_35783),
+	.B(n_35782),
+	.Y(n_24171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906357 (
+	.A(n_21302),
+	.B(n_21300),
+	.C(n_21297),
+	.D(n_21298),
+	.Y(n_24170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906358 (
+	.A(n_21283),
+	.B(n_21291),
+	.C(n_21279),
+	.D(n_21285),
+	.Y(n_24169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906359 (
+	.A(n_21272),
+	.B(n_21270),
+	.C(n_21262),
+	.D(n_35951),
+	.Y(n_24168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906360 (
+	.A(n_23163),
+	.B(n_35785),
+	.Y(n_24167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906362 (
+	.A(n_35789),
+	.B(n_23156),
+	.Y(n_24165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906363 (
+	.A(n_35781),
+	.B(n_35784),
+	.Y(n_24164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906364 (
+	.A(n_35790),
+	.B(n_23151),
+	.Y(n_24163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906365 (
+	.A(n_35791),
+	.B(n_22817),
+	.Y(n_24162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906366 (
+	.A(n_23141),
+	.B(n_23138),
+	.Y(n_24161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906367 (
+	.A(n_21325),
+	.B(n_21354),
+	.C(n_21238),
+	.D(n_21275),
+	.Y(n_24160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906369 (
+	.A(n_21219),
+	.B(n_21224),
+	.C(n_22091),
+	.D(n_22281),
+	.Y(n_24158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906370 (
+	.A(n_21212),
+	.B(n_21216),
+	.C(n_21213),
+	.D(n_21218),
+	.Y(n_24157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906371 (
+	.A(n_23116),
+	.B(n_23098),
+	.Y(n_24156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906374 (
+	.A(n_21128),
+	.B(n_21127),
+	.C(n_21129),
+	.D(n_21125),
+	.Y(n_24153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906378 (
+	.A(n_22638),
+	.B(n_76090),
+	.C(n_22636),
+	.D(n_22640),
+	.Y(n_24149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906380 (
+	.A(n_21111),
+	.B(n_21114),
+	.C(n_21108),
+	.D(n_21107),
+	.Y(n_24147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906381 (
+	.A(n_21105),
+	.B(n_21106),
+	.C(n_21100),
+	.D(n_21103),
+	.Y(n_24146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906382 (
+	.A(n_21081),
+	.B(n_21078),
+	.C(n_21077),
+	.D(n_21470),
+	.Y(n_24145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g906383 (
+	.A(n_22809),
+	.B(n_21048),
+	.C(n_21035),
+	.Y(n_24144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906384 (
+	.A(n_23030),
+	.B(n_23032),
+	.Y(n_24143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g906386 (
+	.A(n_22807),
+	.B(n_21025),
+	.C(n_21024),
+	.Y(n_24141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906387 (
+	.A(n_35806),
+	.B(n_22997),
+	.Y(n_24140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906388 (
+	.A(n_84646),
+	.B(n_21021),
+	.C(n_21019),
+	.D(n_21020),
+	.Y(n_24139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906389 (
+	.A(n_21015),
+	.B(n_21013),
+	.C(n_21017),
+	.D(n_21012),
+	.Y(n_24138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906390 (
+	.A(n_21008),
+	.B(n_21682),
+	.C(n_21006),
+	.D(n_21007),
+	.Y(n_24137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906391 (
+	.A(n_22975),
+	.B(n_22952),
+	.Y(n_24136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906396 (
+	.A(n_20936),
+	.B(n_20956),
+	.C(n_20953),
+	.D(n_20931),
+	.Y(n_24131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g906397 (
+	.A(n_22803),
+	.B(n_20873),
+	.C(n_20870),
+	.Y(n_24130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906398 (
+	.A(n_20860),
+	.B(n_20858),
+	.C(n_20835),
+	.D(n_20844),
+	.Y(n_24129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g906399 (
+	.A(n_22802),
+	.B(n_22708),
+	.C(n_20822),
+	.Y(n_24128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906400 (
+	.A(n_20823),
+	.B(n_22702),
+	.C(n_21521),
+	.D(n_20820),
+	.Y(n_24127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906401 (
+	.A(n_35833),
+	.B(n_22874),
+	.Y(n_24126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g906402 (
+	.A(n_22813),
+	.B(n_20819),
+	.C(n_20818),
+	.Y(n_24125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906403 (
+	.A(n_84648),
+	.B(n_20816),
+	.C(n_20815),
+	.D(n_35990),
+	.Y(n_24124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906404 (
+	.A(n_22710),
+	.B(n_20809),
+	.C(n_20810),
+	.D(n_22711),
+	.Y(n_24123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906405 (
+	.A1(n_19595),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [9]),
+	.B1(n_19593),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [9]),
+	.C1(n_23942),
+	.X(n_24122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906406 (
+	.A1(n_19587),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [9]),
+	.B1(n_19596),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [9]),
+	.C1(n_23940),
+	.X(n_24121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906407 (
+	.A1(n_19564),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [9]),
+	.B1(n_19583),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [9]),
+	.C1(n_23938),
+	.X(n_24120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906408 (
+	.A1(n_18345),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [8]),
+	.B1(n_18346),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [8]),
+	.C1(n_23937),
+	.X(n_24119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906409 (
+	.A1(n_19595),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [8]),
+	.B1(n_19593),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [8]),
+	.C1(n_23470),
+	.X(n_24118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906410 (
+	.A1(n_19587),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [8]),
+	.B1(n_19596),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [8]),
+	.C1(n_23935),
+	.X(n_24117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906411 (
+	.A1(n_19564),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [8]),
+	.B1(n_19583),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [8]),
+	.C1(n_23932),
+	.X(n_24116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906412 (
+	.A1(n_18345),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [7]),
+	.B1(n_18346),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [7]),
+	.C1(n_23929),
+	.X(n_24115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906413 (
+	.A1(n_19563),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [7]),
+	.B1(n_19584),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [7]),
+	.C1(n_23928),
+	.X(n_24114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906414 (
+	.A1(n_19592),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [7]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [7]),
+	.C1(n_23926),
+	.X(n_24113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906415 (
+	.A1(n_19564),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [7]),
+	.B1(n_19583),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [7]),
+	.C1(n_23924),
+	.X(n_24112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906416 (
+	.A1(n_18355),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [6]),
+	.B1(n_18352),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [6]),
+	.C1(n_23918),
+	.X(n_24111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906417 (
+	.A1(n_19595),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [6]),
+	.B1(n_19593),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [6]),
+	.C1(n_23916),
+	.X(n_24110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906418 (
+	.A1(n_19587),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [6]),
+	.B1(n_19596),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [6]),
+	.C1(n_23914),
+	.X(n_24109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906419 (
+	.A1(n_18349),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [6]),
+	.B1(n_18353),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [6]),
+	.C1(n_23912),
+	.X(n_24108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906420 (
+	.A(n_21561),
+	.B(n_21559),
+	.C(n_21558),
+	.D(n_21562),
+	.Y(n_24107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906421 (
+	.A1(n_19582),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [5]),
+	.B1(n_19579),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [5]),
+	.C1(n_23906),
+	.X(n_24106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906422 (
+	.A1(n_19592),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [5]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [5]),
+	.C1(n_23905),
+	.X(n_24105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906423 (
+	.A1(n_18349),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [5]),
+	.B1(n_18353),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [5]),
+	.C1(n_23904),
+	.X(n_24104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906424 (
+	.A1(n_18345),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [4]),
+	.B1(n_18346),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [4]),
+	.C1(n_23903),
+	.X(n_24103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906425 (
+	.A1(n_19569),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [4]),
+	.B1(n_19565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [4]),
+	.C1(n_23064),
+	.X(n_24102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906426 (
+	.A1(n_18349),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [4]),
+	.B1(n_18353),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [4]),
+	.C1(n_23901),
+	.X(n_24101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906427 (
+	.A1(n_19594),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [3]),
+	.B1(n_19580),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [3]),
+	.C1(n_23896),
+	.X(n_24100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906428 (
+	.A1(n_19595),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [3]),
+	.B1(n_19593),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [3]),
+	.C1(n_23894),
+	.X(n_24099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906429 (
+	.A1(n_19587),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [3]),
+	.B1(n_19596),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [3]),
+	.C1(n_23893),
+	.X(n_24098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906430 (
+	.A1(n_18349),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [3]),
+	.B1(n_18353),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [3]),
+	.C1(n_23890),
+	.X(n_24097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906431 (
+	.A1(n_19594),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [2]),
+	.B1(n_19580),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [2]),
+	.C1(n_23885),
+	.X(n_24096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906432 (
+	.A1(n_19563),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [2]),
+	.B1(n_19584),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [2]),
+	.C1(n_23883),
+	.X(n_24095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906433 (
+	.A1(n_19569),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [2]),
+	.B1(n_19565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [2]),
+	.C1(n_23882),
+	.X(n_24094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906434 (
+	.A1(n_18349),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [2]),
+	.B1(n_18353),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [2]),
+	.C1(n_22881),
+	.X(n_24093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906435 (
+	.A1(n_18345),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [1]),
+	.B1(n_18346),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [1]),
+	.C1(n_23879),
+	.X(n_24092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906436 (
+	.A1(n_19595),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [1]),
+	.B1(n_19593),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [1]),
+	.C1(n_23878),
+	.X(n_24091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906437 (
+	.A1(n_19569),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [1]),
+	.B1(n_19565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [1]),
+	.C1(n_23876),
+	.X(n_24090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906438 (
+	.A1(n_18349),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [1]),
+	.B1(n_18353),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [1]),
+	.C1(n_23875),
+	.X(n_24089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906439 (
+	.A1(n_19594),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [0]),
+	.B1(n_19580),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [0]),
+	.C1(n_23871),
+	.X(n_24088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906440 (
+	.A1(n_19563),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [0]),
+	.B1(n_19584),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [0]),
+	.C1(n_23869),
+	.X(n_24087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906441 (
+	.A1(n_19564),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [0]),
+	.B1(n_19583),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [0]),
+	.C1(n_23866),
+	.X(n_24086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906442 (
+	.A1(n_19569),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [0]),
+	.B1(n_19565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [0]),
+	.C1(n_23868),
+	.X(n_24085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g906443 (
+	.A1(n_22742),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [0]),
+	.B1(n_22732),
+	.Y(n_24084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906444 (
+	.A1(n_16633),
+	.A2(soc_top_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[1]),
+	.B1(n_35057),
+	.B2(soc_top_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[2]),
+	.C1(n_21768),
+	.Y(n_24083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906445 (
+	.A1(n_19595),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [4]),
+	.B1(n_19593),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [4]),
+	.C1(n_23068),
+	.X(n_24082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906446 (
+	.A1(n_18355),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [31]),
+	.B1(n_18352),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [31]),
+	.C1(n_23057),
+	.X(n_24081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906447 (
+	.A1(n_19571),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [31]),
+	.B1(n_19586),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [31]),
+	.C1(n_23056),
+	.X(n_24080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906448 (
+	.A1(n_19592),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [31]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [31]),
+	.C1(n_23052),
+	.X(n_24079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906449 (
+	.A1(n_18348),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [31]),
+	.B1(n_18344),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [31]),
+	.C1(n_23049),
+	.X(n_24078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906450 (
+	.A1(n_18345),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [22]),
+	.B1(n_18346),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [22]),
+	.C1(n_22999),
+	.X(n_24077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906451 (
+	.A1(n_19595),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [22]),
+	.B1(n_19593),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [22]),
+	.C1(n_22998),
+	.X(n_24076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906452 (
+	.A1(n_19569),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [22]),
+	.B1(n_19565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [22]),
+	.C1(n_22995),
+	.X(n_24075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906453 (
+	.A1(n_18349),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [22]),
+	.B1(n_18353),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [22]),
+	.C1(n_22994),
+	.X(n_24074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906454 (
+	.A1(n_18345),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [21]),
+	.B1(n_18346),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [21]),
+	.C1(n_22993),
+	.X(n_24073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906455 (
+	.A1(n_19563),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [21]),
+	.B1(n_19584),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [21]),
+	.C1(n_22992),
+	.X(n_24072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906456 (
+	.A1(n_19569),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [21]),
+	.B1(n_19565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [21]),
+	.C1(n_22989),
+	.X(n_24071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906457 (
+	.A1(n_18349),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [21]),
+	.B1(n_18353),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [21]),
+	.C1(n_22987),
+	.X(n_24070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906458 (
+	.A1(n_19594),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [20]),
+	.B1(n_19580),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [20]),
+	.C1(n_22984),
+	.X(n_24069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906459 (
+	.A1(n_19595),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [20]),
+	.B1(n_19593),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [20]),
+	.C1(n_22982),
+	.X(n_24068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906460 (
+	.A1(n_19569),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [20]),
+	.B1(n_19565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [20]),
+	.C1(n_22981),
+	.X(n_24067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906461 (
+	.A1(n_18349),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [20]),
+	.B1(n_18353),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [20]),
+	.C1(n_22976),
+	.X(n_24066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906462 (
+	.A1(n_18345),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [19]),
+	.B1(n_18346),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [19]),
+	.C1(n_22970),
+	.X(n_24065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906463 (
+	.A1(n_19595),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [19]),
+	.B1(n_19593),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [19]),
+	.C1(n_22968),
+	.X(n_24064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906464 (
+	.A1(n_19587),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [19]),
+	.B1(n_19596),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [19]),
+	.C1(n_22966),
+	.X(n_24063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906465 (
+	.A1(n_19564),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [19]),
+	.B1(n_19583),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [19]),
+	.C1(n_22965),
+	.X(n_24062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906466 (
+	.A1(n_18355),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [18]),
+	.B1(n_18352),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [18]),
+	.C1(n_22960),
+	.X(n_24061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906467 (
+	.A1(n_19595),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [18]),
+	.B1(n_19593),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [18]),
+	.C1(n_22958),
+	.X(n_24060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906468 (
+	.A1(n_19587),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [18]),
+	.B1(n_19596),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [18]),
+	.C1(n_22956),
+	.X(n_24059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906469 (
+	.A1(n_19564),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [18]),
+	.B1(n_19583),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [18]),
+	.C1(n_22953),
+	.X(n_24058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906470 (
+	.A1(n_19594),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [17]),
+	.B1(n_19580),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [17]),
+	.C1(n_22949),
+	.X(n_24057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906471 (
+	.A1(n_19571),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [17]),
+	.B1(n_19586),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [17]),
+	.C1(n_22947),
+	.X(n_24056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906472 (
+	.A1(n_19587),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [17]),
+	.B1(n_19596),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [17]),
+	.C1(n_22946),
+	.X(n_24055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906473 (
+	.A1(n_18349),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [17]),
+	.B1(n_18353),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [17]),
+	.C1(n_22944),
+	.X(n_24054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906474 (
+	.A1(n_19594),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [16]),
+	.B1(n_19580),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [16]),
+	.C1(n_22941),
+	.X(n_24053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906475 (
+	.A1(n_19595),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [16]),
+	.B1(n_19593),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [16]),
+	.C1(n_22940),
+	.X(n_24052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906476 (
+	.A1(n_19569),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [16]),
+	.B1(n_19565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [16]),
+	.C1(n_22937),
+	.X(n_24051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906477 (
+	.A1(n_19564),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [16]),
+	.B1(n_19583),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [16]),
+	.C1(n_22935),
+	.X(n_24050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906478 (
+	.A1(n_19594),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [15]),
+	.B1(n_19580),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [15]),
+	.C1(n_22931),
+	.X(n_24049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906479 (
+	.A1(n_19563),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [15]),
+	.B1(n_19584),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [15]),
+	.C1(n_22928),
+	.X(n_24048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906480 (
+	.A1(n_19569),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [15]),
+	.B1(n_19565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [15]),
+	.C1(n_22927),
+	.X(n_24047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906481 (
+	.A1(n_19564),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [15]),
+	.B1(n_19583),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [15]),
+	.C1(n_22924),
+	.X(n_24046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906482 (
+	.A1(n_19594),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [14]),
+	.B1(n_19580),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [14]),
+	.C1(n_22921),
+	.X(n_24045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906483 (
+	.A1(n_19595),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [14]),
+	.B1(n_19593),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [14]),
+	.C1(n_22917),
+	.X(n_24044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906484 (
+	.A1(n_19569),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [14]),
+	.B1(n_19565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [14]),
+	.C1(n_22915),
+	.X(n_24043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906485 (
+	.A1(n_18349),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [14]),
+	.B1(n_18353),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [14]),
+	.C1(n_22912),
+	.X(n_24042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906486 (
+	.A1(n_19594),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [13]),
+	.B1(n_19580),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [13]),
+	.C1(n_22908),
+	.X(n_24041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906487 (
+	.A1(n_19563),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [13]),
+	.B1(n_19584),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [13]),
+	.C1(n_22905),
+	.X(n_24040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906488 (
+	.A1(n_19587),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [13]),
+	.B1(n_19596),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [13]),
+	.C1(n_22904),
+	.X(n_24039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906489 (
+	.A1(n_19564),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [13]),
+	.B1(n_19583),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [13]),
+	.C1(n_22903),
+	.X(n_24038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906490 (
+	.A1(n_18345),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [12]),
+	.B1(n_18346),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [12]),
+	.C1(n_22902),
+	.X(n_24037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906491 (
+	.A1(n_19595),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [12]),
+	.B1(n_19593),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [12]),
+	.C1(n_22899),
+	.X(n_24036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906492 (
+	.A1(n_19587),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [12]),
+	.B1(n_19596),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [12]),
+	.C1(n_22898),
+	.X(n_24035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906493 (
+	.A1(n_19564),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [12]),
+	.B1(n_19583),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [12]),
+	.C1(n_22895),
+	.X(n_24034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906494 (
+	.A1(n_19594),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [11]),
+	.B1(n_19580),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [11]),
+	.C1(n_22892),
+	.X(n_24033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906495 (
+	.A1(n_19595),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [11]),
+	.B1(n_19593),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [11]),
+	.C1(n_22890),
+	.X(n_24032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906496 (
+	.A1(n_19589),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [11]),
+	.B1(n_19573),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [11]),
+	.C1(n_22888),
+	.X(n_24031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906497 (
+	.A1(n_19564),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [11]),
+	.B1(n_19583),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [11]),
+	.C1(n_22887),
+	.X(n_24030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906498 (
+	.A1(n_19594),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [10]),
+	.B1(n_19580),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [10]),
+	.C1(n_22880),
+	.X(n_24029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906499 (
+	.A1(n_19595),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [10]),
+	.B1(n_19593),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [10]),
+	.C1(n_22879),
+	.X(n_24028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906500 (
+	.A1(n_19587),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [10]),
+	.B1(n_19596),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [10]),
+	.C1(n_22877),
+	.X(n_24027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906501 (
+	.A1(n_18348),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [10]),
+	.B1(n_18344),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [10]),
+	.C1(n_22876),
+	.X(n_24026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906502 (
+	.A1(n_19594),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [9]),
+	.B1(n_19580),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [9]),
+	.C1(n_22873),
+	.X(n_24025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g906503 (
+	.A(n_23997),
+	.B(n_19617),
+	.C(n_18089),
+	.X(n_24221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g906505 (
+	.A(n_23997),
+	.B(n_19614),
+	.C(n_18037),
+	.Y(n_24023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g906506 (
+	.A(n_23997),
+	.B(n_19613),
+	.C(n_18053),
+	.X(n_24022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g906507 (
+	.A(n_23997),
+	.B(n_19612),
+	.C(n_18057),
+	.Y(n_24021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g906508 (
+	.A(n_23997),
+	.B(n_19611),
+	.C(n_18074),
+	.X(n_24220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g906511 (
+	.A(n_23997),
+	.B(n_19607),
+	.C(n_18068),
+	.X(n_24018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_2 g906512 (
+	.A(n_23997),
+	.B(n_19606),
+	.C(n_18070),
+	.X(n_24017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g906513 (
+	.A(n_23997),
+	.B(n_19605),
+	.C(n_18071),
+	.X(n_24016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g906514 (
+	.A(n_23997),
+	.B(n_19604),
+	.C(n_18043),
+	.X(n_24015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_2 g906519 (
+	.A(n_23997),
+	.B(n_19608),
+	.C(n_18080),
+	.X(n_24215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g906520 (
+	.A(n_23997),
+	.B(n_19603),
+	.C(n_18047),
+	.X(n_24214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g906526 (
+	.A(n_24005),
+	.Y(n_24004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g906527 (
+	.A(n_75848),
+	.Y(n_23998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g906528 (
+	.A(n_23996),
+	.Y(n_29865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906558 (
+	.A(n_22742),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [7]),
+	.Y(n_23966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906559 (
+	.A(n_22742),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [3]),
+	.Y(n_23965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906560 (
+	.A(FE_DBTN101_n_21768),
+	.B(soc_top_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[2]),
+	.Y(n_23964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906561 (
+	.A(n_22742),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [2]),
+	.Y(n_23963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906562 (
+	.A(soc_top_u_top_u_core_id_stage_i_imm_a_mux_sel),
+	.B(n_21768),
+	.Y(n_23962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906563 (
+	.A(n_22742),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [18]),
+	.Y(n_23961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906565 (
+	.A1(n_18289),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [8]),
+	.B1(n_18380),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [8]),
+	.C1(n_21545),
+	.Y(n_23959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906566 (
+	.A1(n_19010),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [8]),
+	.B1(n_18818),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [8]),
+	.C1(n_21547),
+	.Y(n_23958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906567 (
+	.A(n_22742),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [13]),
+	.Y(n_23957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906568 (
+	.A(n_22742),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [12]),
+	.Y(n_23956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g906569 (
+	.A(n_22741),
+	.B_N(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [9]),
+	.Y(n_23955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906570 (
+	.A1(n_18924),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [8]),
+	.B1(n_19073),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [8]),
+	.C1(n_21550),
+	.Y(n_23954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906571 (
+	.A1(n_19185),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [8]),
+	.B1(n_19398),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [8]),
+	.C1(n_21552),
+	.Y(n_23953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906573 (
+	.A1(n_18033),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [8]),
+	.B1(n_18315),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [8]),
+	.C1(n_20964),
+	.X(n_23951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906575 (
+	.A1(n_18537),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [8]),
+	.B1(n_18376),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [8]),
+	.C1(n_21556),
+	.X(n_23949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906577 (
+	.A1(n_18289),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [0]),
+	.B1(n_18380),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [0]),
+	.C1(n_21566),
+	.Y(n_23947), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906578 (
+	.A1(n_19010),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [0]),
+	.B1(n_18818),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [0]),
+	.C1(n_22672),
+	.Y(n_23946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906579 (
+	.A1(n_18924),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [0]),
+	.B1(n_19073),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [0]),
+	.C1(n_21570),
+	.Y(n_23945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906580 (
+	.A(n_21567),
+	.B(n_21571),
+	.Y(n_23944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906581 (
+	.A(n_22632),
+	.B(n_22664),
+	.Y(n_23943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906582 (
+	.A1(n_19563),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [9]),
+	.B1(n_19584),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [9]),
+	.C1(n_22709),
+	.X(n_23942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906583 (
+	.A(n_20800),
+	.B(n_20397),
+	.C(n_20428),
+	.D(n_19656),
+	.Y(n_23941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906584 (
+	.A1(n_19569),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [9]),
+	.B1(n_19565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [9]),
+	.C1(n_21282),
+	.X(n_23940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906585 (
+	.A(n_35939),
+	.B(n_84655),
+	.Y(n_23939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906586 (
+	.A1(n_18349),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [9]),
+	.B1(n_18353),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [9]),
+	.C1(n_22703),
+	.X(n_23938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906587 (
+	.A1(n_19594),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [8]),
+	.B1(n_19580),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [8]),
+	.C1(n_21287),
+	.X(n_23937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906588 (
+	.A(n_22694),
+	.B(n_21134),
+	.Y(n_23936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906589 (
+	.A1(n_19569),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [8]),
+	.B1(n_19565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [8]),
+	.C1(n_22693),
+	.X(n_23935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906590 (
+	.A(n_21350),
+	.B(n_22690),
+	.Y(n_23934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906591 (
+	.A(n_22689),
+	.B(n_22691),
+	.Y(n_23933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906592 (
+	.A1(n_18349),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [8]),
+	.B1(n_18353),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [8]),
+	.C1(n_21280),
+	.X(n_23932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906595 (
+	.A1(n_19566),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [7]),
+	.B1(n_19590),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [7]),
+	.C1(n_22685),
+	.X(n_23929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906596 (
+	.A1(n_19595),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [7]),
+	.B1(n_19593),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [7]),
+	.C1(n_22682),
+	.X(n_23928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906597 (
+	.A(n_22680),
+	.B(n_22675),
+	.Y(n_23927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906598 (
+	.A1(n_19589),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [7]),
+	.B1(n_19573),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [7]),
+	.C1(n_22676),
+	.X(n_23926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906600 (
+	.A1(n_18349),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [7]),
+	.B1(n_18353),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [7]),
+	.C1(n_22674),
+	.X(n_23924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906601 (
+	.A(n_21626),
+	.B(n_22671),
+	.Y(n_23923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g906603 (
+	.A(n_20757),
+	.B(n_20760),
+	.C(n_19821),
+	.D(n_20714),
+	.Y(n_23921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906606 (
+	.A1(n_18345),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [6]),
+	.B1(n_18346),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [6]),
+	.C1(n_22668),
+	.X(n_23918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g906607 (
+	.A(n_22665),
+	.B(n_20334),
+	.C(n_20752),
+	.Y(n_23917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906608 (
+	.A1(n_19582),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [6]),
+	.B1(n_19579),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [6]),
+	.C1(n_22662),
+	.X(n_23916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906610 (
+	.A1(n_19569),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [6]),
+	.B1(n_19565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [6]),
+	.C1(n_21248),
+	.X(n_23914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906611 (
+	.A1(n_19185),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [17]),
+	.B1(n_19398),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [17]),
+	.C1(n_22635),
+	.Y(n_23913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906612 (
+	.A1(n_19577),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [6]),
+	.B1(n_19575),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [6]),
+	.C1(n_22657),
+	.X(n_23912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906614 (
+	.A(n_22653),
+	.B(n_21120),
+	.Y(n_23910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906616 (
+	.A1(n_18355),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [5]),
+	.B1(n_18352),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [5]),
+	.C1(n_22649),
+	.X(n_23908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906617 (
+	.A(n_35847),
+	.B(n_22647),
+	.Y(n_23907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906618 (
+	.A1(n_19563),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [5]),
+	.B1(n_19584),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [5]),
+	.C1(n_22645),
+	.X(n_23906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906619 (
+	.A1(n_19587),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [5]),
+	.B1(n_19596),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [5]),
+	.C1(n_22642),
+	.X(n_23905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906620 (
+	.A1(n_19564),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [5]),
+	.B1(n_19583),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [5]),
+	.C1(n_22639),
+	.X(n_23904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906621 (
+	.A1(n_18355),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [4]),
+	.B1(n_18352),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [4]),
+	.C1(n_22634),
+	.X(n_23903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906623 (
+	.A1(n_19564),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [4]),
+	.B1(n_19583),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [4]),
+	.C1(n_22631),
+	.X(n_23901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906624 (
+	.A(n_22612),
+	.B(n_22628),
+	.Y(n_23900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906625 (
+	.A(n_22627),
+	.B(n_35848),
+	.Y(n_23899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906627 (
+	.A1(n_19185),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [29]),
+	.B1(n_19073),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [29]),
+	.C1(n_22547),
+	.Y(n_23897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906628 (
+	.A1(n_18345),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [3]),
+	.B1(n_18346),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [3]),
+	.C1(n_22620),
+	.X(n_23896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906630 (
+	.A1(n_19563),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [3]),
+	.B1(n_19584),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [3]),
+	.C1(n_22616),
+	.X(n_23894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906631 (
+	.A1(n_19569),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [3]),
+	.B1(n_19565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [3]),
+	.C1(n_22613),
+	.X(n_23893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g906632 (
+	.A(n_22618),
+	.B(n_22615),
+	.X(n_23892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906633 (
+	.A(n_35983),
+	.B(n_35856),
+	.Y(n_23891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906634 (
+	.A1(n_19564),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [3]),
+	.B1(n_19583),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [3]),
+	.C1(n_21142),
+	.X(n_23890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906635 (
+	.A(n_22607),
+	.B(n_22605),
+	.Y(n_23889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906636 (
+	.A(n_22604),
+	.B(n_22608),
+	.Y(n_23888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906637 (
+	.A(n_22599),
+	.B(n_22603),
+	.Y(n_23887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906639 (
+	.A1(n_18345),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [2]),
+	.B1(n_18346),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [2]),
+	.C1(n_22601),
+	.X(n_23885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906641 (
+	.A1(n_19595),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [2]),
+	.B1(n_19593),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [2]),
+	.C1(n_22600),
+	.X(n_23883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906642 (
+	.A1(n_19587),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [2]),
+	.B1(n_19596),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [2]),
+	.C1(n_22596),
+	.X(n_23882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906643 (
+	.A(n_22586),
+	.B(n_22595),
+	.Y(n_23881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906645 (
+	.A1(n_19594),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [1]),
+	.B1(n_19580),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [1]),
+	.C1(n_22587),
+	.X(n_23879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906646 (
+	.A1(n_19563),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [1]),
+	.B1(n_19584),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [1]),
+	.C1(n_20940),
+	.X(n_23878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906648 (
+	.A1(n_19587),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [1]),
+	.B1(n_19596),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [1]),
+	.C1(n_20876),
+	.X(n_23876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906649 (
+	.A1(n_19564),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [1]),
+	.B1(n_19583),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [1]),
+	.C1(n_22577),
+	.X(n_23875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906653 (
+	.A1(n_18345),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [0]),
+	.B1(n_18346),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [0]),
+	.C1(n_22483),
+	.X(n_23871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906655 (
+	.A1(n_19595),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [0]),
+	.B1(n_19593),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [0]),
+	.C1(n_22570),
+	.X(n_23869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906656 (
+	.A1(n_19587),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [0]),
+	.B1(n_19596),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [0]),
+	.C1(n_22569),
+	.X(n_23868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906657 (
+	.A(n_35978),
+	.B(n_35857),
+	.Y(n_23867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906658 (
+	.A1(n_18349),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [0]),
+	.B1(n_18353),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [0]),
+	.C1(n_22565),
+	.X(n_23866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906660 (
+	.A(n_22541),
+	.B(n_22564),
+	.Y(n_23864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906661 (
+	.A(n_22559),
+	.B(n_22560),
+	.Y(n_23863), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906664 (
+	.A(n_35858),
+	.B(n_84650),
+	.Y(n_23860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906665 (
+	.A(n_35862),
+	.B(n_22514),
+	.Y(n_23859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906666 (
+	.A(n_22542),
+	.B(n_22545),
+	.Y(n_23858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906667 (
+	.A1(n_19185),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [30]),
+	.B1(n_19073),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [30]),
+	.C1(n_22661),
+	.Y(n_23857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906668 (
+	.A(n_22550),
+	.B(n_22549),
+	.Y(n_23856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906669 (
+	.A(n_22534),
+	.B(n_35860),
+	.Y(n_23855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906673 (
+	.A(n_22510),
+	.B(n_22508),
+	.Y(n_23851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906674 (
+	.A(n_22505),
+	.B(n_22477),
+	.Y(n_23850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906679 (
+	.A(n_22533),
+	.B(n_22513),
+	.Y(n_23845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906680 (
+	.A(n_22516),
+	.B(n_22512),
+	.Y(n_23844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906681 (
+	.A(n_76093),
+	.B(n_22555),
+	.Y(n_23843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g906686 (
+	.A(n_22491),
+	.B(n_22488),
+	.X(n_23838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906687 (
+	.A(n_22637),
+	.B(n_22506),
+	.Y(n_23837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906689 (
+	.A(n_35867),
+	.B(n_35864),
+	.Y(n_23835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906690 (
+	.A(n_22446),
+	.B(n_22490),
+	.Y(n_23834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906691 (
+	.A(n_22492),
+	.B(n_35865),
+	.Y(n_23833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906692 (
+	.A(n_22479),
+	.B(n_22480),
+	.Y(n_23832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906696 (
+	.A(n_35868),
+	.B(n_22468),
+	.Y(n_23828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906698 (
+	.A(n_35869),
+	.B(n_22454),
+	.Y(n_23826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906699 (
+	.A(n_22452),
+	.B(n_22455),
+	.Y(n_23825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906700 (
+	.A(n_22428),
+	.B(n_22440),
+	.Y(n_23824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906703 (
+	.A(n_22447),
+	.B(n_22445),
+	.Y(n_23821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906705 (
+	.A(n_22439),
+	.B(n_22443),
+	.Y(n_23819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906707 (
+	.A(n_22436),
+	.B(n_22433),
+	.Y(n_23817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906708 (
+	.A(n_22432),
+	.B(n_22431),
+	.Y(n_23816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906709 (
+	.A(n_35872),
+	.B(n_22430),
+	.Y(n_23815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906711 (
+	.A(n_22429),
+	.B(n_22425),
+	.Y(n_23813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906714 (
+	.A(n_22423),
+	.B(n_22421),
+	.Y(n_23810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906717 (
+	.A(n_35877),
+	.B(n_22409),
+	.Y(n_23807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906719 (
+	.A(n_22392),
+	.B(n_22402),
+	.Y(n_23805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906720 (
+	.A(n_35878),
+	.B(n_22391),
+	.Y(n_23804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906721 (
+	.A(n_22390),
+	.B(n_22388),
+	.Y(n_23803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906722 (
+	.A(n_22366),
+	.B(n_22379),
+	.Y(n_23802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906726 (
+	.A(n_22372),
+	.B(n_35880),
+	.Y(n_23643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906728 (
+	.A(n_22362),
+	.B(n_22364),
+	.Y(n_23641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906729 (
+	.A(n_22358),
+	.B(n_22359),
+	.Y(n_23640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906731 (
+	.A(n_22357),
+	.B(n_22355),
+	.Y(n_23638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906732 (
+	.A(n_22353),
+	.B(n_22354),
+	.Y(n_23637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906733 (
+	.A1(n_19366),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [30]),
+	.B1(n_18818),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [30]),
+	.C1(n_22335),
+	.Y(n_23636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906734 (
+	.A(n_22278),
+	.B(n_22325),
+	.Y(n_23635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906737 (
+	.A(n_22351),
+	.B(n_22348),
+	.Y(n_23632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906738 (
+	.A(n_22347),
+	.B(n_22346),
+	.Y(n_23631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906739 (
+	.A(n_22344),
+	.B(n_22343),
+	.Y(n_23630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906740 (
+	.A(n_22340),
+	.B(n_22338),
+	.Y(n_23629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906741 (
+	.A(n_22339),
+	.B(n_35881),
+	.Y(n_23628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906742 (
+	.A(n_22316),
+	.B(n_22328),
+	.Y(n_23627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g906743 (
+	.A(n_22329),
+	.B(n_22333),
+	.Y(n_23626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906745 (
+	.A(n_22322),
+	.B(n_22324),
+	.Y(n_23624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906746 (
+	.A(n_22327),
+	.B(n_84651),
+	.Y(n_23623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906748 (
+	.A(n_22319),
+	.B(n_22320),
+	.Y(n_23621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906749 (
+	.A(n_22318),
+	.B(n_22315),
+	.Y(n_23620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906752 (
+	.A1(n_18357),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [24]),
+	.B1(n_18359),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [24]),
+	.C1(n_22308),
+	.Y(n_23617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906754 (
+	.A(n_22242),
+	.B(n_22276),
+	.Y(n_23615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906755 (
+	.A(n_22302),
+	.B(n_22304),
+	.Y(n_23614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906757 (
+	.A(n_22300),
+	.B(n_22299),
+	.Y(n_23612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906760 (
+	.A(n_22296),
+	.B(n_35886),
+	.Y(n_23609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906761 (
+	.A(n_22290),
+	.B(n_35887),
+	.Y(n_23608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906763 (
+	.A1(n_18357),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [8]),
+	.B1(n_18359),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [8]),
+	.C1(n_22293),
+	.X(n_23606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g906764 (
+	.A1(n_19633),
+	.A2(n_18063),
+	.A3(n_18042),
+	.B1(n_22745),
+	.Y(n_23605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g906767 (
+	.A(n_22279),
+	.B(n_22280),
+	.X(n_23602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906768 (
+	.A1(n_19564),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [26]),
+	.B1(n_19583),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [26]),
+	.C1(n_21221),
+	.Y(n_23601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g906770 (
+	.A(n_22274),
+	.B(n_20390),
+	.C(n_20389),
+	.X(n_23599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906771 (
+	.A(n_22263),
+	.B(n_22265),
+	.Y(n_23598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906773 (
+	.A1(n_19185),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [0]),
+	.B1(n_19398),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [0]),
+	.C1(n_21573),
+	.Y(n_23596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906774 (
+	.A(n_22262),
+	.B(n_22264),
+	.Y(n_23595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906775 (
+	.A(n_22259),
+	.B(n_22260),
+	.Y(n_23594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906777 (
+	.A(n_22254),
+	.B(n_22256),
+	.Y(n_23592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906778 (
+	.A(n_22255),
+	.B(n_35890),
+	.Y(n_23591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_4 g906780 (
+	.A1(n_18357),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [22]),
+	.B1(n_19600),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [22]),
+	.C1(n_22251),
+	.Y(n_23589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906782 (
+	.A(n_22244),
+	.B(n_22249),
+	.Y(n_23587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906783 (
+	.A1(n_18357),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [6]),
+	.B1(n_19600),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [6]),
+	.C1(n_22243),
+	.Y(n_23586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906784 (
+	.A1(n_19587),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [24]),
+	.B1(n_19596),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [24]),
+	.C1(n_21150),
+	.Y(n_23585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906788 (
+	.A1(n_18361),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [30]),
+	.B1(n_18359),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [30]),
+	.C1(n_22235),
+	.Y(n_23581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906789 (
+	.A(n_22233),
+	.B(n_22232),
+	.Y(n_23580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906790 (
+	.A(n_22229),
+	.B(n_22234),
+	.Y(n_23579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906795 (
+	.A(n_22221),
+	.B(n_22222),
+	.Y(n_23574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_2 g906797 (
+	.A1(n_18361),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [18]),
+	.B1(n_18357),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [18]),
+	.C1(n_22215),
+	.Y(n_23572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906799 (
+	.A(n_22212),
+	.B(n_22213),
+	.Y(n_23570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906800 (
+	.A(n_22194),
+	.B(n_22208),
+	.Y(n_23569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906801 (
+	.A(n_22209),
+	.B(n_22211),
+	.Y(n_23568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906802 (
+	.A(n_22204),
+	.B(n_22206),
+	.Y(n_23567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906803 (
+	.A1(n_18357),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [26]),
+	.B1(n_19600),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [26]),
+	.C1(n_22203),
+	.Y(n_23566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906804 (
+	.A(n_22180),
+	.B(n_22210),
+	.Y(n_23565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906808 (
+	.A(n_22192),
+	.B(n_35893),
+	.Y(n_23561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906810 (
+	.A(n_22189),
+	.B(n_22187),
+	.Y(n_23559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906811 (
+	.A(n_22186),
+	.B(n_22185),
+	.Y(n_23558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906812 (
+	.A1(n_18361),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [29]),
+	.B1(n_19600),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [29]),
+	.C1(n_22183),
+	.Y(n_23557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906813 (
+	.A(n_22181),
+	.B(n_22182),
+	.Y(n_23556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906816 (
+	.A(n_22172),
+	.B(n_35895),
+	.Y(n_23553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906817 (
+	.A(n_35896),
+	.B(n_22168),
+	.Y(n_23552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906820 (
+	.A1(n_18357),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [21]),
+	.B1(n_18359),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [21]),
+	.C1(n_22163),
+	.Y(n_23549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906826 (
+	.A(n_22148),
+	.B(n_35900),
+	.Y(n_23543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906827 (
+	.A1(n_18897),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [29]),
+	.B1(n_18531),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [29]),
+	.C1(n_22118),
+	.Y(n_23542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906828 (
+	.A(n_22152),
+	.B(n_35898),
+	.Y(n_23541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_4 g906831 (
+	.A1(n_18357),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [31]),
+	.B1(n_19600),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [31]),
+	.C1(n_22144),
+	.Y(n_23538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906833 (
+	.A(n_22139),
+	.B(n_22140),
+	.Y(n_23536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906836 (
+	.A(n_22132),
+	.B(n_22131),
+	.Y(n_23533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906838 (
+	.A(n_22127),
+	.B(n_22126),
+	.Y(n_23531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906841 (
+	.A1(n_18215),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [30]),
+	.B1(n_18311),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [30]),
+	.C1(n_22022),
+	.Y(n_23528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906842 (
+	.A1(n_18357),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [19]),
+	.B1(n_19600),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [19]),
+	.C1(n_22119),
+	.Y(n_23527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906844 (
+	.A(n_22113),
+	.B(n_22112),
+	.Y(n_23525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906846 (
+	.A(n_22106),
+	.B(n_22110),
+	.Y(n_23523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906847 (
+	.A(n_22108),
+	.B(n_35907),
+	.Y(n_23522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906848 (
+	.A1(n_18361),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [27]),
+	.B1(n_18357),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [27]),
+	.C1(n_22107),
+	.Y(n_23521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906849 (
+	.A(n_22103),
+	.B(n_22101),
+	.Y(n_23520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906850 (
+	.A(n_22098),
+	.B(n_22102),
+	.Y(n_23519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906851 (
+	.A(n_22099),
+	.B(n_34786),
+	.Y(n_23518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906852 (
+	.A(n_22094),
+	.B(n_22092),
+	.Y(n_23517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_2 g906855 (
+	.A1(n_18357),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [20]),
+	.B1(n_19600),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [20]),
+	.C1(n_22087),
+	.Y(n_23514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906856 (
+	.A(n_22082),
+	.B(n_22085),
+	.Y(n_23513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906858 (
+	.A1(n_18361),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [28]),
+	.B1(n_18357),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [28]),
+	.C1(n_22080),
+	.Y(n_23511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906862 (
+	.A(n_22073),
+	.B(n_22071),
+	.Y(n_23507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_4 g906864 (
+	.A1(n_18361),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [17]),
+	.B1(n_18359),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [17]),
+	.C1(n_22056),
+	.Y(n_23505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_2 g906865 (
+	.A1(n_18357),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [25]),
+	.B1(n_19600),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [25]),
+	.C1(n_22055),
+	.Y(n_24012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906866 (
+	.A(n_22053),
+	.B(n_22051),
+	.Y(n_23504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906868 (
+	.A(n_35914),
+	.B(n_22047),
+	.Y(n_23502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906869 (
+	.A(n_22041),
+	.B(n_22046),
+	.Y(n_23501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906873 (
+	.A(n_22034),
+	.B(n_22033),
+	.Y(n_23497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906874 (
+	.A(n_35915),
+	.B(n_22028),
+	.Y(n_23496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906876 (
+	.A(n_22024),
+	.B(n_22023),
+	.Y(n_23494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906880 (
+	.A(n_21988),
+	.B(n_21993),
+	.Y(n_23490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906881 (
+	.A(n_35918),
+	.B(n_22003),
+	.Y(n_23489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906884 (
+	.A(n_21994),
+	.B(n_21992),
+	.Y(n_23486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906885 (
+	.A(n_21982),
+	.B(n_21979),
+	.Y(n_23485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906886 (
+	.A(n_21978),
+	.B(n_35920),
+	.Y(n_23484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906888 (
+	.A(n_21956),
+	.B(n_21973),
+	.Y(n_23482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906890 (
+	.A(n_21966),
+	.B(n_21968),
+	.Y(n_23480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906891 (
+	.A(n_21965),
+	.B(n_21964),
+	.Y(n_23479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906892 (
+	.A(n_21963),
+	.B(n_21962),
+	.Y(n_23478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906893 (
+	.A(n_21961),
+	.B(n_21960),
+	.Y(n_23477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906894 (
+	.A(n_21959),
+	.B(n_21958),
+	.Y(n_23476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906895 (
+	.A(n_20859),
+	.B(n_20857),
+	.Y(n_23475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906897 (
+	.A1(n_18604),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [30]),
+	.B1(n_18533),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [30]),
+	.C1(n_21818),
+	.Y(n_23473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906900 (
+	.A1(n_19563),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [8]),
+	.B1(n_19584),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [8]),
+	.C1(n_22695),
+	.X(n_23470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906902 (
+	.A(n_21904),
+	.B(n_21914),
+	.Y(n_23468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906904 (
+	.A(n_21907),
+	.B(n_35924),
+	.Y(n_23466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906906 (
+	.A1(n_18537),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [2]),
+	.B1(n_18376),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [2]),
+	.C1(n_21912),
+	.X(n_23464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906907 (
+	.A1(n_18033),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [2]),
+	.B1(n_18315),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [2]),
+	.C1(n_21911),
+	.X(n_23463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906909 (
+	.A1(n_19185),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [2]),
+	.B1(n_19398),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [2]),
+	.C1(n_21909),
+	.Y(n_23461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906910 (
+	.A1(n_18924),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [2]),
+	.B1(n_19073),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [2]),
+	.C1(n_21906),
+	.Y(n_23460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906912 (
+	.A1(n_18565),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [2]),
+	.B1(n_19010),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [2]),
+	.C1(n_21902),
+	.Y(n_23458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906913 (
+	.A1(n_18289),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [2]),
+	.B1(n_18380),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [2]),
+	.C1(n_21900),
+	.Y(n_23457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906916 (
+	.A1(n_18537),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [3]),
+	.B1(n_18376),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [3]),
+	.C1(n_21889),
+	.X(n_23454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906917 (
+	.A1(n_18033),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [3]),
+	.B1(n_18315),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [3]),
+	.C1(n_21888),
+	.X(n_23453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906919 (
+	.A1(n_19185),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [3]),
+	.B1(n_19398),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [3]),
+	.C1(n_21885),
+	.Y(n_23451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906920 (
+	.A(n_21866),
+	.B(n_21890),
+	.Y(n_23450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906921 (
+	.A1(n_18924),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [3]),
+	.B1(n_19073),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [3]),
+	.C1(n_21883),
+	.Y(n_23449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906922 (
+	.A(n_21881),
+	.B(n_21887),
+	.Y(n_23448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906924 (
+	.A1(n_19010),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [3]),
+	.B1(n_18818),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [3]),
+	.C1(n_21882),
+	.Y(n_23446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906925 (
+	.A1(n_18289),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [3]),
+	.B1(n_18380),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [3]),
+	.C1(n_21879),
+	.Y(n_23445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906927 (
+	.A1(n_18537),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [5]),
+	.B1(n_18376),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [5]),
+	.C1(n_21871),
+	.X(n_23443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906928 (
+	.A1(n_18033),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [5]),
+	.B1(n_18315),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [5]),
+	.C1(n_21870),
+	.X(n_23442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g906929 (
+	.A(n_21869),
+	.B(n_19817),
+	.C(n_20065),
+	.Y(n_23441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906930 (
+	.A1(n_19185),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [5]),
+	.B1(n_19398),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [5]),
+	.C1(n_21868),
+	.Y(n_23440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906931 (
+	.A1(n_18924),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [5]),
+	.B1(n_19073),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [5]),
+	.C1(n_21867),
+	.Y(n_23439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906932 (
+	.A1(n_19010),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [5]),
+	.B1(n_18818),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [5]),
+	.C1(n_21865),
+	.Y(n_23438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906933 (
+	.A1(n_18289),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [5]),
+	.B1(n_18380),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [5]),
+	.C1(n_21864),
+	.Y(n_23437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906935 (
+	.A(n_21854),
+	.B(n_21859),
+	.Y(n_23435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906936 (
+	.A1(n_18537),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [4]),
+	.B1(n_18376),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [4]),
+	.C1(n_21852),
+	.X(n_23434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906937 (
+	.A1(n_18033),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [4]),
+	.B1(n_18315),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [4]),
+	.C1(n_21851),
+	.X(n_23433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906939 (
+	.A(n_21845),
+	.B(n_21846),
+	.Y(n_23431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906940 (
+	.A1(n_19185),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [4]),
+	.B1(n_19398),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [4]),
+	.C1(n_21848),
+	.Y(n_23430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906941 (
+	.A1(n_18924),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [4]),
+	.B1(n_19073),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [4]),
+	.C1(n_21844),
+	.Y(n_23429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906942 (
+	.A1(n_18565),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [4]),
+	.B1(n_19010),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [4]),
+	.C1(n_21842),
+	.Y(n_23428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906943 (
+	.A(n_21841),
+	.B(n_21839),
+	.Y(n_23427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906944 (
+	.A1(n_18289),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [4]),
+	.B1(n_18380),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [4]),
+	.C1(n_21840),
+	.Y(n_23426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906945 (
+	.A(n_21836),
+	.B(n_21834),
+	.Y(n_23425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906947 (
+	.A(n_21829),
+	.B(n_21827),
+	.Y(n_23423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906948 (
+	.A(n_21823),
+	.B(n_21822),
+	.Y(n_23422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906949 (
+	.A1(n_18537),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [6]),
+	.B1(n_18376),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [6]),
+	.C1(n_21826),
+	.X(n_23421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906950 (
+	.A1(n_18033),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [6]),
+	.B1(n_18315),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [6]),
+	.C1(n_21824),
+	.X(n_23420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906951 (
+	.A1(n_19185),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [6]),
+	.B1(n_19398),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [6]),
+	.C1(n_21821),
+	.Y(n_23419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906952 (
+	.A1(n_18924),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [6]),
+	.B1(n_19073),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [6]),
+	.C1(n_21819),
+	.Y(n_23418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906953 (
+	.A1(n_19010),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [6]),
+	.B1(n_18818),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [6]),
+	.C1(n_21817),
+	.Y(n_23417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906954 (
+	.A1(n_18289),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [6]),
+	.B1(n_18380),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [6]),
+	.C1(n_21815),
+	.Y(n_23416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906955 (
+	.A1(n_18537),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [7]),
+	.B1(n_18376),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [7]),
+	.C1(n_21808),
+	.X(n_23415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906956 (
+	.A(n_21799),
+	.B(n_21807),
+	.Y(n_23414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906957 (
+	.A1(n_18033),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [7]),
+	.B1(n_18315),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [7]),
+	.C1(n_21806),
+	.X(n_23413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906958 (
+	.A(n_21804),
+	.B(n_21802),
+	.Y(n_23412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906959 (
+	.A1(n_19185),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [7]),
+	.B1(n_19398),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [7]),
+	.C1(n_21803),
+	.Y(n_23411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906960 (
+	.A1(n_18924),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [7]),
+	.B1(n_19073),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [7]),
+	.C1(n_21800),
+	.Y(n_23410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906962 (
+	.A1(n_19010),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [7]),
+	.B1(n_18818),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [7]),
+	.C1(n_21797),
+	.Y(n_23408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906963 (
+	.A1(n_18289),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [7]),
+	.B1(n_18380),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [7]),
+	.C1(n_21796),
+	.Y(n_23407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906966 (
+	.A1(n_18215),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [26]),
+	.B1(n_18311),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [26]),
+	.C1(n_21789),
+	.Y(n_23404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906967 (
+	.A1(n_18604),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [26]),
+	.B1(n_18533),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [26]),
+	.C1(n_21788),
+	.Y(n_23403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906968 (
+	.A1(n_18537),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [26]),
+	.B1(n_18376),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [26]),
+	.C1(n_22714),
+	.Y(n_23402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906969 (
+	.A1(n_18897),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [26]),
+	.B1(n_18531),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [26]),
+	.C1(n_22737),
+	.Y(n_23401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906970 (
+	.A(n_35840),
+	.B(n_20974),
+	.Y(n_23400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906971 (
+	.A1(n_19010),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [26]),
+	.B1(n_18818),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [26]),
+	.C1(n_21763),
+	.X(n_23399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906972 (
+	.A(n_21757),
+	.B(n_21764),
+	.Y(n_23398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906975 (
+	.A1(n_18289),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [26]),
+	.B1(n_18380),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [26]),
+	.C1(n_21760),
+	.X(n_23395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906976 (
+	.A1(n_18537),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [28]),
+	.B1(n_18376),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [28]),
+	.C1(n_21749),
+	.X(n_23394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906977 (
+	.A1(n_18897),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [28]),
+	.B1(n_18531),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [28]),
+	.C1(n_21747),
+	.X(n_23393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906980 (
+	.A1(n_19185),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [28]),
+	.B1(n_19398),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [28]),
+	.C1(n_21743),
+	.Y(n_23390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906981 (
+	.A1(n_18924),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [28]),
+	.B1(n_19073),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [28]),
+	.C1(n_21742),
+	.Y(n_23389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906982 (
+	.A1(n_19010),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [28]),
+	.B1(n_18818),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [28]),
+	.C1(n_21740),
+	.Y(n_23388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906983 (
+	.A1(n_18289),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [28]),
+	.B1(n_18380),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [28]),
+	.C1(n_21737),
+	.Y(n_23387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906985 (
+	.A1(n_19185),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [31]),
+	.B1(n_19398),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [31]),
+	.C1(n_21074),
+	.Y(n_23385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g906986 (
+	.A(n_22699),
+	.B(n_22696),
+	.Y(n_23384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906987 (
+	.A1(n_18537),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [27]),
+	.B1(n_18376),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [27]),
+	.C1(n_21729),
+	.X(n_23383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906988 (
+	.A1(n_18897),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [27]),
+	.B1(n_18531),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [27]),
+	.C1(n_21727),
+	.X(n_23382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906989 (
+	.A1(n_19185),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [27]),
+	.B1(n_19398),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [27]),
+	.C1(n_21725),
+	.Y(n_23381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906990 (
+	.A1(n_18924),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [27]),
+	.B1(n_19073),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [27]),
+	.C1(n_21724),
+	.Y(n_23380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g906991 (
+	.A(n_21722),
+	.B(n_21723),
+	.Y(n_23379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906992 (
+	.A1(n_18565),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [27]),
+	.B1(n_19010),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [27]),
+	.C1(n_21721),
+	.Y(n_23378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906993 (
+	.A1(n_18380),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [27]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [27]),
+	.C1(n_21949),
+	.Y(n_23377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906995 (
+	.A1(n_18537),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [24]),
+	.B1(n_18376),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [24]),
+	.C1(n_21711),
+	.X(n_23375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g906996 (
+	.A1(n_18897),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [24]),
+	.B1(n_18531),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [24]),
+	.C1(n_21710),
+	.X(n_23374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906997 (
+	.A1(n_19185),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [24]),
+	.B1(n_19398),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [24]),
+	.C1(n_21708),
+	.Y(n_23373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g906998 (
+	.A1(n_18924),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [24]),
+	.B1(n_19073),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [24]),
+	.C1(n_21706),
+	.Y(n_23372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907000 (
+	.A1(n_18565),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [24]),
+	.B1(n_19010),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [24]),
+	.C1(n_21703),
+	.Y(n_23370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907001 (
+	.A1(n_18380),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [24]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [24]),
+	.C1(n_21701),
+	.Y(n_23369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907002 (
+	.A1(n_18537),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [23]),
+	.B1(n_18376),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [23]),
+	.C1(n_21694),
+	.X(n_23368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907003 (
+	.A1(n_18897),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [23]),
+	.B1(n_18531),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [23]),
+	.C1(n_22205),
+	.X(n_23367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907004 (
+	.A(n_21692),
+	.B(n_21690),
+	.Y(n_23366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907005 (
+	.A1(n_19185),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [23]),
+	.B1(n_19398),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [23]),
+	.C1(n_21691),
+	.Y(n_23365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907006 (
+	.A1(n_18924),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [23]),
+	.B1(n_19073),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [23]),
+	.C1(n_21689),
+	.Y(n_23364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907007 (
+	.A1(n_19338),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [23]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [23]),
+	.C1(n_21686),
+	.Y(n_23363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g907008 (
+	.A(n_21684),
+	.B(n_21687),
+	.Y(n_23362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907009 (
+	.A1(n_18565),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [23]),
+	.B1(n_19010),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [23]),
+	.C1(n_21683),
+	.Y(n_23361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g907010 (
+	.A(n_21675),
+	.B(n_21679),
+	.Y(n_23360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907011 (
+	.A(n_21673),
+	.B(n_21681),
+	.Y(n_23359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907012 (
+	.A(n_21670),
+	.B(n_21662),
+	.Y(n_23358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907013 (
+	.A1(n_18537),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [29]),
+	.B1(n_18376),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [29]),
+	.C1(n_21674),
+	.X(n_23357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g907014 (
+	.A(n_21672),
+	.B(n_21669),
+	.Y(n_23356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907015 (
+	.A1(n_18897),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [29]),
+	.B1(n_18531),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [29]),
+	.C1(n_22317),
+	.X(n_23355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907016 (
+	.A1(n_19185),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [29]),
+	.B1(n_19398),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [29]),
+	.C1(n_21671),
+	.Y(n_23354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907017 (
+	.A1(n_18924),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [29]),
+	.B1(n_19073),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [29]),
+	.C1(n_21668),
+	.Y(n_23353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907018 (
+	.A(n_21660),
+	.B(n_21665),
+	.Y(n_23352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907020 (
+	.A1(n_19010),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [29]),
+	.B1(n_18818),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [29]),
+	.C1(n_21666),
+	.Y(n_23350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907021 (
+	.A1(n_18289),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [29]),
+	.B1(n_18380),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [29]),
+	.C1(n_21663),
+	.Y(n_23349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907022 (
+	.A1(n_18604),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [30]),
+	.B1(n_18533),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [30]),
+	.C1(n_21661),
+	.X(n_23348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907024 (
+	.A1(n_18674),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [30]),
+	.B1(n_18642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [30]),
+	.C1(n_22441),
+	.X(n_23346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907025 (
+	.A(n_21647),
+	.B(n_21653),
+	.Y(n_23345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907027 (
+	.A1(n_19185),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [30]),
+	.B1(n_19398),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [30]),
+	.C1(n_21650),
+	.Y(n_23343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907028 (
+	.A1(n_18924),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [30]),
+	.B1(n_19073),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [30]),
+	.C1(n_21648),
+	.Y(n_23342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907029 (
+	.A1(n_18565),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [30]),
+	.B1(n_19010),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [30]),
+	.C1(n_22528),
+	.Y(n_23341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907030 (
+	.A1(n_19010),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [30]),
+	.B1(n_18271),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [30]),
+	.C1(n_20855),
+	.Y(n_23340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907031 (
+	.A(n_21636),
+	.B(n_21644),
+	.Y(n_23339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g907032 (
+	.A(n_21645),
+	.B(n_21646),
+	.Y(n_23338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907033 (
+	.A1(n_18289),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [30]),
+	.B1(n_18380),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [30]),
+	.C1(n_22511),
+	.Y(n_23337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907034 (
+	.A1(n_18215),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [25]),
+	.B1(n_18311),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [25]),
+	.C1(n_21643),
+	.Y(n_23336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907035 (
+	.A(n_21634),
+	.B(n_21642),
+	.Y(n_23335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907036 (
+	.A1(n_18604),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [25]),
+	.B1(n_18533),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [25]),
+	.C1(n_21640),
+	.Y(n_23334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g907037 (
+	.A(n_21639),
+	.B(n_21641),
+	.Y(n_23333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907038 (
+	.A1(n_18537),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [25]),
+	.B1(n_18376),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [25]),
+	.C1(n_21638),
+	.Y(n_23332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907039 (
+	.A1(n_18897),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [25]),
+	.B1(n_18531),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [25]),
+	.C1(n_21637),
+	.Y(n_23331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907040 (
+	.A1(n_19185),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [25]),
+	.B1(n_19398),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [25]),
+	.C1(n_21635),
+	.Y(n_23330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907041 (
+	.A1(n_18924),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [25]),
+	.B1(n_19073),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [25]),
+	.C1(n_21633),
+	.Y(n_23329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907042 (
+	.A1(n_18565),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [25]),
+	.B1(n_19010),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [25]),
+	.C1(n_21632),
+	.Y(n_23328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907043 (
+	.A(n_21628),
+	.B(n_21630),
+	.Y(n_23327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g907044 (
+	.A(n_21631),
+	.B(n_20756),
+	.C(n_19920),
+	.Y(n_23326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907045 (
+	.A1(n_18289),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [25]),
+	.B1(n_19338),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [25]),
+	.C1(n_22629),
+	.Y(n_23325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907046 (
+	.A(n_21627),
+	.B(n_21629),
+	.Y(n_23324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907047 (
+	.A(n_21623),
+	.B(n_21620),
+	.Y(n_23323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907048 (
+	.A1(n_18537),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [1]),
+	.B1(n_18376),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [1]),
+	.C1(n_21616),
+	.X(n_23322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907050 (
+	.A1(n_18033),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [1]),
+	.B1(n_18315),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [1]),
+	.C1(n_21614),
+	.X(n_23320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907051 (
+	.A(n_21612),
+	.B(n_21624),
+	.Y(n_23319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907053 (
+	.A1(n_19185),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [1]),
+	.B1(n_19398),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [1]),
+	.C1(n_21190),
+	.Y(n_23317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907054 (
+	.A1(n_18924),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [1]),
+	.B1(n_19073),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [1]),
+	.C1(n_21610),
+	.Y(n_23316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907055 (
+	.A1(n_19010),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [1]),
+	.B1(n_18818),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [1]),
+	.C1(n_21608),
+	.Y(n_23315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g907056 (
+	.A(n_21609),
+	.B(n_21607),
+	.Y(n_23314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907057 (
+	.A1(n_18289),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [1]),
+	.B1(n_18380),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [1]),
+	.C1(n_21606),
+	.Y(n_23313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g907058 (
+	.A(n_22524),
+	.B(n_21604),
+	.Y(n_23312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907059 (
+	.A1(n_18897),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [30]),
+	.B1(n_18531),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [30]),
+	.C1(n_21596),
+	.Y(n_23311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907060 (
+	.A1(n_18537),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [9]),
+	.B1(n_18376),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [9]),
+	.C1(n_21599),
+	.X(n_23310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907062 (
+	.A1(n_18033),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [9]),
+	.B1(n_18315),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [9]),
+	.C1(n_21597),
+	.X(n_23308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907063 (
+	.A1(n_19185),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [9]),
+	.B1(n_19398),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [9]),
+	.C1(n_21594),
+	.Y(n_23307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907064 (
+	.A1(n_18924),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [9]),
+	.B1(n_19073),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [9]),
+	.C1(n_21590),
+	.Y(n_23306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907065 (
+	.A(n_21549),
+	.B(n_21569),
+	.Y(n_23305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907066 (
+	.A1(n_18565),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [9]),
+	.B1(n_19010),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [9]),
+	.C1(n_21588),
+	.Y(n_23304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907067 (
+	.A1(n_18289),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [9]),
+	.B1(n_18380),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [9]),
+	.C1(n_21587),
+	.Y(n_23303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907068 (
+	.A(n_21585),
+	.B(n_21582),
+	.Y(n_23302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907070 (
+	.A1(n_18537),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [0]),
+	.B1(n_18376),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [0]),
+	.C1(n_21576),
+	.X(n_23300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907071 (
+	.A1(n_18033),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [0]),
+	.B1(n_18315),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [0]),
+	.C1(n_21574),
+	.X(n_23299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g907072 (
+	.A(n_18058),
+	.B(n_18052),
+	.C(n_18369),
+	.D(n_17904),
+	.Y(n_24011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g907074 (
+	.A_N(soc_top_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[2]),
+	.B(FE_DBTN101_n_21768),
+	.Y(n_24007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g907075 (
+	.A(n_22750),
+	.B(n_18341),
+	.Y(n_29372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g907076 (
+	.A(n_22750),
+	.B(n_18369),
+	.X(n_24006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_2 g907077 (
+	.A1(n_18361),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [32]),
+	.B1(n_18359),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [32]),
+	.C1(n_22267),
+	.Y(n_24005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907080 (
+	.A(n_18358),
+	.B(n_43916),
+	.Y(n_24001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g907083 (
+	.A(n_63142),
+	.B(n_29033),
+	.Y(n_23997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g907084 (
+	.A(n_17651),
+	.B(FE_DBTN101_n_21768),
+	.Y(n_23996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g907085 (
+	.A(n_21768),
+	.B(soc_top_u_top_u_core_id_stage_i_alu_op_b_mux_sel_dec),
+	.Y(n_23967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907092 (
+	.A1(n_18537),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [10]),
+	.B1(n_18376),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [10]),
+	.C1(n_21534),
+	.X(n_23291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907093 (
+	.A1(n_18033),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [10]),
+	.B1(n_18315),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [10]),
+	.C1(n_21532),
+	.X(n_23290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907094 (
+	.A1(n_19185),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [10]),
+	.B1(n_19398),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [10]),
+	.C1(n_21530),
+	.Y(n_23289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907095 (
+	.A1(n_19366),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [10]),
+	.B1(n_18866),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [10]),
+	.C1(n_21528),
+	.Y(n_23288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907096 (
+	.A(n_21486),
+	.B(n_21529),
+	.Y(n_23287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907097 (
+	.A1(n_19338),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [10]),
+	.B1(n_18565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [10]),
+	.C1(n_21527),
+	.Y(n_23286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907098 (
+	.A1(n_18380),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [10]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [10]),
+	.C1(n_21523),
+	.Y(n_23285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907100 (
+	.A(n_35935),
+	.B(n_21516),
+	.Y(n_23283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907101 (
+	.A1(n_18537),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [18]),
+	.B1(n_18376),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [18]),
+	.C1(n_21513),
+	.X(n_23282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907103 (
+	.A1(n_18033),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [18]),
+	.B1(n_18315),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [18]),
+	.C1(n_21510),
+	.X(n_23280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907104 (
+	.A1(n_19185),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [18]),
+	.B1(n_19398),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [18]),
+	.C1(n_21508),
+	.Y(n_23279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907106 (
+	.A1(n_19366),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [18]),
+	.B1(n_18866),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [18]),
+	.C1(n_21506),
+	.Y(n_23277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907107 (
+	.A1(n_18565),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [18]),
+	.B1(n_19010),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [18]),
+	.C1(n_21503),
+	.Y(n_23276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907108 (
+	.A1(n_19338),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [18]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [18]),
+	.C1(n_21500),
+	.Y(n_23275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907109 (
+	.A1(n_18537),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [22]),
+	.B1(n_18376),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [22]),
+	.C1(n_21490),
+	.X(n_23274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907110 (
+	.A1(n_18033),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [22]),
+	.B1(n_18315),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [22]),
+	.C1(n_21560),
+	.X(n_23273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907111 (
+	.A1(n_19185),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [22]),
+	.B1(n_19398),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [22]),
+	.C1(n_21487),
+	.Y(n_23272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907112 (
+	.A1(n_19366),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [22]),
+	.B1(n_18866),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [22]),
+	.C1(n_21625),
+	.Y(n_23271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907113 (
+	.A1(n_19010),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [22]),
+	.B1(n_18818),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [22]),
+	.C1(n_21484),
+	.Y(n_23270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907114 (
+	.A1(n_18289),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [22]),
+	.B1(n_19338),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [22]),
+	.C1(n_21483),
+	.Y(n_23269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907115 (
+	.A(n_21462),
+	.B(n_21472),
+	.Y(n_23268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907116 (
+	.A1(n_18537),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [21]),
+	.B1(n_18376),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [21]),
+	.C1(n_21734),
+	.X(n_23267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907118 (
+	.A1(n_18033),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [21]),
+	.B1(n_18315),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [21]),
+	.C1(n_21475),
+	.X(n_23265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907119 (
+	.A1(n_19185),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [21]),
+	.B1(n_19398),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [21]),
+	.C1(n_21471),
+	.Y(n_23264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907121 (
+	.A1(n_19366),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [21]),
+	.B1(n_18866),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [21]),
+	.C1(n_21469),
+	.Y(n_23262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907122 (
+	.A1(n_19338),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [21]),
+	.B1(n_18565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [21]),
+	.C1(n_21467),
+	.Y(n_23261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907123 (
+	.A1(n_18380),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [21]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [21]),
+	.C1(n_21465),
+	.Y(n_23260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907126 (
+	.A1(n_18537),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [11]),
+	.B1(n_18376),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [11]),
+	.C1(n_21456),
+	.X(n_23257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907128 (
+	.A1(n_18033),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [11]),
+	.B1(n_18315),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [11]),
+	.C1(n_21455),
+	.X(n_23255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907129 (
+	.A1(n_19185),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [11]),
+	.B1(n_19398),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [11]),
+	.C1(n_21453),
+	.Y(n_23254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907130 (
+	.A(n_21447),
+	.B(n_21450),
+	.Y(n_23253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907131 (
+	.A1(n_19366),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [11]),
+	.B1(n_18866),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [11]),
+	.C1(n_21451),
+	.Y(n_23252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907132 (
+	.A1(n_19338),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [11]),
+	.B1(n_18565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [11]),
+	.C1(n_21448),
+	.Y(n_23251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907133 (
+	.A1(n_18380),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [11]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [11]),
+	.C1(n_21446),
+	.Y(n_23250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907134 (
+	.A(n_21445),
+	.B(n_21442),
+	.Y(n_23249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907135 (
+	.A1(n_18537),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [30]),
+	.B1(n_18376),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [30]),
+	.C1(n_21983),
+	.Y(n_23248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907136 (
+	.A(n_35938),
+	.B(n_21440),
+	.Y(n_23247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907137 (
+	.A1(n_18537),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [19]),
+	.B1(n_18376),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [19]),
+	.C1(n_21438),
+	.X(n_23246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907138 (
+	.A(n_21435),
+	.B(n_21439),
+	.Y(n_23245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907139 (
+	.A1(n_18033),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [19]),
+	.B1(n_18315),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [19]),
+	.C1(n_21437),
+	.X(n_23244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907140 (
+	.A(n_21423),
+	.B(n_21429),
+	.Y(n_23243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907141 (
+	.A(n_21430),
+	.B(n_21432),
+	.Y(n_23242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907142 (
+	.A1(n_19185),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [19]),
+	.B1(n_19398),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [19]),
+	.C1(n_21436),
+	.Y(n_23241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907143 (
+	.A1(n_19366),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [19]),
+	.B1(n_18866),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [19]),
+	.C1(n_21434),
+	.Y(n_23240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907144 (
+	.A1(n_19338),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [19]),
+	.B1(n_18565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [19]),
+	.C1(n_21431),
+	.Y(n_23239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907145 (
+	.A1(n_18380),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [19]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [19]),
+	.C1(n_21428),
+	.Y(n_23238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907147 (
+	.A1(n_18537),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [17]),
+	.B1(n_18376),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [17]),
+	.C1(n_21418),
+	.X(n_23236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907148 (
+	.A1(n_18033),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [17]),
+	.B1(n_18315),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [17]),
+	.C1(n_21416),
+	.X(n_23235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907150 (
+	.A1(n_19366),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [17]),
+	.B1(n_18866),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [17]),
+	.C1(n_21412),
+	.Y(n_23233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907152 (
+	.A1(n_19010),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [17]),
+	.B1(n_18818),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [17]),
+	.C1(n_21409),
+	.Y(n_23231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907153 (
+	.A1(n_19338),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [17]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [17]),
+	.C1(n_21406),
+	.Y(n_23230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907155 (
+	.A(n_21405),
+	.B(n_21404),
+	.Y(n_23228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907156 (
+	.A(n_21399),
+	.B(n_21395),
+	.Y(n_23227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907157 (
+	.A1(n_18537),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [20]),
+	.B1(n_18376),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [20]),
+	.C1(n_21397),
+	.X(n_23226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907158 (
+	.A1(n_18033),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [20]),
+	.B1(n_18315),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [20]),
+	.C1(n_22052),
+	.X(n_23225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907159 (
+	.A(n_21387),
+	.B(n_21396),
+	.Y(n_23224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907161 (
+	.A1(n_19185),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [20]),
+	.B1(n_19398),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [20]),
+	.C1(n_21393),
+	.Y(n_23222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907162 (
+	.A1(n_19366),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [20]),
+	.B1(n_18866),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [20]),
+	.C1(n_22095),
+	.Y(n_23221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907164 (
+	.A1(n_19338),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [20]),
+	.B1(n_18565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [20]),
+	.C1(n_22130),
+	.Y(n_23219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907165 (
+	.A(n_21366),
+	.B(n_21371),
+	.Y(n_23218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907166 (
+	.A(n_21381),
+	.B(n_21386),
+	.Y(n_23217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907167 (
+	.A1(n_18289),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [20]),
+	.B1(n_18380),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [20]),
+	.C1(n_21388),
+	.Y(n_23216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907169 (
+	.A1(n_18537),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [12]),
+	.B1(n_18376),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [12]),
+	.C1(n_21380),
+	.X(n_23214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907170 (
+	.A1(n_18033),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [12]),
+	.B1(n_18315),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [12]),
+	.C1(n_21379),
+	.X(n_23213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907171 (
+	.A1(n_19185),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [12]),
+	.B1(n_19398),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [12]),
+	.C1(n_21378),
+	.Y(n_23212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g907172 (
+	.A(n_21376),
+	.B(n_21373),
+	.Y(n_23211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907173 (
+	.A1(n_19366),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [12]),
+	.B1(n_18866),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [12]),
+	.C1(n_20903),
+	.Y(n_23210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907175 (
+	.A1(n_19338),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [12]),
+	.B1(n_18565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [12]),
+	.C1(n_21374),
+	.Y(n_23208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907176 (
+	.A1(n_18289),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [12]),
+	.B1(n_18380),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [12]),
+	.C1(n_21372),
+	.Y(n_23207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907178 (
+	.A1(n_18537),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [16]),
+	.B1(n_18376),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [16]),
+	.C1(n_21361),
+	.X(n_23205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907179 (
+	.A1(n_18033),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [16]),
+	.B1(n_18315),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [16]),
+	.C1(n_21359),
+	.X(n_23204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907180 (
+	.A1(n_19185),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [16]),
+	.B1(n_19398),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [16]),
+	.C1(n_21358),
+	.Y(n_23203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907181 (
+	.A1(n_19366),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [16]),
+	.B1(n_18866),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [16]),
+	.C1(n_21356),
+	.Y(n_23202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907183 (
+	.A1(n_18565),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [16]),
+	.B1(n_19010),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [16]),
+	.C1(n_21073),
+	.Y(n_23200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907184 (
+	.A1(n_19338),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [16]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [16]),
+	.C1(n_21353),
+	.Y(n_23199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907185 (
+	.A(n_21222),
+	.B(n_21349),
+	.Y(n_23198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907187 (
+	.A1(n_18537),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [13]),
+	.B1(n_18376),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [13]),
+	.C1(n_21343),
+	.X(n_23196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907188 (
+	.A1(n_18033),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [13]),
+	.B1(n_18315),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [13]),
+	.C1(n_21341),
+	.X(n_23195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907190 (
+	.A1(n_19185),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [13]),
+	.B1(n_19398),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [13]),
+	.C1(n_21339),
+	.Y(n_23193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907191 (
+	.A1(n_19366),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [13]),
+	.B1(n_18866),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [13]),
+	.C1(n_21337),
+	.Y(n_23192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907193 (
+	.A1(n_18565),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [13]),
+	.B1(n_19010),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [13]),
+	.C1(n_21335),
+	.Y(n_23190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907194 (
+	.A1(n_19338),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [13]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [13]),
+	.C1(n_21332),
+	.Y(n_23189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907195 (
+	.A(n_21331),
+	.B(n_21329),
+	.Y(n_23188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907196 (
+	.A1(n_18215),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [15]),
+	.B1(n_18311),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [15]),
+	.C1(n_21328),
+	.Y(n_23187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907197 (
+	.A1(n_18674),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [15]),
+	.B1(n_18642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [15]),
+	.C1(n_21327),
+	.Y(n_23186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907198 (
+	.A(n_21308),
+	.B(n_21321),
+	.Y(n_23185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907199 (
+	.A1(n_18537),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [15]),
+	.B1(n_18376),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [15]),
+	.C1(n_21326),
+	.Y(n_23184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907200 (
+	.A1(n_18033),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [15]),
+	.B1(n_18315),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [15]),
+	.C1(n_22718),
+	.Y(n_23183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907202 (
+	.A1(n_19338),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [15]),
+	.B1(n_18565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [15]),
+	.C1(n_22049),
+	.X(n_23181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907203 (
+	.A(n_21312),
+	.B(n_21315),
+	.Y(n_23180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907204 (
+	.A1(n_18289),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [15]),
+	.B1(n_18380),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [15]),
+	.C1(n_21314),
+	.X(n_23179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907205 (
+	.A1(n_18215),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [14]),
+	.B1(n_18311),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [14]),
+	.C1(n_22272),
+	.Y(n_23178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907206 (
+	.A1(n_18674),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [14]),
+	.B1(n_18642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [14]),
+	.C1(n_21307),
+	.Y(n_23177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907207 (
+	.A1(n_18537),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [14]),
+	.B1(n_18376),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [14]),
+	.C1(n_21305),
+	.Y(n_23176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907208 (
+	.A(n_21306),
+	.B(n_21309),
+	.Y(n_23175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907209 (
+	.A(n_21304),
+	.B(n_21303),
+	.Y(n_23174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907210 (
+	.A1(n_18033),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [14]),
+	.B1(n_18315),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [14]),
+	.C1(n_22489),
+	.Y(n_23173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907213 (
+	.A1(n_18565),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [14]),
+	.B1(n_19010),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [14]),
+	.C1(n_21294),
+	.X(n_23170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907215 (
+	.A1(n_19338),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [14]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [14]),
+	.C1(n_21293),
+	.X(n_23168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907219 (
+	.A1(n_18345),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [25]),
+	.B1(n_18346),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [25]),
+	.C1(n_21268),
+	.Y(n_23164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907220 (
+	.A(n_21271),
+	.B(n_35949),
+	.Y(n_23163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907222 (
+	.A1(n_19566),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [25]),
+	.B1(n_19590),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [25]),
+	.C1(n_21265),
+	.Y(n_23161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907224 (
+	.A1(n_19595),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [25]),
+	.B1(n_19593),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [25]),
+	.C1(n_21263),
+	.Y(n_23159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907225 (
+	.A1(n_19563),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [25]),
+	.B1(n_19584),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [25]),
+	.C1(n_21258),
+	.Y(n_23158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907226 (
+	.A1(n_19589),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [25]),
+	.B1(n_19573),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [25]),
+	.C1(n_21256),
+	.Y(n_23157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907227 (
+	.A(n_35953),
+	.B(n_35952),
+	.Y(n_23156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907228 (
+	.A1(n_19569),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [25]),
+	.B1(n_19565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [25]),
+	.C1(n_21254),
+	.Y(n_23155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907230 (
+	.A1(n_18349),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [25]),
+	.B1(n_18353),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [25]),
+	.C1(n_21250),
+	.Y(n_23153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907232 (
+	.A(n_21242),
+	.B(n_21243),
+	.Y(n_23151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907233 (
+	.A1(n_18345),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [23]),
+	.B1(n_18346),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [23]),
+	.C1(n_21245),
+	.Y(n_23150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907234 (
+	.A1(n_19566),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [23]),
+	.B1(n_19590),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [23]),
+	.C1(n_21244),
+	.Y(n_23149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907235 (
+	.A1(n_19595),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [23]),
+	.B1(n_19593),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [23]),
+	.C1(n_21241),
+	.Y(n_23148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907236 (
+	.A1(n_19563),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [23]),
+	.B1(n_19584),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [23]),
+	.C1(n_21240),
+	.Y(n_23147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907237 (
+	.A1(n_19587),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [23]),
+	.B1(n_19596),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [23]),
+	.C1(n_21239),
+	.Y(n_23146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907238 (
+	.A1(n_19569),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [23]),
+	.B1(n_19565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [23]),
+	.C1(n_21228),
+	.Y(n_23145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907239 (
+	.A1(n_19564),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [23]),
+	.B1(n_19583),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [23]),
+	.C1(n_21236),
+	.Y(n_23144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907241 (
+	.A1(n_18349),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [23]),
+	.B1(n_18353),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [23]),
+	.C1(n_21234),
+	.Y(n_23142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907242 (
+	.A(n_21230),
+	.B(n_21232),
+	.Y(n_23141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907243 (
+	.A1(n_18345),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [26]),
+	.B1(n_18346),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [26]),
+	.C1(n_21231),
+	.Y(n_23140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907244 (
+	.A1(n_19566),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [26]),
+	.B1(n_19590),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [26]),
+	.C1(n_21229),
+	.Y(n_23139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907245 (
+	.A(n_21226),
+	.B(n_21811),
+	.Y(n_23138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907246 (
+	.A1(n_19595),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [26]),
+	.B1(n_19593),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [26]),
+	.C1(n_21227),
+	.Y(n_23137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907247 (
+	.A1(n_19563),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [26]),
+	.B1(n_19584),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [26]),
+	.C1(n_21225),
+	.Y(n_23136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907248 (
+	.A1(n_19587),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [26]),
+	.B1(n_19596),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [26]),
+	.C1(n_22061),
+	.Y(n_23135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907249 (
+	.A1(n_19569),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [26]),
+	.B1(n_19565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [26]),
+	.C1(n_21223),
+	.Y(n_23134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907250 (
+	.A1(n_18349),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [26]),
+	.B1(n_18353),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [26]),
+	.C1(n_21220),
+	.Y(n_23133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907251 (
+	.A1(n_18345),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [28]),
+	.B1(n_18346),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [28]),
+	.C1(n_21215),
+	.Y(n_23132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907252 (
+	.A1(n_19566),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [28]),
+	.B1(n_19590),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [28]),
+	.C1(n_21211),
+	.Y(n_23131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907253 (
+	.A1(n_19595),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [28]),
+	.B1(n_19593),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [28]),
+	.C1(n_21210),
+	.Y(n_23130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907255 (
+	.A1(n_19563),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [28]),
+	.B1(n_19584),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [28]),
+	.C1(n_21209),
+	.Y(n_23128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907256 (
+	.A1(n_19587),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [28]),
+	.B1(n_19596),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [28]),
+	.C1(n_21426),
+	.Y(n_23127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907257 (
+	.A1(n_19569),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [28]),
+	.B1(n_19565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [28]),
+	.C1(n_21207),
+	.Y(n_23126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907258 (
+	.A1(n_19564),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [28]),
+	.B1(n_19583),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [28]),
+	.C1(n_21206),
+	.Y(n_23125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907259 (
+	.A1(n_18349),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [28]),
+	.B1(n_18353),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [28]),
+	.C1(n_21205),
+	.Y(n_23124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907260 (
+	.A(n_21202),
+	.B(n_21200),
+	.Y(n_23123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907261 (
+	.A1(n_18345),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [30]),
+	.B1(n_18346),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [30]),
+	.C1(n_21201),
+	.Y(n_23122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907262 (
+	.A1(n_18355),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [30]),
+	.B1(n_18352),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [30]),
+	.C1(n_21199),
+	.Y(n_23121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907264 (
+	.A1(n_19595),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [30]),
+	.B1(n_19593),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [30]),
+	.C1(n_21197),
+	.Y(n_23119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907265 (
+	.A1(n_19563),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [30]),
+	.B1(n_19584),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [30]),
+	.C1(n_21196),
+	.Y(n_23118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907266 (
+	.A1(n_19587),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [30]),
+	.B1(n_19596),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [30]),
+	.C1(n_21194),
+	.Y(n_23117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907267 (
+	.A(n_21181),
+	.B(n_21187),
+	.Y(n_23116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907268 (
+	.A1(n_19589),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [30]),
+	.B1(n_19573),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [30]),
+	.C1(n_21193),
+	.Y(n_23115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907269 (
+	.A(n_21192),
+	.B(n_21189),
+	.Y(n_23114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907270 (
+	.A1(n_19564),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [30]),
+	.B1(n_19583),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [30]),
+	.C1(n_21191),
+	.Y(n_23113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907271 (
+	.A(n_21186),
+	.B(n_21185),
+	.Y(n_23112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907272 (
+	.A1(n_19577),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [30]),
+	.B1(n_19575),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [30]),
+	.C1(n_21188),
+	.Y(n_23111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907273 (
+	.A1(n_18345),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [27]),
+	.B1(n_18346),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [27]),
+	.C1(n_21184),
+	.Y(n_23110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907274 (
+	.A1(n_19566),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [27]),
+	.B1(n_19590),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [27]),
+	.C1(n_21183),
+	.Y(n_23109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907275 (
+	.A1(n_19595),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [27]),
+	.B1(n_19593),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [27]),
+	.C1(n_21605),
+	.Y(n_23108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907276 (
+	.A(n_21180),
+	.B(n_21733),
+	.Y(n_23107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907277 (
+	.A1(n_19563),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [27]),
+	.B1(n_19584),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [27]),
+	.C1(n_21182),
+	.Y(n_23106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907278 (
+	.A1(n_19587),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [27]),
+	.B1(n_19596),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [27]),
+	.C1(n_21179),
+	.Y(n_23105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907279 (
+	.A1(n_19569),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [27]),
+	.B1(n_19565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [27]),
+	.C1(n_21178),
+	.Y(n_23104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907281 (
+	.A1(n_19564),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [27]),
+	.B1(n_19583),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [27]),
+	.C1(n_21177),
+	.Y(n_23102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907282 (
+	.A1(n_18349),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [27]),
+	.B1(n_18353),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [27]),
+	.C1(n_22303),
+	.Y(n_23101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907283 (
+	.A1(n_19237),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [30]),
+	.B1(n_18855),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [30]),
+	.C1(n_35955),
+	.Y(n_23100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907284 (
+	.A(n_21173),
+	.B(n_21172),
+	.Y(n_23099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907285 (
+	.A(n_21166),
+	.B(n_21174),
+	.Y(n_23098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907286 (
+	.A1(n_18345),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [29]),
+	.B1(n_18346),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [29]),
+	.C1(n_21352),
+	.Y(n_23097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907287 (
+	.A1(n_19566),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [29]),
+	.B1(n_19590),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [29]),
+	.C1(n_21171),
+	.Y(n_23096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907288 (
+	.A(n_21169),
+	.B(n_21167),
+	.Y(n_23095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907289 (
+	.A1(n_19595),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [29]),
+	.B1(n_19593),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [29]),
+	.C1(n_21170),
+	.Y(n_23094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907290 (
+	.A1(n_19563),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [29]),
+	.B1(n_19584),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [29]),
+	.C1(n_21168),
+	.Y(n_23093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907291 (
+	.A1(n_19587),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [29]),
+	.B1(n_19596),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [29]),
+	.C1(n_21165),
+	.Y(n_23092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907292 (
+	.A1(n_19569),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [29]),
+	.B1(n_19565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [29]),
+	.C1(n_21164),
+	.Y(n_23091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907293 (
+	.A1(n_19564),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [29]),
+	.B1(n_19583),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [29]),
+	.C1(n_21163),
+	.Y(n_23090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907294 (
+	.A1(n_18349),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [29]),
+	.B1(n_18353),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [29]),
+	.C1(n_21161),
+	.Y(n_23089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907295 (
+	.A(n_21157),
+	.B(n_21159),
+	.Y(n_23088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907296 (
+	.A1(n_18345),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [24]),
+	.B1(n_18346),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [24]),
+	.C1(n_21948),
+	.Y(n_23087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907298 (
+	.A1(n_19566),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [24]),
+	.B1(n_19590),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [24]),
+	.C1(n_21155),
+	.Y(n_23085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907299 (
+	.A1(n_19436),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [30]),
+	.B1(n_19262),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [30]),
+	.C1(n_21158),
+	.Y(n_23084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907300 (
+	.A1(n_19595),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [24]),
+	.B1(n_19593),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [24]),
+	.C1(n_21153),
+	.Y(n_23083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907302 (
+	.A1(n_19563),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [24]),
+	.B1(n_19584),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [24]),
+	.C1(n_21152),
+	.Y(n_23081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907305 (
+	.A1(n_19569),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [24]),
+	.B1(n_19565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [24]),
+	.C1(n_22306),
+	.Y(n_23078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907307 (
+	.A1(n_19564),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [24]),
+	.B1(n_19583),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [24]),
+	.C1(n_22697),
+	.Y(n_23076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907308 (
+	.A(n_21145),
+	.B(n_21143),
+	.Y(n_23075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907309 (
+	.A1(n_18349),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [24]),
+	.B1(n_18353),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [24]),
+	.C1(n_21146),
+	.Y(n_23074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907310 (
+	.A1(n_18484),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [30]),
+	.B1(n_18375),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [30]),
+	.C1(n_35956),
+	.Y(n_23073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907313 (
+	.A(n_22117),
+	.B(n_21132),
+	.Y(n_23070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907314 (
+	.A1(n_19138),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [30]),
+	.B1(n_19452),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [30]),
+	.C1(n_21130),
+	.Y(n_23069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907315 (
+	.A1(n_19563),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [4]),
+	.B1(n_19584),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [4]),
+	.C1(n_22633),
+	.X(n_23068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907316 (
+	.A(n_22652),
+	.B(n_22660),
+	.Y(n_23067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907317 (
+	.A1(FE_DBTN102_n_18754),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [30]),
+	.B1(n_18606),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [30]),
+	.C1(n_21124),
+	.Y(n_23066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907319 (
+	.A1(n_19587),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [4]),
+	.B1(n_19596),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [4]),
+	.C1(n_22104),
+	.X(n_23064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907320 (
+	.A(n_21101),
+	.B(n_21110),
+	.Y(n_23063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907322 (
+	.A(n_21118),
+	.B(n_35959),
+	.Y(n_23061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907323 (
+	.A1(n_18502),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [30]),
+	.B1(n_19013),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [30]),
+	.C1(n_21109),
+	.Y(n_23060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907325 (
+	.A1(n_19106),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [30]),
+	.B1(n_19154),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [30]),
+	.C1(n_21099),
+	.Y(n_23058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907326 (
+	.A1(n_18345),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [31]),
+	.B1(n_18346),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [31]),
+	.C1(n_21098),
+	.X(n_23057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907327 (
+	.A1(n_19563),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [31]),
+	.B1(n_19584),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [31]),
+	.C1(n_22670),
+	.X(n_23056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907328 (
+	.A(n_21094),
+	.B(n_21095),
+	.Y(n_23055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907329 (
+	.A(n_21092),
+	.B(n_21093),
+	.Y(n_23054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907330 (
+	.A1(n_19549),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [30]),
+	.B1(n_19480),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [30]),
+	.C1(n_21088),
+	.Y(n_23053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907331 (
+	.A1(n_19589),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [31]),
+	.B1(n_19573),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [31]),
+	.C1(n_21091),
+	.X(n_23052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907332 (
+	.A(n_21089),
+	.B(n_21090),
+	.Y(n_23051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907334 (
+	.A1(n_19577),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [31]),
+	.B1(n_19575),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [31]),
+	.C1(n_21085),
+	.X(n_23049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907335 (
+	.A(n_21084),
+	.B(n_21086),
+	.Y(n_23048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907337 (
+	.A(n_21079),
+	.B(n_21080),
+	.Y(n_23046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907338 (
+	.A1(n_18537),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [31]),
+	.B1(n_18376),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [31]),
+	.C1(n_21544),
+	.X(n_23045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907340 (
+	.A1(n_18033),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [31]),
+	.B1(n_18315),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [31]),
+	.C1(n_21598),
+	.X(n_23043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907341 (
+	.A1(n_19366),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [31]),
+	.B1(n_18866),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [31]),
+	.C1(n_20927),
+	.Y(n_23042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907342 (
+	.A1(n_18565),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [31]),
+	.B1(n_19010),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [31]),
+	.C1(n_21072),
+	.Y(n_23041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907343 (
+	.A1(n_19338),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [31]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [31]),
+	.C1(n_21071),
+	.Y(n_23040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907344 (
+	.A1(n_18094),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [30]),
+	.B1(n_19106),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [30]),
+	.C1(n_21795),
+	.Y(n_23039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907345 (
+	.A1(n_18289),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [31]),
+	.B1(n_19338),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [31]),
+	.C1(n_21069),
+	.Y(n_23038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907346 (
+	.A(n_21067),
+	.B(n_22503),
+	.Y(n_23037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907347 (
+	.A1(n_18537),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [31]),
+	.B1(n_18376),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [31]),
+	.C1(n_21068),
+	.Y(n_23036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907349 (
+	.A1(n_18897),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [31]),
+	.B1(n_18531),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [31]),
+	.C1(n_21065),
+	.Y(n_23034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g907351 (
+	.A(n_21062),
+	.B(n_21061),
+	.Y(n_23032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907352 (
+	.A1(FE_DBTN102_n_18754),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [30]),
+	.B1(n_74158),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [30]),
+	.C1(n_21059),
+	.Y(n_23031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g907353 (
+	.A(n_21060),
+	.B(n_21057),
+	.Y(n_23030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907354 (
+	.A(n_21056),
+	.B(n_21058),
+	.Y(n_23029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907355 (
+	.A1(n_19366),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [31]),
+	.B1(n_18818),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [31]),
+	.C1(n_21736),
+	.Y(n_23028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907356 (
+	.A(n_35965),
+	.B(n_21053),
+	.Y(n_23027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907357 (
+	.A1(n_19185),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [31]),
+	.B1(n_19073),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [31]),
+	.C1(n_21055),
+	.Y(n_23026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907358 (
+	.A1(n_18910),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [30]),
+	.B1(n_18855),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [30]),
+	.C1(n_35966),
+	.Y(n_23025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907359 (
+	.A(n_21028),
+	.B(n_21014),
+	.Y(n_23024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907360 (
+	.A1(n_18910),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [31]),
+	.B1(n_18855),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [31]),
+	.C1(n_21052),
+	.Y(n_23023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907361 (
+	.A1(n_19436),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [31]),
+	.B1(n_19262),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [31]),
+	.C1(n_21050),
+	.Y(n_23022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907362 (
+	.A(n_21029),
+	.B(n_21039),
+	.Y(n_23021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907363 (
+	.A(n_21049),
+	.B(n_35968),
+	.Y(n_23020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907364 (
+	.A1(n_19138),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [31]),
+	.B1(n_19452),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [31]),
+	.C1(n_21047),
+	.Y(n_23019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907365 (
+	.A1(n_19436),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [30]),
+	.B1(n_19262),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [30]),
+	.C1(n_21045),
+	.Y(n_23018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907366 (
+	.A1(n_18250),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [31]),
+	.B1(n_18484),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [31]),
+	.C1(n_21044),
+	.Y(n_23017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907367 (
+	.A(n_21042),
+	.B(n_21040),
+	.Y(n_23016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907369 (
+	.A1(n_18502),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [31]),
+	.B1(n_19013),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [31]),
+	.C1(n_21041),
+	.Y(n_23014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907370 (
+	.A1(n_19480),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [31]),
+	.B1(n_19106),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [31]),
+	.C1(n_21939),
+	.Y(n_23013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907371 (
+	.A1(n_35038),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [30]),
+	.B1(n_19277),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [30]),
+	.C1(n_35969),
+	.Y(n_23012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907373 (
+	.A1(n_19549),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [31]),
+	.B1(n_19154),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [31]),
+	.C1(n_21984),
+	.Y(n_23010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907374 (
+	.A1(n_19138),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [30]),
+	.B1(n_19452),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [30]),
+	.C1(n_21032),
+	.Y(n_23009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907375 (
+	.A1(n_19106),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [31]),
+	.B1(n_18034),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [31]),
+	.C1(n_21033),
+	.Y(n_23008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907376 (
+	.A(n_21034),
+	.B(n_21031),
+	.Y(n_23007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907377 (
+	.A1(FE_DBTN102_n_18754),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [31]),
+	.B1(n_74158),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [31]),
+	.C1(n_22451),
+	.Y(n_23006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907378 (
+	.A1(n_18502),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [31]),
+	.B1(n_19013),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [31]),
+	.C1(n_84664),
+	.Y(n_23005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907379 (
+	.A1(n_18910),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [31]),
+	.B1(n_18855),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [31]),
+	.C1(n_21564),
+	.Y(n_23004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907380 (
+	.A1(n_19436),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [31]),
+	.B1(n_19262),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [31]),
+	.C1(n_20861),
+	.Y(n_23003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907382 (
+	.A1(n_35038),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [31]),
+	.B1(n_18250),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [31]),
+	.C1(n_21204),
+	.Y(n_23001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907383 (
+	.A1(n_19138),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [31]),
+	.B1(n_19452),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [31]),
+	.C1(n_21922),
+	.Y(n_23000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907384 (
+	.A1(n_19566),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [22]),
+	.B1(n_19590),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [22]),
+	.C1(n_21016),
+	.X(n_22999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907385 (
+	.A1(n_19563),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [22]),
+	.B1(n_19584),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [22]),
+	.C1(n_21011),
+	.X(n_22998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907386 (
+	.A(n_21004),
+	.B(n_21010),
+	.Y(n_22997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907387 (
+	.A(n_21009),
+	.B(n_20993),
+	.Y(n_22996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907388 (
+	.A1(n_19587),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [22]),
+	.B1(n_19596),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [22]),
+	.C1(n_21131),
+	.X(n_22995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907389 (
+	.A1(n_19564),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [22]),
+	.B1(n_19583),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [22]),
+	.C1(n_21005),
+	.X(n_22994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907390 (
+	.A1(n_19566),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [21]),
+	.B1(n_19590),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [21]),
+	.C1(n_21003),
+	.X(n_22993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907391 (
+	.A1(n_19595),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [21]),
+	.B1(n_19593),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [21]),
+	.C1(n_22058),
+	.X(n_22992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907393 (
+	.A(n_21002),
+	.B(n_22336),
+	.Y(n_22990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907394 (
+	.A1(n_19587),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [21]),
+	.B1(n_19596),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [21]),
+	.C1(n_21000),
+	.X(n_22989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907396 (
+	.A1(n_19577),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [21]),
+	.B1(n_19575),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [21]),
+	.C1(n_20997),
+	.X(n_22987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907399 (
+	.A1(n_18345),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [20]),
+	.B1(n_18346),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [20]),
+	.C1(n_20989),
+	.X(n_22984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907401 (
+	.A1(n_19563),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [20]),
+	.B1(n_19584),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [20]),
+	.C1(n_22175),
+	.X(n_22982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907402 (
+	.A1(n_19589),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [20]),
+	.B1(n_19573),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [20]),
+	.C1(n_22504),
+	.X(n_22981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907404 (
+	.A(n_20990),
+	.B(n_20987),
+	.Y(n_22979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907406 (
+	.A(n_20969),
+	.B(n_21247),
+	.Y(n_22977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907407 (
+	.A1(n_19564),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [20]),
+	.B1(n_19583),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [20]),
+	.C1(n_20981),
+	.X(n_22976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907408 (
+	.A(n_20959),
+	.B(n_20979),
+	.Y(n_22975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907409 (
+	.A(n_35855),
+	.B(n_35854),
+	.Y(n_22974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907410 (
+	.A(n_20978),
+	.B(n_35976),
+	.Y(n_22973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907411 (
+	.A(n_22178),
+	.B(n_20962),
+	.Y(n_22972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907413 (
+	.A1(n_19566),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [19]),
+	.B1(n_19590),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [19]),
+	.C1(n_20972),
+	.X(n_22970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907415 (
+	.A1(n_19563),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [19]),
+	.B1(n_19584),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [19]),
+	.C1(n_20968),
+	.X(n_22968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907417 (
+	.A1(n_19569),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [19]),
+	.B1(n_19565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [19]),
+	.C1(n_20966),
+	.X(n_22966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907418 (
+	.A1(n_18349),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [19]),
+	.B1(n_18353),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [19]),
+	.C1(n_20963),
+	.X(n_22965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907421 (
+	.A(n_22558),
+	.B(n_22556),
+	.Y(n_22962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907422 (
+	.A(n_20954),
+	.B(n_20955),
+	.Y(n_22961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907423 (
+	.A1(n_19594),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [18]),
+	.B1(n_19580),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [18]),
+	.C1(n_21595),
+	.X(n_22960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907424 (
+	.A(n_20949),
+	.B(n_20951),
+	.Y(n_22959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907425 (
+	.A1(n_19563),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [18]),
+	.B1(n_19584),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [18]),
+	.C1(n_20950),
+	.X(n_22958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907427 (
+	.A1(n_19569),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [18]),
+	.B1(n_19565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [18]),
+	.C1(n_20948),
+	.X(n_22956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907429 (
+	.A(n_20944),
+	.B(n_20942),
+	.Y(n_22954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907430 (
+	.A1(n_18349),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [18]),
+	.B1(n_18353),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [18]),
+	.C1(n_20945),
+	.X(n_22953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907431 (
+	.A(n_20924),
+	.B(n_20937),
+	.Y(n_22952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907432 (
+	.A(n_21998),
+	.B(n_20933),
+	.Y(n_22951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907433 (
+	.A(n_20938),
+	.B(n_20939),
+	.Y(n_22950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907434 (
+	.A1(n_18345),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [17]),
+	.B1(n_18346),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [17]),
+	.C1(n_20934),
+	.X(n_22949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907435 (
+	.A(n_20935),
+	.B(n_20932),
+	.Y(n_22948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907436 (
+	.A1(n_19595),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [17]),
+	.B1(n_19593),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [17]),
+	.C1(n_22271),
+	.X(n_22947), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907437 (
+	.A1(n_19569),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [17]),
+	.B1(n_19565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [17]),
+	.C1(n_20929),
+	.X(n_22946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907439 (
+	.A1(n_19564),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [17]),
+	.B1(n_19583),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [17]),
+	.C1(n_20928),
+	.X(n_22944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907441 (
+	.A(n_20921),
+	.B(n_20920),
+	.Y(n_22942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907442 (
+	.A1(n_18345),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [16]),
+	.B1(n_18346),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [16]),
+	.C1(n_22018),
+	.X(n_22941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907443 (
+	.A1(n_19563),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [16]),
+	.B1(n_19584),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [16]),
+	.C1(n_20919),
+	.X(n_22940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907444 (
+	.A(n_20917),
+	.B(n_20914),
+	.Y(n_22939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907445 (
+	.A(n_35982),
+	.B(n_35981),
+	.Y(n_22938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907446 (
+	.A1(n_19587),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [16]),
+	.B1(n_19596),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [16]),
+	.C1(n_20916),
+	.X(n_22937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907448 (
+	.A1(n_18349),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [16]),
+	.B1(n_18353),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [16]),
+	.C1(n_20913),
+	.X(n_22935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907449 (
+	.A(n_20910),
+	.B(n_84641),
+	.Y(n_22934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907450 (
+	.A(n_22557),
+	.B(n_22566),
+	.Y(n_22933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907452 (
+	.A1(n_18345),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [15]),
+	.B1(n_18346),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [15]),
+	.C1(n_20906),
+	.X(n_22931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907453 (
+	.A(n_20905),
+	.B(n_20901),
+	.Y(n_22930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907455 (
+	.A1(n_19595),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [15]),
+	.B1(n_19593),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [15]),
+	.C1(n_20902),
+	.X(n_22928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907456 (
+	.A1(n_19587),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [15]),
+	.B1(n_19596),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [15]),
+	.C1(n_22389),
+	.X(n_22927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907457 (
+	.A(n_20898),
+	.B(n_20896),
+	.Y(n_22926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907458 (
+	.A(n_20897),
+	.B(n_20894),
+	.Y(n_22925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907459 (
+	.A1(n_18349),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [15]),
+	.B1(n_18353),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [15]),
+	.C1(n_20895),
+	.X(n_22924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907460 (
+	.A(n_20893),
+	.B(n_21967),
+	.Y(n_22923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907461 (
+	.A(n_22013),
+	.B(n_20890),
+	.Y(n_22922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907462 (
+	.A1(n_18345),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [14]),
+	.B1(n_18346),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [14]),
+	.C1(n_21976),
+	.X(n_22921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907463 (
+	.A(n_20866),
+	.B(n_20899),
+	.Y(n_22920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907465 (
+	.A(n_20887),
+	.B(n_21970),
+	.Y(n_22918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907466 (
+	.A1(n_19563),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [14]),
+	.B1(n_19584),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [14]),
+	.C1(n_20885),
+	.X(n_22917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907467 (
+	.A(n_20881),
+	.B(n_84656),
+	.Y(n_22916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907468 (
+	.A1(n_19587),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [14]),
+	.B1(n_19596),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [14]),
+	.C1(n_20882),
+	.X(n_22915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907469 (
+	.A(n_20880),
+	.B(n_20877),
+	.Y(n_22914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907470 (
+	.A(n_20879),
+	.B(n_20878),
+	.Y(n_22913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907471 (
+	.A1(n_19564),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [14]),
+	.B1(n_19583),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [14]),
+	.C1(n_22115),
+	.X(n_22912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907472 (
+	.A1(n_19564),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [25]),
+	.B1(n_19583),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [25]),
+	.C1(n_20872),
+	.Y(n_22911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907473 (
+	.A(n_20875),
+	.B(n_35985),
+	.Y(n_22910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907475 (
+	.A1(n_18345),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [13]),
+	.B1(n_18346),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [13]),
+	.C1(n_20871),
+	.X(n_22908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907477 (
+	.A(n_20865),
+	.B(n_20864),
+	.Y(n_22906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907478 (
+	.A1(n_19595),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [13]),
+	.B1(n_19593),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [13]),
+	.C1(n_20867),
+	.X(n_22905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907479 (
+	.A1(n_19569),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [13]),
+	.B1(n_19565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [13]),
+	.C1(n_20863),
+	.X(n_22904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907480 (
+	.A1(n_18349),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [13]),
+	.B1(n_18353),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [13]),
+	.C1(n_21991),
+	.X(n_22903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907481 (
+	.A1(n_19594),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [12]),
+	.B1(n_19580),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [12]),
+	.C1(n_22010),
+	.X(n_22902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907483 (
+	.A(n_20853),
+	.B(n_20851),
+	.Y(n_22900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907484 (
+	.A1(n_19563),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [12]),
+	.B1(n_19584),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [12]),
+	.C1(n_20854),
+	.X(n_22899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907485 (
+	.A1(n_19569),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [12]),
+	.B1(n_19565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [12]),
+	.C1(n_20850),
+	.X(n_22898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907486 (
+	.A(n_20846),
+	.B(n_20838),
+	.Y(n_22897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907487 (
+	.A(n_22026),
+	.B(n_20849),
+	.Y(n_22896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907488 (
+	.A1(n_18349),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [12]),
+	.B1(n_18353),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [12]),
+	.C1(n_20848),
+	.X(n_22895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907491 (
+	.A1(n_18345),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [11]),
+	.B1(n_18346),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [11]),
+	.C1(n_20840),
+	.X(n_22892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907493 (
+	.A1(n_19563),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [11]),
+	.B1(n_19584),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [11]),
+	.C1(n_20836),
+	.X(n_22890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907494 (
+	.A(n_20833),
+	.B(n_20832),
+	.Y(n_22889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907495 (
+	.A1(n_19569),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [11]),
+	.B1(n_19565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [11]),
+	.C1(n_20831),
+	.X(n_22888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907496 (
+	.A1(n_18349),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [11]),
+	.B1(n_18353),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [11]),
+	.C1(n_20829),
+	.X(n_22887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907497 (
+	.A(n_20996),
+	.B(n_20827),
+	.Y(n_22886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907498 (
+	.A(n_22667),
+	.B(n_22698),
+	.Y(n_22885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907501 (
+	.A(n_20862),
+	.B(n_20825),
+	.Y(n_22882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907502 (
+	.A1(n_19564),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [2]),
+	.B1(n_19583),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [2]),
+	.C1(n_20847),
+	.X(n_22881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907503 (
+	.A1(n_18345),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [10]),
+	.B1(n_18346),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [10]),
+	.C1(n_20824),
+	.X(n_22880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907504 (
+	.A1(n_19563),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [10]),
+	.B1(n_19584),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [10]),
+	.C1(n_22005),
+	.X(n_22879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907506 (
+	.A1(n_19569),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [10]),
+	.B1(n_19565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [10]),
+	.C1(n_21288),
+	.X(n_22877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907507 (
+	.A1(n_19564),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [10]),
+	.B1(n_19583),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [10]),
+	.C1(n_20943),
+	.X(n_22876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g907509 (
+	.A(n_22706),
+	.B(n_20812),
+	.Y(n_22874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907510 (
+	.A1(n_18345),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [9]),
+	.B1(n_18346),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [9]),
+	.C1(n_21767),
+	.X(n_22873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g907511 (
+	.A1(n_17519),
+	.A2(n_19479),
+	.B1(n_20256),
+	.C1(n_20615),
+	.X(n_22872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907512 (
+	.A1(n_18565),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [12]),
+	.B1(n_76096),
+	.Y(n_22871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907514 (
+	.A1(n_18380),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [11]),
+	.B1(n_22567),
+	.Y(n_22869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907515 (
+	.A1(n_19503),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [3]),
+	.B1(n_22554),
+	.Y(n_22868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907516 (
+	.A1(n_19480),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [5]),
+	.B1(n_22658),
+	.Y(n_22867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907517 (
+	.A(n_22476),
+	.B(n_19654),
+	.Y(n_22866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g907519 (
+	.A1(n_16954),
+	.A2(n_19479),
+	.B1(n_20572),
+	.C1(n_19671),
+	.X(n_22864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907520 (
+	.A1(n_19503),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [1]),
+	.B1(n_22413),
+	.Y(n_22863), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g907521 (
+	.A1(n_17593),
+	.A2(n_35050),
+	.B1(n_19763),
+	.C1(n_19752),
+	.X(n_22862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g907522 (
+	.A1(n_17518),
+	.A2(n_35050),
+	.B1(n_19771),
+	.C1(n_20490),
+	.X(n_22861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907523 (
+	.A1(n_19503),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [0]),
+	.B1(n_22361),
+	.Y(n_22860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g907524 (
+	.A1(n_17555),
+	.A2(n_19479),
+	.B1(n_20473),
+	.C1(n_20474),
+	.X(n_22859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907525 (
+	.A1(n_29796),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [22]),
+	.B1(n_34809),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [23]),
+	.Y(n_22858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907527 (
+	.A1(n_19480),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [24]),
+	.B1(n_22269),
+	.Y(n_22856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907530 (
+	.A(n_20306),
+	.B(n_22197),
+	.Y(n_22853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907531 (
+	.A1(n_19480),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [22]),
+	.B1(n_22138),
+	.Y(n_22852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g907534 (
+	.A1(n_16919),
+	.A2(n_19479),
+	.B1(n_20463),
+	.C1(n_20466),
+	.X(n_22849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g907535 (
+	.A1(n_17500),
+	.A2(n_35050),
+	.B1(n_20099),
+	.C1(n_20107),
+	.X(n_22848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907536 (
+	.A1(n_19480),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [4]),
+	.B1(n_22562),
+	.Y(n_22847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g907537 (
+	.A1(n_16924),
+	.A2(n_18264),
+	.B1(n_20093),
+	.C1(n_20097),
+	.X(n_22846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907538 (
+	.A1(n_19480),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [20]),
+	.B1(n_22019),
+	.Y(n_22845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907539 (
+	.A1(n_19480),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [7]),
+	.B1(n_20888),
+	.Y(n_22844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907540 (
+	.A(n_20023),
+	.B(n_21969),
+	.Y(n_22843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g907542 (
+	.A1(soc_top_u_top_u_core_load_store_unit_i_rdata_q[23]),
+	.A2(n_18365),
+	.B1(soc_top_u_top_u_core_load_store_unit_i_rdata_q[15]),
+	.B2(n_39587),
+	.C1(n_20200),
+	.C2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[31]),
+	.Y(n_22841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g907543 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [31]),
+	.A2(n_18271),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [31]),
+	.B2(n_18380),
+	.C1(n_19294),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [31]),
+	.Y(n_22840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g907544 (
+	.A1(soc_top_u_top_u_core_load_store_unit_i_rdata_q[14]),
+	.A2(n_39587),
+	.B1(soc_top_u_top_u_core_load_store_unit_i_rdata_q[30]),
+	.B2(n_38823),
+	.C1(n_18365),
+	.C2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[22]),
+	.Y(n_22839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g907545 (
+	.A1(soc_top_u_top_u_core_load_store_unit_i_rdata_q[21]),
+	.A2(n_18365),
+	.B1(soc_top_u_top_u_core_load_store_unit_i_rdata_q[13]),
+	.B2(n_39587),
+	.C1(n_38823),
+	.C2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[29]),
+	.Y(n_22838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907546 (
+	.A1(n_19480),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [19]),
+	.B1(n_21954),
+	.Y(n_22837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g907547 (
+	.A1(soc_top_u_top_u_core_load_store_unit_i_rdata_q[20]),
+	.A2(n_18365),
+	.B1(soc_top_u_top_u_core_load_store_unit_i_rdata_q[12]),
+	.B2(n_39587),
+	.C1(n_38823),
+	.C2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[28]),
+	.Y(n_22836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907548 (
+	.A(n_19917),
+	.B(n_21947),
+	.Y(n_22835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g907549 (
+	.A1(soc_top_u_top_u_core_load_store_unit_i_rdata_q[19]),
+	.A2(n_18365),
+	.B1(soc_top_u_top_u_core_load_store_unit_i_rdata_q[11]),
+	.B2(n_39587),
+	.C1(n_38823),
+	.C2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[27]),
+	.Y(n_22834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g907550 (
+	.A1(soc_top_u_top_u_core_load_store_unit_i_rdata_q[18]),
+	.A2(n_18365),
+	.B1(soc_top_u_top_u_core_load_store_unit_i_rdata_q[10]),
+	.B2(n_39587),
+	.C1(n_38823),
+	.C2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[26]),
+	.Y(n_22833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907553 (
+	.A(n_21862),
+	.B(n_19975),
+	.Y(n_22830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g907554 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [30]),
+	.A2(n_18565),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [30]),
+	.B2(n_18380),
+	.C1(n_19338),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [30]),
+	.Y(n_22829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907555 (
+	.A1(n_19503),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [17]),
+	.B1(n_21730),
+	.Y(n_22828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g907556 (
+	.A1(n_16816),
+	.A2(n_18264),
+	.B1(n_19941),
+	.C1(n_19942),
+	.X(n_22827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907557 (
+	.A1(n_18565),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [17]),
+	.B1(n_21685),
+	.Y(n_22826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g907558 (
+	.A(n_19922),
+	.B(n_19921),
+	.C(n_20717),
+	.Y(n_22825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g907559 (
+	.A1(n_17460),
+	.A2(n_35050),
+	.B1(n_20495),
+	.C1(n_20770),
+	.X(n_22824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907560 (
+	.A(n_20369),
+	.B(n_21578),
+	.Y(n_22823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907561 (
+	.A1(n_19480),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [15]),
+	.B1(n_21525),
+	.Y(n_22822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g907562 (
+	.A1(n_16851),
+	.A2(n_35050),
+	.B1(n_17489),
+	.B2(n_74962),
+	.C1(n_19872),
+	.Y(n_22821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g907564 (
+	.A1(n_16818),
+	.A2(n_19479),
+	.B1(n_20791),
+	.C1(n_20671),
+	.X(n_22819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g907565 (
+	.A1(n_17448),
+	.A2(n_19479),
+	.B1(n_20014),
+	.C1(n_19977),
+	.X(n_22818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907566 (
+	.A1(n_19480),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [12]),
+	.B1(n_21237),
+	.Y(n_22817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907569 (
+	.A1(n_19480),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [11]),
+	.B1(n_21162),
+	.Y(n_22814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g907570 (
+	.A1(n_17592),
+	.A2(n_19501),
+	.B1(n_19634),
+	.C1(n_20104),
+	.X(n_22813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907571 (
+	.A1(n_19480),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [10]),
+	.B1(n_21096),
+	.Y(n_22812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907572 (
+	.A1(n_18380),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [27]),
+	.B1(n_21070),
+	.Y(n_22811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g907573 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [30]),
+	.A2(n_18338),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [30]),
+	.B2(n_18743),
+	.C1(n_19480),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [30]),
+	.Y(n_22810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g907574 (
+	.A1(n_16952),
+	.A2(n_35050),
+	.B1(n_20491),
+	.C1(n_20218),
+	.X(n_22809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g907575 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [31]),
+	.A2(n_18338),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [31]),
+	.B2(n_18743),
+	.C1(n_19480),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [31]),
+	.Y(n_22808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907576 (
+	.A1(n_19480),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [9]),
+	.B1(n_21027),
+	.Y(n_22807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907577 (
+	.A1(n_19480),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [8]),
+	.B1(n_20960),
+	.Y(n_22806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g907578 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [29]),
+	.A2(n_18338),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [29]),
+	.B2(n_18743),
+	.C1(n_19480),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [29]),
+	.Y(n_22805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g907579 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [29]),
+	.A2(n_18565),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [29]),
+	.B2(n_18380),
+	.C1(n_19338),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [29]),
+	.Y(n_22804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g907580 (
+	.A1(n_17413),
+	.A2(n_35050),
+	.B1(n_19990),
+	.C1(n_20000),
+	.X(n_22803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907581 (
+	.A(n_20061),
+	.B(n_20841),
+	.Y(n_22802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907582 (
+	.A1(n_29796),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [12]),
+	.B1(n_34809),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [13]),
+	.Y(n_22801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907583 (
+	.A1(n_29796),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [26]),
+	.B1(n_34809),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [27]),
+	.Y(n_22800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907584 (
+	.A1(n_29796),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [11]),
+	.B1(n_34809),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [12]),
+	.X(n_22799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907585 (
+	.A1(n_29796),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [21]),
+	.B1(n_34809),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [22]),
+	.Y(n_22798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907586 (
+	.A1(n_29796),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [10]),
+	.B1(n_34809),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [11]),
+	.Y(n_22797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907587 (
+	.A1(n_20205),
+	.A2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [3]),
+	.B1(n_20204),
+	.B2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [3]),
+	.X(n_22796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907588 (
+	.A1(n_20205),
+	.A2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [1]),
+	.B1(n_20204),
+	.B2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [1]),
+	.X(n_22795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907589 (
+	.A1(n_20205),
+	.A2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [2]),
+	.B1(n_20204),
+	.B2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [2]),
+	.X(n_22794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907590 (
+	.A1(n_29796),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [9]),
+	.B1(n_34809),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [10]),
+	.Y(n_22793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907591 (
+	.A1(n_29796),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [20]),
+	.B1(n_34809),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [21]),
+	.Y(n_22792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907592 (
+	.A1(n_20205),
+	.A2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [4]),
+	.B1(n_20204),
+	.B2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [4]),
+	.X(n_22791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907593 (
+	.A1(n_29796),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [30]),
+	.B1(n_34809),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [31]),
+	.Y(n_22790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907594 (
+	.A1(n_29796),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [8]),
+	.B1(n_34809),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [9]),
+	.Y(n_22789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907595 (
+	.A1(n_29796),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [7]),
+	.B1(n_34809),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [8]),
+	.X(n_22788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907596 (
+	.A1(n_29796),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [19]),
+	.B1(n_34809),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [20]),
+	.Y(n_22787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907597 (
+	.A1(n_29796),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [25]),
+	.B1(n_34809),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [26]),
+	.Y(n_22786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907598 (
+	.A1(n_29796),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [28]),
+	.B1(n_34809),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [29]),
+	.Y(n_22785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907599 (
+	.A1(n_29796),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [6]),
+	.B1(n_34809),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [7]),
+	.Y(n_22784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907600 (
+	.A1(n_29796),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [5]),
+	.B1(n_34809),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [6]),
+	.X(n_22783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907601 (
+	.A1(n_29796),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [18]),
+	.B1(n_34809),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [19]),
+	.Y(n_22782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907602 (
+	.A1(n_29796),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [4]),
+	.B1(n_34809),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [5]),
+	.X(n_22781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907603 (
+	.A1(n_29796),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [3]),
+	.B1(n_34809),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [4]),
+	.X(n_22780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907604 (
+	.A1(n_29796),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [17]),
+	.B1(n_34809),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [18]),
+	.Y(n_22779), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907605 (
+	.A1(n_29796),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [24]),
+	.B1(n_34809),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [25]),
+	.Y(n_22778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907606 (
+	.A1(n_29796),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [2]),
+	.B1(n_34809),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [3]),
+	.X(n_22777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907607 (
+	.A1(n_29796),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [16]),
+	.B1(n_34809),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [17]),
+	.Y(n_22776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907608 (
+	.A1(n_29796),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [1]),
+	.B1(n_34809),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [2]),
+	.X(n_22775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907609 (
+	.A1(n_29796),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [0]),
+	.B1(n_34809),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [1]),
+	.Y(n_22774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907610 (
+	.A1(n_29796),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [15]),
+	.B1(n_34809),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [16]),
+	.Y(n_22773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907611 (
+	.A1(n_29796),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [23]),
+	.B1(n_34809),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [24]),
+	.X(n_22772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907612 (
+	.A1(n_29796),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [27]),
+	.B1(n_34809),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [28]),
+	.X(n_22771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907613 (
+	.A1(n_29796),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [29]),
+	.B1(n_34809),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [30]),
+	.Y(n_22770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907614 (
+	.A1(n_29796),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [14]),
+	.B1(n_34809),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [15]),
+	.Y(n_22769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907615 (
+	.A1(n_29796),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [13]),
+	.B1(n_34809),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [14]),
+	.Y(n_22768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g907634 (
+	.A(n_63142),
+	.Y(n_22745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g907636 (
+	.A(n_22741),
+	.Y(n_22742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907637 (
+	.A1(n_18924),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [26]),
+	.B1(n_19073),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [26]),
+	.Y(n_22740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g907638 (
+	.A(n_19689),
+	.B(n_18041),
+	.C(n_18040),
+	.Y(n_22739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907639 (
+	.A1(n_18154),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [26]),
+	.B1(n_18271),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [26]),
+	.Y(n_22738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907640 (
+	.A1(n_18033),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [26]),
+	.B1(n_18315),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [26]),
+	.X(n_22737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g907641 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [7]),
+	.B1(n_20198),
+	.X(n_22736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907642 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [3]),
+	.B1(n_20198),
+	.Y(n_22735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907643 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [2]),
+	.B1(n_20198),
+	.Y(n_22734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g907644 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [1]),
+	.B1(n_20198),
+	.X(n_22733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907645 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [0]),
+	.B1(n_20198),
+	.Y(n_22732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g907647 (
+	.A1(n_17224),
+	.A2(n_18371),
+	.B1(n_17947),
+	.Y(n_22730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907650 (
+	.A1(n_35040),
+	.A2(\soc_top_timer_to_xbar[d_data] [9]),
+	.B1(n_17826),
+	.B2(\soc_top_uart_to_xbar[d_data] [9]),
+	.C1(n_19626),
+	.Y(n_22727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_2 g907651 (
+	.A(n_18086),
+	.B(n_18088),
+	.C(n_18085),
+	.X(n_22726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907653 (
+	.A1(n_86743),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [14]),
+	.B1(n_35040),
+	.B2(\soc_top_timer_to_xbar[d_data] [14]),
+	.C1(n_19622),
+	.X(n_22724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g907654 (
+	.A(n_18084),
+	.B(n_18051),
+	.C(n_18069),
+	.X(n_22723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907655 (
+	.A1(n_35040),
+	.A2(\soc_top_timer_to_xbar[d_data] [10]),
+	.B1(n_17826),
+	.B2(\soc_top_uart_to_xbar[d_data] [10]),
+	.C1(n_19625),
+	.X(n_22722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g907656 (
+	.A1(n_35040),
+	.A2(\soc_top_timer_to_xbar[d_data] [3]),
+	.B1(n_17826),
+	.B2(\soc_top_uart_to_xbar[d_data] [3]),
+	.C1(n_19624),
+	.Y(n_22721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g907657 (
+	.A1(n_35040),
+	.A2(\soc_top_timer_to_xbar[d_data] [11]),
+	.B1(n_17826),
+	.B2(\soc_top_uart_to_xbar[d_data] [11]),
+	.C1(n_19623),
+	.X(n_22720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907659 (
+	.A1(n_18897),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [15]),
+	.B1(n_18531),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [15]),
+	.X(n_22718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907660 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [18]),
+	.B1(n_20198),
+	.Y(n_22717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g907661 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [12]),
+	.B1(n_20198),
+	.X(n_22716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g907662 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [9]),
+	.B1(n_20198),
+	.X(n_22715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907663 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [26]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [26]),
+	.X(n_22714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g907665 (
+	.A(n_19620),
+	.B(n_19630),
+	.Y(n_22713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g907666 (
+	.A(n_35993),
+	.B(n_18391),
+	.Y(n_22712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907667 (
+	.A1(n_18855),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [6]),
+	.B1(n_20432),
+	.Y(n_22711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907668 (
+	.A1(n_19436),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [6]),
+	.B1(n_19262),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [6]),
+	.Y(n_22710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907669 (
+	.A1(n_19571),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [9]),
+	.B1(n_19586),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [9]),
+	.X(n_22709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907670 (
+	.A1(n_18289),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [22]),
+	.B1(n_19338),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [22]),
+	.Y(n_22708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907671 (
+	.A1(n_18375),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [6]),
+	.B1(n_20803),
+	.Y(n_22707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g907672 (
+	.A1(n_17315),
+	.A2(n_19153),
+	.B1(n_17251),
+	.B2(n_19451),
+	.X(n_22706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907673 (
+	.A1(n_35038),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [6]),
+	.B1(n_19277),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [6]),
+	.Y(n_22705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g907674 (
+	.A1(n_17548),
+	.A2(n_19187),
+	.B1(n_17487),
+	.B2(n_18246),
+	.X(n_22704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907675 (
+	.A1(n_18348),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [9]),
+	.B1(n_18344),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [9]),
+	.X(n_22703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907676 (
+	.A1(n_18674),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [13]),
+	.B1(n_18642),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [13]),
+	.Y(n_22702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907677 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [5]),
+	.A2_N(n_18638),
+	.B1(n_16776),
+	.B2(n_84640),
+	.Y(n_22701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907678 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [12]),
+	.A2_N(n_18215),
+	.B1(n_16927),
+	.B2(n_18312),
+	.Y(n_22700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907679 (
+	.A1(n_18338),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [28]),
+	.B1(n_20796),
+	.Y(n_22699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907680 (
+	.A1(n_19294),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [29]),
+	.B1(n_18271),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [29]),
+	.X(n_22698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907681 (
+	.A1(n_19577),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [24]),
+	.B1(n_19575),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [24]),
+	.X(n_22697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907682 (
+	.A1(n_19549),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [28]),
+	.B1(n_18034),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [28]),
+	.Y(n_22696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907683 (
+	.A1(n_19571),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [8]),
+	.B1(n_19586),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [8]),
+	.X(n_22695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907684 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [5]),
+	.A2_N(n_19237),
+	.B1(n_17322),
+	.B2(n_18851),
+	.Y(n_22694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907685 (
+	.A1(n_19592),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [8]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [8]),
+	.X(n_22693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907687 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [22]),
+	.A2_N(n_19398),
+	.B1(n_17533),
+	.B2(n_18148),
+	.Y(n_22691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g907688 (
+	.A1(n_16763),
+	.A2(n_19428),
+	.B1(n_16770),
+	.B2(n_19250),
+	.Y(n_22690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907689 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [22]),
+	.A2_N(n_19185),
+	.B1(n_16860),
+	.B2(n_19075),
+	.Y(n_22689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907691 (
+	.A1(n_19106),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [28]),
+	.B1(n_19154),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [28]),
+	.Y(n_22687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907693 (
+	.A1(n_19594),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [7]),
+	.B1(n_19580),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [7]),
+	.X(n_22685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907694 (
+	.A1(n_18094),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [28]),
+	.B1(n_18034),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [28]),
+	.Y(n_22684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g907695 (
+	.A(n_19762),
+	.B(n_20481),
+	.Y(n_22683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907696 (
+	.A1(n_19582),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [7]),
+	.B1(n_19579),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [7]),
+	.X(n_22682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907697 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [13]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [13]),
+	.Y(n_22681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907698 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [28]),
+	.A2_N(n_19157),
+	.B1(n_17578),
+	.B2(n_19012),
+	.Y(n_22680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907699 (
+	.A1(n_18375),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [5]),
+	.B1(n_20769),
+	.Y(n_22679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907700 (
+	.A1(n_18033),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [13]),
+	.B1(n_18315),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [13]),
+	.Y(n_22678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907702 (
+	.A1(n_19569),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [7]),
+	.B1(n_19565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [7]),
+	.X(n_22676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907703 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [28]),
+	.A2_N(n_18638),
+	.B1(n_16964),
+	.B2(n_84640),
+	.Y(n_22675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907704 (
+	.A1(n_18348),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [7]),
+	.B1(n_18344),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [7]),
+	.X(n_22674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907706 (
+	.A1(n_19338),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [0]),
+	.B1(n_18565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [0]),
+	.X(n_22672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907707 (
+	.A1(n_19138),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [5]),
+	.B1(n_20693),
+	.Y(n_22671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907708 (
+	.A1(n_19582),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [31]),
+	.B1(n_19579),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [31]),
+	.X(n_22670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907710 (
+	.A1(n_19594),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [6]),
+	.B1(n_19580),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [6]),
+	.X(n_22668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907711 (
+	.A1(n_18289),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [29]),
+	.B1(n_19010),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [29]),
+	.X(n_22667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907713 (
+	.A(n_20732),
+	.B(n_20435),
+	.Y(n_22665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g907714 (
+	.A(n_19770),
+	.B(n_20736),
+	.Y(n_22664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907716 (
+	.A1(n_19571),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [6]),
+	.B1(n_19586),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [6]),
+	.X(n_22662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907717 (
+	.A1(n_19398),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [30]),
+	.B1(n_18154),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [30]),
+	.X(n_22661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907718 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [22]),
+	.A2_N(n_18866),
+	.B1(n_17419),
+	.B2(n_18925),
+	.Y(n_22660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907720 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [5]),
+	.A2_N(n_18338),
+	.B1(n_17572),
+	.B2(n_35033),
+	.Y(n_22658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907721 (
+	.A1(n_18348),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [6]),
+	.B1(n_18344),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [6]),
+	.X(n_22657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907724 (
+	.A1(n_18094),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [27]),
+	.B1(n_18338),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [27]),
+	.Y(n_22654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907725 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [5]),
+	.A2_N(n_19157),
+	.B1(n_17556),
+	.B2(n_19012),
+	.Y(n_22653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907726 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [22]),
+	.A2_N(n_19366),
+	.B1(n_17461),
+	.B2(n_18817),
+	.Y(n_22652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907729 (
+	.A1(n_19594),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [5]),
+	.B1(n_19580),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [5]),
+	.X(n_22649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g907731 (
+	.A(n_20418),
+	.B(n_20290),
+	.Y(n_22647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907732 (
+	.A1(n_18910),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [5]),
+	.B1(n_19716),
+	.Y(n_22646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907733 (
+	.A1(n_19571),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [5]),
+	.B1(n_19586),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [5]),
+	.X(n_22645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g907734 (
+	.A1(n_16934),
+	.A2(n_18675),
+	.B1(n_17573),
+	.B2(n_18182),
+	.X(n_22644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907735 (
+	.A1(n_19262),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [5]),
+	.B1(n_20731),
+	.Y(n_22643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907736 (
+	.A1(n_19569),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [5]),
+	.B1(n_19565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [5]),
+	.X(n_22642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907738 (
+	.A1(n_18250),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [5]),
+	.B1(n_20429),
+	.Y(n_22640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907739 (
+	.A1(n_18348),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [5]),
+	.B1(n_18344),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [5]),
+	.X(n_22639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907740 (
+	.A1(n_35038),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [5]),
+	.B1(n_20726),
+	.Y(n_22638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g907741 (
+	.A1(n_16896),
+	.A2(n_19187),
+	.B1(n_16881),
+	.B2(n_18246),
+	.Y(n_22637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907742 (
+	.A1(n_19452),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [5]),
+	.B1(n_20146),
+	.Y(n_22636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907743 (
+	.A1(n_18154),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [17]),
+	.B1(n_18271),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [17]),
+	.X(n_22635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907744 (
+	.A1(n_19594),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [4]),
+	.B1(n_19580),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [4]),
+	.X(n_22634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907745 (
+	.A1(n_19582),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [4]),
+	.B1(n_19579),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [4]),
+	.X(n_22633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g907746 (
+	.A(n_20729),
+	.B(n_20712),
+	.Y(n_22632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907747 (
+	.A1(n_18348),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [4]),
+	.B1(n_18344),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [4]),
+	.X(n_22631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907749 (
+	.A1(n_18380),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [25]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [25]),
+	.X(n_22629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907750 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [12]),
+	.A2_N(n_19010),
+	.B1(n_16912),
+	.B2(n_18264),
+	.Y(n_22628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g907751 (
+	.A1(n_16660),
+	.A2(n_18900),
+	.B1(n_19765),
+	.Y(n_22627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907752 (
+	.A1(n_18215),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [22]),
+	.B1(n_18311),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [22]),
+	.Y(n_22626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907753 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [2]),
+	.A2_N(n_18638),
+	.B1(n_17283),
+	.B2(n_84640),
+	.Y(n_22625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g907754 (
+	.A(n_19662),
+	.B(n_19764),
+	.Y(n_22624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907758 (
+	.A1(n_18355),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [3]),
+	.B1(n_18352),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [3]),
+	.X(n_22620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907759 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [4]),
+	.A2_N(n_18638),
+	.B1(n_16796),
+	.B2(n_84640),
+	.Y(n_22619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907760 (
+	.A1(n_18676),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [27]),
+	.B1(n_20581),
+	.Y(n_22618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907762 (
+	.A1(n_19571),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [3]),
+	.B1(n_19586),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [3]),
+	.X(n_22616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907763 (
+	.A1(n_19262),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [27]),
+	.B1(n_20656),
+	.Y(n_22615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g907764 (
+	.A(n_20704),
+	.B(n_20068),
+	.Y(n_22614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907765 (
+	.A1(n_19592),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [3]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [3]),
+	.X(n_22613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g907766 (
+	.A(n_20157),
+	.B(n_20706),
+	.Y(n_22612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907769 (
+	.A1(n_18397),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [22]),
+	.B1(n_18988),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [22]),
+	.Y(n_22609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907770 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [12]),
+	.A2_N(n_19398),
+	.B1(n_16884),
+	.B2(n_18148),
+	.Y(n_22608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907771 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [4]),
+	.A2_N(n_35038),
+	.B1(n_16743),
+	.B2(n_19288),
+	.Y(n_22607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g907773 (
+	.A(n_19666),
+	.B(n_19982),
+	.Y(n_22605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907774 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [12]),
+	.A2_N(n_19185),
+	.B1(n_17553),
+	.B2(n_19075),
+	.Y(n_22604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907775 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [4]),
+	.A2_N(n_18034),
+	.B1(n_16806),
+	.B2(n_19107),
+	.Y(n_22603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907777 (
+	.A1(n_18355),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [2]),
+	.B1(n_18352),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [2]),
+	.X(n_22601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907778 (
+	.A1(n_19582),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [2]),
+	.B1(n_19579),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [2]),
+	.X(n_22600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907779 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [4]),
+	.A2_N(n_18338),
+	.B1(n_17289),
+	.B2(n_74156),
+	.Y(n_22599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907780 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [4]),
+	.A2_N(n_18094),
+	.B1(n_17293),
+	.B2(n_19556),
+	.Y(n_22598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g907781 (
+	.A1(n_16758),
+	.A2(n_19153),
+	.B1(n_16767),
+	.B2(n_19451),
+	.Y(n_22597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907782 (
+	.A1(n_19589),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [2]),
+	.B1(n_19573),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [2]),
+	.X(n_22596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907783 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [12]),
+	.A2_N(n_18866),
+	.B1(n_17455),
+	.B2(n_18925),
+	.Y(n_22595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907784 (
+	.A1(n_18604),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [22]),
+	.B1(n_20427),
+	.Y(n_22594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907786 (
+	.A1(n_19106),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [4]),
+	.B1(n_19154),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [4]),
+	.Y(n_22592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907788 (
+	.A1(n_18094),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [4]),
+	.B1(n_18034),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [4]),
+	.Y(n_22590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907790 (
+	.A1(n_19013),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [4]),
+	.B1(n_20690),
+	.Y(n_22588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907791 (
+	.A1(n_19566),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [1]),
+	.B1(n_19590),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [1]),
+	.X(n_22587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907792 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [12]),
+	.A2_N(n_19366),
+	.B1(n_16861),
+	.B2(n_18817),
+	.Y(n_22586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907793 (
+	.A1(n_18502),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [4]),
+	.B1(n_20743),
+	.Y(n_22585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907795 (
+	.A1(FE_DBTN102_n_18754),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [4]),
+	.B1(n_20750),
+	.Y(n_22583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907796 (
+	.A1(n_18169),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [4]),
+	.B1(n_20687),
+	.Y(n_22582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g907798 (
+	.A1(n_16675),
+	.A2(n_18501),
+	.B1(n_17252),
+	.B2(n_19012),
+	.X(n_22580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907799 (
+	.A1(n_19237),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [4]),
+	.B1(n_20685),
+	.Y(n_22579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907800 (
+	.A1(n_19262),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [4]),
+	.B1(n_20609),
+	.Y(n_22578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907801 (
+	.A1(n_19577),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [1]),
+	.B1(n_19575),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [1]),
+	.X(n_22577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907802 (
+	.A1(n_19549),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [27]),
+	.B1(n_19154),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [27]),
+	.Y(n_22576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907803 (
+	.A1(n_35038),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [4]),
+	.B1(n_20683),
+	.Y(n_22575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907804 (
+	.A1(n_18034),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [27]),
+	.B1(n_74158),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [27]),
+	.Y(n_22574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907806 (
+	.A1(n_19138),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [4]),
+	.B1(n_19452),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [4]),
+	.Y(n_22572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907808 (
+	.A1(n_19582),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [0]),
+	.B1(n_19579),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [0]),
+	.X(n_22570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907809 (
+	.A1(n_19589),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [0]),
+	.B1(n_19573),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [0]),
+	.X(n_22569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g907811 (
+	.A1(n_16999),
+	.A2(n_74962),
+	.B1(n_17481),
+	.B2(n_18264),
+	.Y(n_22567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g907812 (
+	.A(n_19637),
+	.B(n_19726),
+	.Y(n_22566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907813 (
+	.A1(n_18348),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [0]),
+	.B1(n_18344),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [0]),
+	.X(n_22565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g907814 (
+	.A(n_20680),
+	.B(n_20746),
+	.Y(n_22564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907815 (
+	.A1(n_18537),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [22]),
+	.B1(n_20777),
+	.Y(n_22563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g907816 (
+	.A1(n_17002),
+	.A2(n_35031),
+	.B1(n_17602),
+	.B2(n_35033),
+	.Y(n_22562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907818 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [27]),
+	.A2_N(n_18034),
+	.B1(n_16931),
+	.B2(n_19155),
+	.Y(n_22560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907819 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [27]),
+	.A2_N(n_18094),
+	.B1(n_17560),
+	.B2(n_19107),
+	.Y(n_22559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907820 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [3]),
+	.A2_N(n_18638),
+	.B1(n_16649),
+	.B2(n_84640),
+	.Y(n_22558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g907821 (
+	.A(n_20669),
+	.B(n_20454),
+	.Y(n_22557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g907822 (
+	.A(n_19709),
+	.B(n_20670),
+	.Y(n_22556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g907823 (
+	.A1(n_17565),
+	.A2(n_19250),
+	.B1(n_20798),
+	.Y(n_22555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g907824 (
+	.A1(n_17434),
+	.A2(n_35031),
+	.B1(n_16816),
+	.B2(n_35033),
+	.Y(n_22554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907825 (
+	.A1(n_19452),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [2]),
+	.B1(n_20781),
+	.Y(n_22553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907828 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [3]),
+	.A2_N(n_18676),
+	.B1(n_16789),
+	.B2(n_18138),
+	.Y(n_22550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907829 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [3]),
+	.A2_N(n_18910),
+	.B1(n_16738),
+	.B2(n_18182),
+	.Y(n_22549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907831 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [29]),
+	.A2_N(n_19398),
+	.B1(n_17509),
+	.B2(n_18148),
+	.Y(n_22547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907832 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [22]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [22]),
+	.Y(n_22546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907833 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [27]),
+	.A2_N(n_18606),
+	.B1(n_17543),
+	.B2(n_19012),
+	.Y(n_22545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g907835 (
+	.A(n_20771),
+	.B(n_20660),
+	.Y(n_22543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g907836 (
+	.A(n_20632),
+	.B(n_20799),
+	.Y(n_22542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907837 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [3]),
+	.A2_N(n_35038),
+	.B1(n_17357),
+	.B2(n_19288),
+	.Y(n_22541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907840 (
+	.A1(n_18250),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [2]),
+	.B1(n_19747),
+	.Y(n_22538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907841 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [27]),
+	.A2_N(n_18855),
+	.B1(n_17587),
+	.B2(n_19227),
+	.Y(n_22537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g907843 (
+	.A1(n_16918),
+	.A2(n_18900),
+	.B1(n_16879),
+	.B2(n_18138),
+	.Y(n_22535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907844 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [3]),
+	.A2_N(n_19237),
+	.B1(n_16713),
+	.B2(n_18851),
+	.Y(n_22534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g907845 (
+	.A(n_20617),
+	.B(n_20649),
+	.Y(n_22533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907850 (
+	.A1(n_18340),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [30]),
+	.B1(n_18818),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [30]),
+	.X(n_22528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g907851 (
+	.A1(n_16832),
+	.A2(n_85757),
+	.B1(n_16812),
+	.B2(n_18218),
+	.Y(n_22527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g907853 (
+	.A1(n_17406),
+	.A2(n_19156),
+	.B1(n_17486),
+	.B2(n_18639),
+	.Y(n_22525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g907854 (
+	.A(n_20522),
+	.B(n_35043),
+	.Y(n_22524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907856 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [27]),
+	.A2_N(n_35038),
+	.B1(n_17522),
+	.B2(n_19288),
+	.Y(n_22522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907860 (
+	.A1(n_18033),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [22]),
+	.B1(n_18315),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [22]),
+	.Y(n_22518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g907861 (
+	.A(n_20785),
+	.B(n_20623),
+	.Y(n_22517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g907862 (
+	.A1(n_16835),
+	.A2(n_18675),
+	.B1(n_16917),
+	.B2(n_18182),
+	.Y(n_22516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907863 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [27]),
+	.A2_N(n_18033),
+	.B1(n_16910),
+	.B2(n_18313),
+	.Y(n_22515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g907864 (
+	.A(n_20631),
+	.B(n_20610),
+	.Y(n_22514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907865 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [12]),
+	.A2_N(n_18315),
+	.B1(n_16908),
+	.B2(n_18194),
+	.Y(n_22513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g907866 (
+	.A1(n_17513),
+	.A2(n_18900),
+	.B1(n_16854),
+	.B2(n_18138),
+	.Y(n_22512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907867 (
+	.A1(n_19338),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [30]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [30]),
+	.X(n_22511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907868 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [3]),
+	.A2_N(n_18034),
+	.B1(n_17265),
+	.B2(n_19556),
+	.Y(n_22510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907870 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [3]),
+	.A2_N(n_18338),
+	.B1(n_17361),
+	.B2(n_85757),
+	.Y(n_22508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g907871 (
+	.A1(n_17551),
+	.A2(n_19210),
+	.B1(n_17463),
+	.B2(n_19288),
+	.Y(n_22507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g907872 (
+	.A1(n_17552),
+	.A2(n_19153),
+	.B1(n_17547),
+	.B2(n_19451),
+	.Y(n_22506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g907873 (
+	.A1(n_17391),
+	.A2(n_19187),
+	.B1(n_16709),
+	.B2(n_18246),
+	.Y(n_22505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907874 (
+	.A1(n_19592),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [20]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [20]),
+	.X(n_22504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907875 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [9]),
+	.A2_N(n_18910),
+	.B1(n_18138),
+	.B2(n_16727),
+	.Y(n_22503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g907879 (
+	.A(n_19649),
+	.B(n_20662),
+	.Y(n_22499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907881 (
+	.A1(n_18897),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [22]),
+	.B1(n_19643),
+	.Y(n_22497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g907883 (
+	.A1(n_16708),
+	.A2(n_19227),
+	.B1(n_17382),
+	.B2(n_18675),
+	.Y(n_22495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907884 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [2]),
+	.A2_N(n_19549),
+	.B1(n_16808),
+	.B2(n_85757),
+	.Y(n_22494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907885 (
+	.A1_N(n_18289),
+	.A2_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [11]),
+	.B1(n_16925),
+	.B2(n_19011),
+	.Y(n_22493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907886 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [26]),
+	.A2_N(n_18638),
+	.B1(n_17365),
+	.B2(n_84640),
+	.Y(n_22492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907887 (
+	.A1(n_18855),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [26]),
+	.B1(n_20762),
+	.Y(n_22491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907888 (
+	.A1(n_18866),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [29]),
+	.B1(n_18924),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [29]),
+	.X(n_22490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907889 (
+	.A1(n_18897),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [14]),
+	.B1(n_18531),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [14]),
+	.X(n_22489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907890 (
+	.A1(n_18676),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [26]),
+	.B1(n_20423),
+	.Y(n_22488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907891 (
+	.A1(n_18537),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [13]),
+	.B1(n_20021),
+	.Y(n_22487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907894 (
+	.A1(n_35038),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [2]),
+	.B1(n_20614),
+	.Y(n_22484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907895 (
+	.A1(n_18355),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [0]),
+	.B1(n_18352),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [0]),
+	.X(n_22483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907896 (
+	.A1(n_18484),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [2]),
+	.B1(n_19648),
+	.Y(n_22482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907898 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [2]),
+	.A2_N(n_18034),
+	.B1(n_16652),
+	.B2(n_74156),
+	.Y(n_22480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907899 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [2]),
+	.A2_N(n_18338),
+	.B1(n_16712),
+	.B2(n_19107),
+	.Y(n_22479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907900 (
+	.A1(n_19106),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [2]),
+	.B1(n_19154),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [2]),
+	.Y(n_22478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g907901 (
+	.A1(n_17290),
+	.A2(n_18471),
+	.B1(n_17274),
+	.B2(n_18426),
+	.Y(n_22477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907902 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [2]),
+	.A2_N(n_18338),
+	.B1(n_17482),
+	.B2(n_35033),
+	.Y(n_22476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907903 (
+	.A1(n_18094),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [2]),
+	.B1(n_18034),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [2]),
+	.Y(n_22475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907905 (
+	.A1(n_19157),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [2]),
+	.B1(n_20597),
+	.Y(n_22473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g907908 (
+	.A1(n_17497),
+	.A2(n_74156),
+	.B1(n_17525),
+	.B2(n_18607),
+	.X(n_22470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907909 (
+	.A1(n_19013),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [2]),
+	.B1(n_20592),
+	.Y(n_22469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g907910 (
+	.A(n_20593),
+	.B(n_20590),
+	.Y(n_22468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907912 (
+	.A1(n_18910),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [2]),
+	.B1(n_19722),
+	.Y(n_22466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907913 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [11]),
+	.A2_N(n_19366),
+	.B1(n_16846),
+	.B2(n_18817),
+	.Y(n_22465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907914 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [26]),
+	.A2_N(n_18034),
+	.B1(n_17256),
+	.B2(n_74156),
+	.Y(n_22464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g907915 (
+	.A1(n_17449),
+	.A2(n_19227),
+	.B1(n_17605),
+	.B2(n_18675),
+	.X(n_22463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907916 (
+	.A1(n_19436),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [2]),
+	.B1(n_19262),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [2]),
+	.Y(n_22462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907917 (
+	.A1(n_35038),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [2]),
+	.B1(n_20588),
+	.Y(n_22461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907919 (
+	.A1(n_19188),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [2]),
+	.B1(n_19665),
+	.Y(n_22459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907920 (
+	.A1(n_18484),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [2]),
+	.B1(n_20585),
+	.Y(n_22458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907922 (
+	.A1(n_19138),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [2]),
+	.B1(n_19452),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [2]),
+	.Y(n_22456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907923 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [11]),
+	.A2_N(n_19073),
+	.B1(n_17604),
+	.B2(n_19186),
+	.Y(n_22455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907924 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [26]),
+	.A2_N(n_18338),
+	.B1(n_16775),
+	.B2(n_19155),
+	.Y(n_22454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907926 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [11]),
+	.A2_N(n_18866),
+	.B1(n_17571),
+	.B2(n_18925),
+	.Y(n_22452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907927 (
+	.A1(n_18606),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [31]),
+	.B1(n_18638),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [31]),
+	.X(n_22451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907929 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [1]),
+	.A2_N(n_19237),
+	.B1(n_16661),
+	.B2(n_18851),
+	.Y(n_22449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907931 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [1]),
+	.A2_N(n_18910),
+	.B1(n_16663),
+	.B2(n_18138),
+	.Y(n_22447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907932 (
+	.A1(n_19366),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [29]),
+	.B1(n_18818),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [29]),
+	.X(n_22446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907933 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [1]),
+	.A2_N(n_19262),
+	.B1(n_16805),
+	.B2(n_19428),
+	.Y(n_22445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g907934 (
+	.A1(n_17593),
+	.A2(n_85757),
+	.B1(n_17452),
+	.B2(n_18218),
+	.Y(n_22444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g907935 (
+	.A1(n_16673),
+	.A2(n_18471),
+	.B1(n_17272),
+	.B2(n_18426),
+	.Y(n_22443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g907936 (
+	.A(n_20569),
+	.B(n_19710),
+	.Y(n_22442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907937 (
+	.A1(n_18215),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [30]),
+	.B1(n_18311),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [30]),
+	.X(n_22441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907938 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [21]),
+	.A2_N(n_18565),
+	.B1(n_17534),
+	.B2(n_19011),
+	.Y(n_22440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g907939 (
+	.A(n_20567),
+	.B(n_20566),
+	.Y(n_22439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907942 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [1]),
+	.A2_N(n_35038),
+	.B1(n_17278),
+	.B2(n_19288),
+	.Y(n_22436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907945 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [1]),
+	.A2_N(n_19452),
+	.B1(n_17279),
+	.B2(n_19153),
+	.Y(n_22433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g907946 (
+	.A(n_19707),
+	.B(n_20558),
+	.Y(n_22432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907947 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [1]),
+	.A2_N(n_18606),
+	.B1(n_16745),
+	.B2(n_18754),
+	.Y(n_22431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907948 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [26]),
+	.A2_N(FE_DBTN102_n_18754),
+	.B1(n_17524),
+	.B2(n_19012),
+	.Y(n_22430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907949 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [1]),
+	.A2_N(n_18638),
+	.B1(n_17334),
+	.B2(n_84640),
+	.Y(n_22429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907950 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [21]),
+	.A2_N(n_18289),
+	.B1(n_17504),
+	.B2(n_85026),
+	.Y(n_22428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g907953 (
+	.A(n_19704),
+	.B(n_19684),
+	.Y(n_22425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907955 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [1]),
+	.A2_N(n_18034),
+	.B1(n_16800),
+	.B2(n_74156),
+	.Y(n_22423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907957 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [1]),
+	.A2_N(n_19503),
+	.B1(n_16785),
+	.B2(n_19107),
+	.Y(n_22421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907960 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [11]),
+	.A2_N(n_18674),
+	.B1(n_16938),
+	.B2(n_18643),
+	.Y(n_22418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907961 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [1]),
+	.A2_N(n_19549),
+	.B1(n_17385),
+	.B2(n_19155),
+	.Y(n_22417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g907965 (
+	.A1(n_17445),
+	.A2(n_35031),
+	.B1(n_17557),
+	.B2(n_35033),
+	.Y(n_22413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907967 (
+	.A1(n_19106),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [1]),
+	.B1(n_19154),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [1]),
+	.Y(n_22411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907968 (
+	.A1(n_18094),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [1]),
+	.B1(n_18034),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [1]),
+	.Y(n_22410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g907969 (
+	.A(n_20540),
+	.B(n_19695),
+	.Y(n_22409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g907970 (
+	.A(n_20536),
+	.B(n_20539),
+	.Y(n_22408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907971 (
+	.A1(n_74158),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [1]),
+	.B1(n_18606),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [1]),
+	.Y(n_22407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907972 (
+	.A1(FE_DBTN102_n_18754),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [1]),
+	.B1(n_20538),
+	.Y(n_22406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907974 (
+	.A1(n_18502),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [1]),
+	.B1(n_19157),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [1]),
+	.Y(n_22404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907975 (
+	.A1(n_18910),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [1]),
+	.B1(n_20533),
+	.Y(n_22403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907976 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [21]),
+	.A2_N(n_19398),
+	.B1(n_17580),
+	.B2(n_18148),
+	.Y(n_22402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907977 (
+	.A1(n_19237),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [1]),
+	.B1(n_20531),
+	.Y(n_22401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907979 (
+	.A1(n_18169),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [1]),
+	.B1(n_19713),
+	.Y(n_22399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 g907980 (
+	.A1(n_19436),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [1]),
+	.B1(n_19262),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [1]),
+	.Y(n_22398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907981 (
+	.A1(n_19188),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [1]),
+	.B1(n_20527),
+	.Y(n_22397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907982 (
+	.A1(n_35038),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [1]),
+	.B1(n_19717),
+	.Y(n_22396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907983 (
+	.A1(n_18484),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [1]),
+	.B1(n_20509),
+	.Y(n_22395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907984 (
+	.A1(n_19138),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [1]),
+	.B1(n_19452),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [1]),
+	.Y(n_22394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907986 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [21]),
+	.A2_N(n_19185),
+	.B1(n_16943),
+	.B2(n_19075),
+	.Y(n_22392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907987 (
+	.A1(n_18033),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [11]),
+	.B1(n_18315),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [11]),
+	.X(n_22391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g907988 (
+	.A1(n_17344),
+	.A2(n_18182),
+	.B1(n_17324),
+	.B2(n_18138),
+	.Y(n_22390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g907989 (
+	.A1(n_19589),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [15]),
+	.B1(n_19573),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [15]),
+	.X(n_22389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g907990 (
+	.A1(n_16722),
+	.A2(n_19227),
+	.B1(n_17347),
+	.B2(n_18675),
+	.Y(n_22388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907991 (
+	.A1(n_18169),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [0]),
+	.B1(n_18127),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [0]),
+	.Y(n_22387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907992 (
+	.A1(n_18910),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [0]),
+	.B1(n_18855),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [0]),
+	.Y(n_22386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g907993 (
+	.A(n_19751),
+	.B(n_20519),
+	.Y(n_22385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907994 (
+	.A1(n_19237),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [0]),
+	.B1(n_18676),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [0]),
+	.Y(n_22384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g907995 (
+	.A1(n_19436),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [0]),
+	.B1(n_19262),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [0]),
+	.Y(n_22383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907997 (
+	.A1(n_19157),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [0]),
+	.B1(n_20517),
+	.Y(n_22381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g907998 (
+	.A1(n_18638),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [0]),
+	.B1(n_20515),
+	.Y(n_22380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g907999 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [21]),
+	.A2_N(n_18866),
+	.B1(n_16859),
+	.B2(n_18925),
+	.Y(n_22379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908000 (
+	.A1(n_17383),
+	.A2(n_19156),
+	.B1(n_16697),
+	.B2(n_35033),
+	.Y(n_22378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908001 (
+	.A1(FE_DBTN102_n_18754),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [0]),
+	.B1(n_20513),
+	.Y(n_22377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908002 (
+	.A1(n_18502),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [0]),
+	.B1(n_19013),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [0]),
+	.Y(n_22376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908004 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [0]),
+	.A2_N(n_19277),
+	.B1(n_16753),
+	.B2(n_19210),
+	.Y(n_22374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908006 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [25]),
+	.A2_N(n_18638),
+	.B1(n_17321),
+	.B2(n_84640),
+	.Y(n_22372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908010 (
+	.A1(n_19106),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [0]),
+	.B1(n_19768),
+	.Y(n_22368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908011 (
+	.A1(n_18338),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [0]),
+	.B1(n_74158),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [0]),
+	.Y(n_22367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g908012 (
+	.A1(n_16919),
+	.A2(n_19365),
+	.B1(n_20500),
+	.Y(n_22366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908013 (
+	.A1(n_19503),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [0]),
+	.B1(n_20497),
+	.Y(n_22365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908014 (
+	.A1(n_17295),
+	.A2(n_19210),
+	.B1(n_17245),
+	.B2(n_19288),
+	.Y(n_22364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908015 (
+	.A1(n_19549),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [0]),
+	.B1(n_18094),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [0]),
+	.Y(n_22363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908016 (
+	.A1(n_16802),
+	.A2(n_19187),
+	.B1(n_17260),
+	.B2(n_18246),
+	.Y(n_22362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908017 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [0]),
+	.A2_N(n_18338),
+	.B1(n_17506),
+	.B2(n_35033),
+	.Y(n_22361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908018 (
+	.A1(n_17284),
+	.A2(n_18471),
+	.B1(n_17319),
+	.B2(n_18426),
+	.Y(n_22360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908019 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [0]),
+	.A2_N(n_19106),
+	.B1(n_17404),
+	.B2(n_19155),
+	.Y(n_22359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908020 (
+	.A1(n_18094),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [0]),
+	.B1(n_18034),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [0]),
+	.X(n_22358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908021 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [0]),
+	.A2_N(n_18638),
+	.B1(n_17511),
+	.B2(n_84640),
+	.Y(n_22357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908023 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [0]),
+	.A2_N(n_19157),
+	.B1(n_16923),
+	.B2(n_19012),
+	.Y(n_22355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908024 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [0]),
+	.A2_N(n_18502),
+	.B1(n_16820),
+	.B2(n_18607),
+	.Y(n_22354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908025 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [0]),
+	.A2_N(FE_DBTN102_n_18754),
+	.B1(n_17425),
+	.B2(n_74156),
+	.Y(n_22353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g908026 (
+	.A1(n_18271),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [10]),
+	.B1(n_20485),
+	.Y(n_22352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908027 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [0]),
+	.A2_N(n_18676),
+	.B1(n_17420),
+	.B2(n_18182),
+	.Y(n_22351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908028 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [25]),
+	.A2_N(n_18034),
+	.B1(n_16773),
+	.B2(n_74156),
+	.Y(n_22350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908029 (
+	.A(n_20482),
+	.B(n_19785),
+	.Y(n_22349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908030 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [0]),
+	.A2_N(n_19237),
+	.B1(n_17585),
+	.B2(n_18851),
+	.Y(n_22348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908031 (
+	.A1(n_18910),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [0]),
+	.B1(n_18127),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [0]),
+	.X(n_22347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908032 (
+	.A1(n_19436),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [0]),
+	.B1(n_19262),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [0]),
+	.X(n_22346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908034 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [0]),
+	.A2_N(n_19188),
+	.B1(n_17577),
+	.B2(n_19288),
+	.Y(n_22344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908035 (
+	.A1(n_35038),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [0]),
+	.B1(n_18250),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [0]),
+	.X(n_22343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908036 (
+	.A1(n_19010),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [26]),
+	.B1(n_18271),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [26]),
+	.Y(n_22342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908037 (
+	.A1(n_18289),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [10]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [10]),
+	.Y(n_22341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908038 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [0]),
+	.A2_N(n_18484),
+	.B1(n_16932),
+	.B2(n_18426),
+	.Y(n_22340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908039 (
+	.A1(n_17323),
+	.A2(n_85757),
+	.B1(n_17314),
+	.B2(n_35031),
+	.Y(n_22339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908040 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [0]),
+	.A2_N(n_19452),
+	.B1(n_17417),
+	.B2(n_19153),
+	.Y(n_22338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908042 (
+	.A1(n_19237),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [29]),
+	.B1(n_18855),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [29]),
+	.X(n_22336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908043 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [30]),
+	.A2_N(n_18866),
+	.B1(n_16928),
+	.B2(n_18925),
+	.Y(n_22335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908045 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [10]),
+	.A2_N(n_19398),
+	.B1(n_16869),
+	.B2(n_18148),
+	.Y(n_22333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908048 (
+	.A1(n_17500),
+	.A2(n_85757),
+	.B1(n_17494),
+	.B2(n_18218),
+	.Y(n_22330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908049 (
+	.A1(n_17607),
+	.A2(n_19186),
+	.B1(n_16870),
+	.B2(n_19075),
+	.Y(n_22329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908050 (
+	.A(n_20459),
+	.B(n_20460),
+	.Y(n_22328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908051 (
+	.A(n_20467),
+	.B(n_20468),
+	.Y(n_22327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908053 (
+	.A1(n_18215),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [29]),
+	.B1(n_18311),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [29]),
+	.X(n_22325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908054 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [10]),
+	.A2_N(n_18866),
+	.B1(n_17456),
+	.B2(n_18925),
+	.Y(n_22324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g908056 (
+	.A1(n_17601),
+	.A2(n_18817),
+	.B1(n_20458),
+	.Y(n_22322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908057 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [25]),
+	.A2_N(FE_DBTN102_n_18754),
+	.B1(n_17570),
+	.B2(n_19012),
+	.Y(n_22321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908058 (
+	.A1(n_16890),
+	.A2(n_18900),
+	.B1(n_16823),
+	.B2(n_18851),
+	.Y(n_22320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908059 (
+	.A1(n_17001),
+	.A2(n_18182),
+	.B1(n_16959),
+	.B2(n_18138),
+	.Y(n_22319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908060 (
+	.A1(n_16988),
+	.A2(n_19227),
+	.B1(n_17446),
+	.B2(n_18675),
+	.Y(n_22318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908061 (
+	.A1(n_18033),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [29]),
+	.B1(n_18315),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [29]),
+	.X(n_22317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908062 (
+	.A(n_20452),
+	.B(n_20453),
+	.Y(n_22316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908063 (
+	.A1(n_16975),
+	.A2(n_19428),
+	.B1(n_16956),
+	.B2(n_19250),
+	.Y(n_22315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908064 (
+	.A1(n_18289),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [26]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [26]),
+	.Y(n_22314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908067 (
+	.A1(n_16985),
+	.A2(n_19210),
+	.B1(n_17474),
+	.B2(n_19288),
+	.Y(n_22311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908070 (
+	.A1(n_18361),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [24]),
+	.B1(n_19600),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [24]),
+	.X(n_22308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g908071 (
+	.A1(n_16994),
+	.A2(n_18983),
+	.B1(n_20442),
+	.Y(n_22307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908072 (
+	.A1(n_19592),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [24]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [24]),
+	.X(n_22306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908074 (
+	.A(n_20436),
+	.B(n_20438),
+	.Y(n_22304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908075 (
+	.A1(n_18348),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [27]),
+	.B1(n_18344),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [27]),
+	.X(n_22303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908076 (
+	.A(n_20421),
+	.B(n_20425),
+	.Y(n_22302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908077 (
+	.A1(n_19398),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [26]),
+	.B1(n_18154),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [26]),
+	.Y(n_22301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908078 (
+	.A1(n_17261),
+	.A2(n_18675),
+	.B1(n_16737),
+	.B2(n_18138),
+	.Y(n_22300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908079 (
+	.A1(n_16790),
+	.A2(n_18900),
+	.B1(n_16772),
+	.B2(n_18182),
+	.Y(n_22299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908080 (
+	.A1(n_17609),
+	.A2(n_18536),
+	.B1(n_16989),
+	.B2(n_18441),
+	.Y(n_22298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908082 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [24]),
+	.A2_N(n_18855),
+	.B1(n_16731),
+	.B2(n_19227),
+	.Y(n_22296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908084 (
+	.A1(n_16740),
+	.A2(n_19156),
+	.B1(n_17294),
+	.B2(n_35033),
+	.Y(n_22294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908085 (
+	.A1(n_18361),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [8]),
+	.B1(n_19600),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [8]),
+	.X(n_22293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908086 (
+	.A(n_20415),
+	.B(n_20419),
+	.Y(n_22292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908088 (
+	.A1(n_17595),
+	.A2(n_18898),
+	.B1(n_17598),
+	.B2(n_18532),
+	.Y(n_22290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908091 (
+	.A1(n_16750),
+	.A2(n_84640),
+	.B1(n_16807),
+	.B2(n_18639),
+	.Y(n_22287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908093 (
+	.A1(n_19185),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [26]),
+	.B1(n_19073),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [26]),
+	.Y(n_22285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908094 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [21]),
+	.A2_N(n_18033),
+	.B1(n_17583),
+	.B2(n_18313),
+	.Y(n_22284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908095 (
+	.A1(n_17366),
+	.A2(n_19187),
+	.B1(n_17341),
+	.B2(n_19288),
+	.Y(n_22283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g908097 (
+	.A(n_19801),
+	.B(n_20575),
+	.Y(n_22281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908098 (
+	.A1(n_18375),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [24]),
+	.B1(n_20396),
+	.Y(n_22280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908099 (
+	.A1(n_19452),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [24]),
+	.B1(n_20395),
+	.Y(n_22279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908100 (
+	.A1(n_18397),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [29]),
+	.B1(n_18988),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [29]),
+	.X(n_22278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908101 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [24]),
+	.A2_N(n_18034),
+	.B1(n_17312),
+	.B2(n_74156),
+	.Y(n_22277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908102 (
+	.A1(n_18604),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [29]),
+	.B1(n_18533),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [29]),
+	.X(n_22276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908104 (
+	.A1(n_18094),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [24]),
+	.B1(n_20392),
+	.Y(n_22274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908105 (
+	.A1(n_18866),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [26]),
+	.B1(n_18924),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [26]),
+	.Y(n_22273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908106 (
+	.A1(n_18397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [14]),
+	.B1(n_18988),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [14]),
+	.X(n_22272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908107 (
+	.A1(n_19563),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [17]),
+	.B1(n_19584),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [17]),
+	.X(n_22271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908108 (
+	.A1(n_19366),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [26]),
+	.B1(n_18818),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [26]),
+	.Y(n_22270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908109 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [24]),
+	.A2_N(n_18338),
+	.B1(n_17000),
+	.B2(n_35033),
+	.Y(n_22269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908111 (
+	.A1(n_18357),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [32]),
+	.B1(n_19600),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [32]),
+	.X(n_22267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908112 (
+	.A1(n_17460),
+	.A2(n_85757),
+	.B1(n_16939),
+	.B2(n_18218),
+	.Y(n_22266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908113 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [9]),
+	.A2_N(n_18565),
+	.B1(n_16953),
+	.B2(n_19011),
+	.Y(n_22265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908114 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [24]),
+	.A2_N(n_18638),
+	.B1(n_17567),
+	.B2(n_84640),
+	.Y(n_22264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908115 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [9]),
+	.A2_N(n_18289),
+	.B1(n_17521),
+	.B2(n_85026),
+	.Y(n_22263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908116 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [24]),
+	.A2_N(n_19013),
+	.B1(n_16814),
+	.B2(n_19156),
+	.Y(n_22262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908117 (
+	.A1(n_18604),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [19]),
+	.B1(n_18533),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [19]),
+	.Y(n_22261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908118 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [24]),
+	.A2_N(n_18606),
+	.B1(n_17443),
+	.B2(n_18501),
+	.Y(n_22260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908119 (
+	.A(n_19877),
+	.B(n_20380),
+	.Y(n_22259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908122 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [9]),
+	.A2_N(n_19398),
+	.B1(n_17432),
+	.B2(n_18148),
+	.Y(n_22256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g908123 (
+	.A1(n_17535),
+	.A2(n_18900),
+	.B1(n_20373),
+	.Y(n_22255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908124 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [9]),
+	.A2_N(n_19103),
+	.B1(n_17470),
+	.B2(n_19186),
+	.Y(n_22254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908127 (
+	.A1(n_18361),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [22]),
+	.B1(n_18359),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [22]),
+	.X(n_22251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908128 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [24]),
+	.A2_N(n_19277),
+	.B1(n_17562),
+	.B2(n_19187),
+	.Y(n_22250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908129 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [9]),
+	.A2_N(n_18866),
+	.B1(n_16966),
+	.B2(n_18925),
+	.Y(n_22249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908133 (
+	.A(n_20361),
+	.B(n_20359),
+	.Y(n_22245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908134 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [9]),
+	.A2_N(n_19366),
+	.B1(n_17498),
+	.B2(n_18817),
+	.Y(n_22244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908135 (
+	.A1(n_18361),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [6]),
+	.B1(n_18359),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [6]),
+	.X(n_22243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908136 (
+	.A1(n_18674),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [29]),
+	.B1(n_18642),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [29]),
+	.X(n_22242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908137 (
+	.A(n_20347),
+	.B(n_20349),
+	.Y(n_22241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908138 (
+	.A(n_19909),
+	.B(n_20346),
+	.Y(n_22240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g908139 (
+	.A1(n_16742),
+	.A2(n_18675),
+	.B1(n_16642),
+	.B2(n_18138),
+	.X(n_22239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908141 (
+	.A1(n_18855),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [23]),
+	.B1(n_20344),
+	.Y(n_22237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908142 (
+	.A1(n_18215),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [26]),
+	.B1(n_18311),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [26]),
+	.Y(n_22236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908143 (
+	.A1(n_18357),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [30]),
+	.B1(n_19600),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [30]),
+	.X(n_22235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908144 (
+	.A(n_20339),
+	.B(n_20341),
+	.Y(n_22234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908145 (
+	.A1(n_18169),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [23]),
+	.B1(n_20342),
+	.Y(n_22233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908146 (
+	.A1(n_19262),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [23]),
+	.B1(n_20340),
+	.Y(n_22232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908148 (
+	.A1(n_19188),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [23]),
+	.B1(n_20332),
+	.Y(n_22230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908149 (
+	.A(n_20331),
+	.B(n_20333),
+	.Y(n_22229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g908152 (
+	.A1(n_17307),
+	.A2(n_19153),
+	.B1(n_17310),
+	.B2(n_19451),
+	.X(n_22226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908153 (
+	.A(n_20328),
+	.B(n_20329),
+	.Y(n_22225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908154 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [20]),
+	.A2_N(n_19398),
+	.B1(n_16945),
+	.B2(n_18148),
+	.Y(n_22224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908155 (
+	.A1(n_18397),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [26]),
+	.B1(n_18988),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [26]),
+	.Y(n_22223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908156 (
+	.A1(n_16676),
+	.A2(n_84640),
+	.B1(n_17305),
+	.B2(n_18639),
+	.Y(n_22222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908157 (
+	.A1(n_17296),
+	.A2(n_18754),
+	.B1(n_16799),
+	.B2(n_18607),
+	.Y(n_22221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908160 (
+	.A1(n_17259),
+	.A2(n_19156),
+	.B1(n_16694),
+	.B2(n_35033),
+	.Y(n_22218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908163 (
+	.A1(n_18359),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [18]),
+	.B1(n_19600),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [18]),
+	.X(n_22215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908164 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [9]),
+	.A2_N(n_18335),
+	.B1(n_17429),
+	.B2(n_18194),
+	.Y(n_22214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908165 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [23]),
+	.A2_N(n_18034),
+	.B1(n_16668),
+	.B2(n_74156),
+	.Y(n_22213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908166 (
+	.A1(n_17275),
+	.A2(n_35031),
+	.B1(n_17276),
+	.B2(n_19107),
+	.Y(n_22212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908167 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [23]),
+	.A2_N(n_18094),
+	.B1(n_16679),
+	.B2(n_19479),
+	.Y(n_22211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908168 (
+	.A1(n_18537),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [29]),
+	.B1(n_18376),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [29]),
+	.X(n_22210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908169 (
+	.A1(n_16684),
+	.A2(n_19556),
+	.B1(n_16781),
+	.B2(n_19155),
+	.Y(n_22209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908170 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [20]),
+	.A2_N(n_18866),
+	.B1(n_17409),
+	.B2(n_18925),
+	.Y(n_22208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908171 (
+	.A1(n_18604),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [26]),
+	.B1(n_20316),
+	.Y(n_22207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908172 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [23]),
+	.A2_N(n_18034),
+	.B1(n_16893),
+	.B2(n_19155),
+	.Y(n_22206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908173 (
+	.A1(n_18033),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [23]),
+	.B1(n_18315),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [23]),
+	.X(n_22205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908174 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [23]),
+	.A2_N(n_18094),
+	.B1(n_85025),
+	.B2(n_19107),
+	.Y(n_22204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908175 (
+	.A1(n_18361),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [26]),
+	.B1(n_18359),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [26]),
+	.X(n_22203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908180 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [23]),
+	.A2_N(FE_DBTN102_n_18754),
+	.B1(n_17516),
+	.B2(n_19012),
+	.Y(n_22198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908181 (
+	.A1(n_16841),
+	.A2(n_74962),
+	.B1(n_16955),
+	.B2(n_18264),
+	.Y(n_22197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908183 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [23]),
+	.A2_N(n_19237),
+	.B1(n_16858),
+	.B2(n_18851),
+	.Y(n_22195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908184 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [20]),
+	.A2_N(n_19366),
+	.B1(n_17410),
+	.B2(n_18817),
+	.Y(n_22194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908186 (
+	.A1(n_17431),
+	.A2(n_19428),
+	.B1(n_17612),
+	.B2(n_19250),
+	.Y(n_22192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908187 (
+	.A1(n_18215),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [20]),
+	.B1(n_18311),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [20]),
+	.Y(n_22191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908189 (
+	.A1(n_16877),
+	.A2(n_18471),
+	.B1(n_17493),
+	.B2(n_18426),
+	.Y(n_22189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908190 (
+	.A1_N(n_18289),
+	.A2_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [8]),
+	.B1(n_17408),
+	.B2(n_19011),
+	.Y(n_22188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908191 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [23]),
+	.A2_N(n_35038),
+	.B1(n_17478),
+	.B2(n_19288),
+	.Y(n_22187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908192 (
+	.A1(n_17566),
+	.A2(n_19187),
+	.B1(n_17584),
+	.B2(n_18246),
+	.Y(n_22186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908193 (
+	.A1(n_17561),
+	.A2(n_19153),
+	.B1(n_17537),
+	.B2(n_19451),
+	.Y(n_22185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908194 (
+	.A1(n_18604),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [20]),
+	.B1(n_20289),
+	.Y(n_22184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908195 (
+	.A1(n_18357),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [29]),
+	.B1(n_18359),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [29]),
+	.X(n_22183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908196 (
+	.A1(n_17405),
+	.A2(n_18216),
+	.B1(n_16941),
+	.B2(n_18312),
+	.Y(n_22182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908197 (
+	.A1(n_16970),
+	.A2(n_18402),
+	.B1(n_16840),
+	.B2(n_18983),
+	.Y(n_22181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908198 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [29]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [29]),
+	.X(n_22180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908200 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [27]),
+	.A2_N(n_19185),
+	.B1(n_17568),
+	.B2(n_19075),
+	.Y(n_22178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908201 (
+	.A1(n_18397),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [20]),
+	.B1(n_18988),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [20]),
+	.Y(n_22177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908202 (
+	.A(n_20282),
+	.B(n_20280),
+	.Y(n_22176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908203 (
+	.A1(n_19571),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [20]),
+	.B1(n_19586),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [20]),
+	.X(n_22175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908205 (
+	.A(n_20275),
+	.B(n_20272),
+	.Y(n_22173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g908206 (
+	.A1(n_17248),
+	.A2(n_19227),
+	.B1(n_20276),
+	.Y(n_22172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908207 (
+	.A1(n_18537),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [26]),
+	.B1(n_20277),
+	.Y(n_22171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908209 (
+	.A1(n_18674),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [20]),
+	.B1(n_18642),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [20]),
+	.Y(n_22169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908210 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [22]),
+	.A2_N(n_18638),
+	.B1(n_16724),
+	.B2(n_84640),
+	.Y(n_22168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908211 (
+	.A(n_20267),
+	.B(n_20268),
+	.Y(n_22167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908215 (
+	.A1(n_18361),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [21]),
+	.B1(n_19600),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [21]),
+	.X(n_22163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908216 (
+	.A(n_20262),
+	.B(n_20264),
+	.Y(n_22162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908217 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [26]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [26]),
+	.Y(n_22161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g908220 (
+	.A1(n_17243),
+	.A2(n_19210),
+	.B1(n_19992),
+	.Y(n_22158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908223 (
+	.A1(n_16882),
+	.A2(n_18194),
+	.B1(n_16827),
+	.B2(n_18337),
+	.Y(n_22155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908224 (
+	.A1(n_18357),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [23]),
+	.B1(n_19600),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [23]),
+	.X(n_22154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908226 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [22]),
+	.A2_N(n_18338),
+	.B1(n_16707),
+	.B2(n_74156),
+	.Y(n_22152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908227 (
+	.A(n_20240),
+	.B(n_20245),
+	.Y(n_22151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908228 (
+	.A1(n_18357),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [7]),
+	.B1(n_19600),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [7]),
+	.X(n_22150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908230 (
+	.A1(n_16968),
+	.A2(n_19075),
+	.B1(n_16844),
+	.B2(n_18148),
+	.Y(n_22148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908233 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [22]),
+	.A2_N(n_19549),
+	.B1(n_16662),
+	.B2(n_19155),
+	.Y(n_22145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908234 (
+	.A1(n_18361),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [31]),
+	.B1(n_18359),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [31]),
+	.X(n_22144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908235 (
+	.A1(n_18897),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [26]),
+	.B1(n_20233),
+	.Y(n_22143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908236 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [5]),
+	.A2_N(n_18034),
+	.B1(n_17528),
+	.B2(n_85757),
+	.Y(n_22142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908238 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [8]),
+	.A2_N(n_18866),
+	.B1(n_16842),
+	.B2(n_18925),
+	.Y(n_22140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908239 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [8]),
+	.A2_N(n_19366),
+	.B1(n_16972),
+	.B2(n_18817),
+	.Y(n_22139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908240 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [22]),
+	.A2_N(n_18338),
+	.B1(n_16906),
+	.B2(n_35033),
+	.Y(n_22138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g908245 (
+	.A1(n_16916),
+	.A2(n_18313),
+	.B1(n_20103),
+	.Y(n_22133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908246 (
+	.A(n_20219),
+	.B(n_20220),
+	.Y(n_22132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908247 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [22]),
+	.A2_N(FE_DBTN102_n_18754),
+	.B1(n_16829),
+	.B2(n_19012),
+	.Y(n_22131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908248 (
+	.A1(n_19010),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [20]),
+	.B1(n_18818),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [20]),
+	.X(n_22130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908250 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [22]),
+	.A2_N(n_18855),
+	.B1(n_16864),
+	.B2(n_19227),
+	.Y(n_22128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908251 (
+	.A(n_20212),
+	.B(n_20213),
+	.Y(n_22127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908252 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [22]),
+	.A2_N(n_19262),
+	.B1(n_17529),
+	.B2(n_19428),
+	.Y(n_22126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908253 (
+	.A1(n_18033),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [26]),
+	.B1(n_18315),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [26]),
+	.Y(n_22125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908254 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [22]),
+	.A2_N(n_19277),
+	.B1(n_16878),
+	.B2(n_19210),
+	.Y(n_22124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908259 (
+	.A1(n_18361),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [19]),
+	.B1(n_18359),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [19]),
+	.X(n_22119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908260 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [29]),
+	.A2_N(n_18315),
+	.B1(n_16950),
+	.B2(n_18194),
+	.Y(n_22118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908261 (
+	.A(n_20135),
+	.B(n_20437),
+	.Y(n_22117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908263 (
+	.A1(n_19577),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [14]),
+	.B1(n_19575),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [14]),
+	.X(n_22115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908264 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [7]),
+	.A2_N(n_18289),
+	.B1(n_16982),
+	.B2(n_19011),
+	.Y(n_22114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908265 (
+	.A1(n_17244),
+	.A2(n_18675),
+	.B1(n_16646),
+	.B2(n_18182),
+	.Y(n_22113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908266 (
+	.A1(n_16667),
+	.A2(n_19227),
+	.B1(n_17287),
+	.B2(n_18851),
+	.Y(n_22112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908268 (
+	.A(n_20298),
+	.B(n_20167),
+	.Y(n_22110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908270 (
+	.A(n_20305),
+	.B(n_20303),
+	.Y(n_22108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908271 (
+	.A1(n_18359),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [27]),
+	.B1(n_19600),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [27]),
+	.X(n_22107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908272 (
+	.A(n_20159),
+	.B(n_20162),
+	.Y(n_22106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908274 (
+	.A1(n_19592),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [4]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [4]),
+	.X(n_22104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908275 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [21]),
+	.A2_N(n_18638),
+	.B1(n_16669),
+	.B2(n_84640),
+	.Y(n_22103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908276 (
+	.A(n_20151),
+	.B(n_20154),
+	.Y(n_22102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908277 (
+	.A(n_20152),
+	.B(n_20153),
+	.Y(n_22101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g908279 (
+	.A1(n_16692),
+	.A2(n_19210),
+	.B1(n_17340),
+	.B2(n_19288),
+	.X(n_22099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908280 (
+	.A(n_20147),
+	.B(n_20149),
+	.Y(n_22098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908283 (
+	.A1(n_18924),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [20]),
+	.B1(n_19073),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [20]),
+	.X(n_22095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908284 (
+	.A1(n_18375),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [21]),
+	.B1(n_20145),
+	.Y(n_22094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908285 (
+	.A(n_20143),
+	.B(n_20144),
+	.Y(n_22093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908286 (
+	.A1(n_19138),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [21]),
+	.B1(n_20381),
+	.Y(n_22092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g908287 (
+	.A1(n_17488),
+	.A2(n_18675),
+	.B1(n_16899),
+	.B2(n_18182),
+	.X(n_22091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908288 (
+	.A1(n_18565),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [19]),
+	.B1(n_19010),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [19]),
+	.Y(n_22090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908289 (
+	.A1(n_18338),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [21]),
+	.B1(n_74158),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [21]),
+	.Y(n_22089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908291 (
+	.A1(n_18361),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [20]),
+	.B1(n_18359),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [20]),
+	.X(n_22087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g908292 (
+	.A1(n_17395),
+	.A2(n_19501),
+	.B1(n_16690),
+	.B2(n_19107),
+	.X(n_22086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908293 (
+	.A1(n_18094),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [21]),
+	.B1(n_20140),
+	.Y(n_22085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908294 (
+	.A1(n_18289),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [19]),
+	.B1(n_19338),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [19]),
+	.Y(n_22084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908296 (
+	.A1(n_19154),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [21]),
+	.B1(n_20137),
+	.Y(n_22082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908297 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [7]),
+	.A2_N(n_18335),
+	.B1(n_17457),
+	.B2(n_18194),
+	.Y(n_22081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908298 (
+	.A1(n_18359),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [28]),
+	.B1(n_19600),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [28]),
+	.X(n_22080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908304 (
+	.A1(n_18215),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [19]),
+	.B1(n_18311),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [19]),
+	.Y(n_22074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908305 (
+	.A(n_20120),
+	.B(n_20494),
+	.Y(n_22073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908307 (
+	.A(n_20118),
+	.B(n_20504),
+	.Y(n_22071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g908308 (
+	.A1(n_17454),
+	.A2(n_18182),
+	.B1(n_16951),
+	.B2(n_18138),
+	.X(n_22070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908309 (
+	.A1(n_18855),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [21]),
+	.B1(n_20520),
+	.Y(n_22069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908310 (
+	.A1(n_18397),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [19]),
+	.B1(n_18988),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [19]),
+	.Y(n_22068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908311 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [7]),
+	.A2_N(n_18866),
+	.B1(n_16967),
+	.B2(n_18925),
+	.Y(n_22067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g908312 (
+	.A(n_20116),
+	.B(n_20115),
+	.Y(n_22066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g908313 (
+	.A1(n_17450),
+	.A2(n_19428),
+	.B1(n_16901),
+	.B2(n_19250),
+	.X(n_22065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908314 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [7]),
+	.A2_N(n_19366),
+	.B1(n_17579),
+	.B2(n_18817),
+	.Y(n_22064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908315 (
+	.A1(n_19277),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [21]),
+	.B1(n_20112),
+	.Y(n_22063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908316 (
+	.A1(n_18250),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [21]),
+	.B1(n_20110),
+	.Y(n_22062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908317 (
+	.A1(n_19589),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [26]),
+	.B1(n_19573),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [26]),
+	.X(n_22061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908318 (
+	.A1(n_18484),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [21]),
+	.B1(n_20546),
+	.Y(n_22060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908319 (
+	.A1(n_19452),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [21]),
+	.B1(n_20553),
+	.Y(n_22059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908320 (
+	.A1(n_19582),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [21]),
+	.B1(n_19579),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [21]),
+	.X(n_22058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908321 (
+	.A1(n_18604),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [19]),
+	.B1(n_20106),
+	.Y(n_22057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908322 (
+	.A1(n_18357),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [17]),
+	.B1(n_19600),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [17]),
+	.X(n_22056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908323 (
+	.A1(n_18361),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [25]),
+	.B1(n_18359),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [25]),
+	.X(n_22055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908324 (
+	.A1(n_18674),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [19]),
+	.B1(n_18642),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [19]),
+	.Y(n_22054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908325 (
+	.A1(n_17381),
+	.A2(n_18182),
+	.B1(n_17282),
+	.B2(n_18138),
+	.Y(n_22053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908326 (
+	.A1(n_18897),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [20]),
+	.B1(n_18531),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [20]),
+	.X(n_22052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908327 (
+	.A1(n_16701),
+	.A2(n_19428),
+	.B1(n_17281),
+	.B2(n_19250),
+	.Y(n_22051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908328 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [20]),
+	.A2_N(n_18910),
+	.B1(n_16730),
+	.B2(n_18851),
+	.Y(n_22050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908329 (
+	.A1(n_19010),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [15]),
+	.B1(n_18818),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [15]),
+	.X(n_22049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908331 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [20]),
+	.A2_N(n_18638),
+	.B1(n_16809),
+	.B2(n_84640),
+	.Y(n_22047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908332 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [6]),
+	.A2_N(n_18565),
+	.B1(n_16824),
+	.B2(n_19011),
+	.Y(n_22046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908334 (
+	.A1(n_18537),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [19]),
+	.B1(n_20667),
+	.Y(n_22044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908336 (
+	.A(n_20085),
+	.B(n_20086),
+	.Y(n_22042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908337 (
+	.A1(n_17517),
+	.A2(n_18291),
+	.B1(n_16922),
+	.B2(n_19333),
+	.Y(n_22041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908338 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [19]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [19]),
+	.Y(n_22040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908339 (
+	.A1(n_19277),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [20]),
+	.B1(n_20678),
+	.Y(n_22039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908341 (
+	.A1(n_19294),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [25]),
+	.B1(n_18271),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [25]),
+	.Y(n_22037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_2 g908342 (
+	.A1(n_17540),
+	.A2(n_18402),
+	.B1(n_16850),
+	.B2(n_18983),
+	.Y(n_22036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908344 (
+	.A1(n_18375),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [20]),
+	.B1(n_20081),
+	.Y(n_22034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908345 (
+	.A1(n_19138),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [20]),
+	.B1(n_20695),
+	.Y(n_22033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908346 (
+	.A1(n_19138),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [6]),
+	.B1(n_19452),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [6]),
+	.Y(n_22032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908347 (
+	.A1(n_18289),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [25]),
+	.B1(n_19010),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [25]),
+	.Y(n_22031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908348 (
+	.A1(n_18034),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [20]),
+	.B1(n_74158),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [20]),
+	.Y(n_22030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908349 (
+	.A1(n_18897),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [19]),
+	.B1(n_20071),
+	.Y(n_22029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908350 (
+	.A(n_20074),
+	.B(n_20699),
+	.Y(n_22028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908352 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [6]),
+	.A2_N(n_18606),
+	.B1(n_16734),
+	.B2(n_18754),
+	.Y(n_22026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908354 (
+	.A1(n_19154),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [20]),
+	.B1(n_20067),
+	.Y(n_22024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908355 (
+	.A1(n_19549),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [20]),
+	.B1(n_18094),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [20]),
+	.Y(n_22023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908356 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [30]),
+	.A2_N(n_18397),
+	.B1(n_17490),
+	.B2(n_18983),
+	.Y(n_22022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908357 (
+	.A1(n_18033),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [19]),
+	.B1(n_18315),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [19]),
+	.Y(n_22021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g908358 (
+	.A1(n_17492),
+	.A2(n_18535),
+	.B1(n_16929),
+	.B2(n_18441),
+	.X(n_22020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908359 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [20]),
+	.A2_N(n_18338),
+	.B1(n_17483),
+	.B2(n_35033),
+	.Y(n_22019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908360 (
+	.A1(n_18355),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [16]),
+	.B1(n_18352),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [16]),
+	.X(n_22018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908362 (
+	.A(n_20738),
+	.B(n_20733),
+	.Y(n_22016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g908363 (
+	.A1(n_16834),
+	.A2(n_18783),
+	.B1(n_17545),
+	.B2(n_19070),
+	.X(n_22015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908365 (
+	.A1(n_19237),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [29]),
+	.B1(n_18676),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [29]),
+	.X(n_22013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908366 (
+	.A(n_20056),
+	.B(n_84647),
+	.Y(n_22012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908367 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [20]),
+	.A2_N(n_18606),
+	.B1(n_16875),
+	.B2(n_19012),
+	.Y(n_22011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908368 (
+	.A1(n_19566),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [12]),
+	.B1(n_19590),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [12]),
+	.X(n_22010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908369 (
+	.A1(n_19398),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [19]),
+	.B1(n_18154),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [19]),
+	.Y(n_22009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g908370 (
+	.A1(n_17491),
+	.A2(n_18898),
+	.B1(n_17487),
+	.B2(n_18532),
+	.X(n_22008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908373 (
+	.A1(n_19571),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [10]),
+	.B1(n_19586),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [10]),
+	.X(n_22005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908374 (
+	.A1(n_18335),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [6]),
+	.B1(n_20045),
+	.Y(n_22004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908375 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [20]),
+	.A2_N(n_18855),
+	.B1(n_16965),
+	.B2(n_19227),
+	.Y(n_22003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908378 (
+	.A1(n_19185),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [19]),
+	.B1(n_19073),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [19]),
+	.Y(n_22000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908379 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [20]),
+	.A2_N(n_19277),
+	.B1(n_17407),
+	.B2(n_19210),
+	.Y(n_21999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908380 (
+	.A1(n_18034),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [29]),
+	.B1(n_74158),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [29]),
+	.X(n_21998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908382 (
+	.A1(n_19398),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [6]),
+	.B1(n_18154),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [6]),
+	.Y(n_21996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908383 (
+	.A1(n_19185),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [6]),
+	.B1(n_20806),
+	.Y(n_21995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908384 (
+	.A1(n_16817),
+	.A2(n_18471),
+	.B1(n_16969),
+	.B2(n_18426),
+	.Y(n_21994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908385 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [25]),
+	.A2_N(n_19398),
+	.B1(n_17508),
+	.B2(n_18148),
+	.Y(n_21993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908386 (
+	.A1(n_16867),
+	.A2(n_19153),
+	.B1(n_16894),
+	.B2(n_19451),
+	.Y(n_21992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908387 (
+	.A1(n_18348),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [13]),
+	.B1(n_18344),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [13]),
+	.X(n_21991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908388 (
+	.A1(n_18866),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [19]),
+	.B1(n_18924),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [19]),
+	.Y(n_21990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g908389 (
+	.A1(n_16944),
+	.A2(n_18871),
+	.B1(n_16853),
+	.B2(n_18925),
+	.X(n_21989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908390 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [25]),
+	.A2_N(n_19185),
+	.B1(n_17469),
+	.B2(n_19075),
+	.Y(n_21988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908391 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [11]),
+	.A2_N(n_19277),
+	.B1(n_16746),
+	.B2(n_19210),
+	.Y(n_21987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g908392 (
+	.A1(n_17592),
+	.A2(n_19365),
+	.B1(n_17451),
+	.B2(n_18817),
+	.X(n_21986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908393 (
+	.A1(n_18365),
+	.A2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[29]),
+	.B1(n_39587),
+	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[21]),
+	.Y(n_21985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908394 (
+	.A1(n_18338),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [31]),
+	.B1(n_18034),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [31]),
+	.X(n_21984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908395 (
+	.A1(n_18033),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [30]),
+	.B1(n_18315),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [30]),
+	.X(n_21983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908396 (
+	.A1(n_16659),
+	.A2(n_18675),
+	.B1(n_17268),
+	.B2(n_18138),
+	.Y(n_21982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908397 (
+	.A1(n_19366),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [19]),
+	.B1(n_18818),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [19]),
+	.Y(n_21981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908399 (
+	.A1(n_16711),
+	.A2(n_18900),
+	.B1(n_16787),
+	.B2(n_18182),
+	.Y(n_21979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908400 (
+	.A(n_20016),
+	.B(n_20018),
+	.Y(n_21978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908402 (
+	.A1(n_18355),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [14]),
+	.B1(n_18352),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [14]),
+	.X(n_21976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908403 (
+	.A1(n_17378),
+	.A2(n_19156),
+	.B1(n_16747),
+	.B2(n_35033),
+	.Y(n_21975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908405 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [25]),
+	.A2_N(n_18866),
+	.B1(n_16958),
+	.B2(n_18925),
+	.Y(n_21973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908406 (
+	.A1(n_16719),
+	.A2(n_18754),
+	.B1(n_16725),
+	.B2(n_18607),
+	.Y(n_21972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908408 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [7]),
+	.A2_N(n_18094),
+	.B1(n_16984),
+	.B2(n_19107),
+	.Y(n_21970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908409 (
+	.A(n_19918),
+	.B(n_20033),
+	.Y(n_21969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908410 (
+	.A1(n_17303),
+	.A2(n_18471),
+	.B1(n_17393),
+	.B2(n_18426),
+	.Y(n_21968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908411 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [7]),
+	.A2_N(n_19549),
+	.B1(n_16657),
+	.B2(n_19155),
+	.Y(n_21967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908412 (
+	.A1(n_16681),
+	.A2(n_19210),
+	.B1(n_17317),
+	.B2(n_19288),
+	.Y(n_21966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908413 (
+	.A1(n_16777),
+	.A2(n_19187),
+	.B1(n_16704),
+	.B2(n_18246),
+	.Y(n_21965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908414 (
+	.A1(n_17301),
+	.A2(n_19153),
+	.B1(n_17288),
+	.B2(n_19451),
+	.Y(n_21964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908415 (
+	.A(n_20028),
+	.B(n_20400),
+	.Y(n_21963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908416 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [5]),
+	.A2_N(n_18289),
+	.B1(n_16948),
+	.B2(n_19011),
+	.Y(n_21962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908417 (
+	.A1(n_16779),
+	.A2(n_18218),
+	.B1(n_16798),
+	.B2(n_74156),
+	.Y(n_21961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908418 (
+	.A1(n_17379),
+	.A2(n_19501),
+	.B1(n_16739),
+	.B2(n_19107),
+	.Y(n_21960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908419 (
+	.A1(n_16677),
+	.A2(n_85757),
+	.B1(n_17258),
+	.B2(n_35031),
+	.Y(n_21959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908420 (
+	.A1(n_16732),
+	.A2(n_19556),
+	.B1(n_17247),
+	.B2(n_19155),
+	.Y(n_21958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908421 (
+	.A1(n_18154),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [5]),
+	.B1(n_20050),
+	.Y(n_21957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g908422 (
+	.A1(n_17555),
+	.A2(n_19365),
+	.B1(n_20002),
+	.Y(n_21956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908423 (
+	.A1(n_19185),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [5]),
+	.B1(n_19398),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [5]),
+	.Y(n_21955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908424 (
+	.A1(n_17464),
+	.A2(n_35031),
+	.B1(n_16848),
+	.B2(n_35033),
+	.Y(n_21954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908425 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [7]),
+	.A2_N(n_18638),
+	.B1(n_17374),
+	.B2(n_84640),
+	.Y(n_21953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g908428 (
+	.A1(n_17556),
+	.A2(n_18871),
+	.B1(n_17554),
+	.B2(n_18925),
+	.X(n_21950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908429 (
+	.A1(n_18289),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [27]),
+	.B1(n_19338),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [27]),
+	.X(n_21949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908430 (
+	.A1(n_18355),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [24]),
+	.B1(n_18352),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [24]),
+	.X(n_21948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908431 (
+	.A1(n_17558),
+	.A2(n_74962),
+	.B1(n_17484),
+	.B2(n_18264),
+	.Y(n_21947), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908436 (
+	.A1(n_19366),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [5]),
+	.B1(n_18818),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [5]),
+	.Y(n_21942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908437 (
+	.A1(n_18674),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [22]),
+	.B1(n_18642),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [22]),
+	.Y(n_21941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908439 (
+	.A1(n_18094),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [31]),
+	.B1(n_74158),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [31]),
+	.X(n_21939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g908440 (
+	.A1(n_16872),
+	.A2(n_18182),
+	.B1(n_16856),
+	.B2(n_18138),
+	.X(n_21938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908441 (
+	.A1(n_19237),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [19]),
+	.B1(n_20034),
+	.Y(n_21937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g908443 (
+	.A(n_19651),
+	.B(n_20037),
+	.Y(n_21935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g908444 (
+	.A(n_19991),
+	.B(n_20038),
+	.Y(n_21934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908445 (
+	.A1(n_19338),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [18]),
+	.B1(n_18565),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [18]),
+	.Y(n_21933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908446 (
+	.A(n_19988),
+	.B(n_19670),
+	.Y(n_21932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908447 (
+	.A1(n_17475),
+	.A2(n_18402),
+	.B1(n_16935),
+	.B2(n_18983),
+	.Y(n_21931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908448 (
+	.A1(n_18484),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [19]),
+	.B1(n_19661),
+	.Y(n_21930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908449 (
+	.A1(n_35038),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [19]),
+	.B1(n_19663),
+	.Y(n_21929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908450 (
+	.A1(n_18250),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [19]),
+	.B1(n_19669),
+	.Y(n_21928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908451 (
+	.A1(n_19452),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [19]),
+	.B1(n_20041),
+	.Y(n_21927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908453 (
+	.A1(n_19338),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [28]),
+	.B1(n_18565),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [28]),
+	.Y(n_21925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908454 (
+	.A1(n_18289),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [18]),
+	.B1(n_19010),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [18]),
+	.Y(n_21924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908455 (
+	.A(n_20049),
+	.B(n_19681),
+	.Y(n_21923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908456 (
+	.A1(n_19188),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [31]),
+	.B1(n_18484),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [31]),
+	.X(n_21922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908458 (
+	.A1(n_18215),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [2]),
+	.B1(n_18311),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [2]),
+	.Y(n_21920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908460 (
+	.A1(n_18397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [2]),
+	.B1(n_18988),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [2]),
+	.Y(n_21918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g908461 (
+	.A1_N(n_17327),
+	.A2_N(n_18182),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [18]),
+	.B2(n_18910),
+	.Y(n_21917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908462 (
+	.A1(n_18604),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [2]),
+	.B1(n_18533),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [2]),
+	.Y(n_21916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908463 (
+	.A1(n_18674),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [2]),
+	.B1(n_18642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [2]),
+	.Y(n_21915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908464 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [18]),
+	.A2_N(n_19398),
+	.B1(n_16978),
+	.B2(n_18148),
+	.Y(n_21914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g908465 (
+	.A1_N(n_17336),
+	.A2_N(n_18851),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [18]),
+	.B2(n_19237),
+	.Y(n_21913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908466 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [2]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [2]),
+	.X(n_21912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908467 (
+	.A1(n_18897),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [2]),
+	.B1(n_18531),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [2]),
+	.X(n_21911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g908468 (
+	.A1(n_16756),
+	.A2(n_18675),
+	.B1(n_16702),
+	.B2(n_18138),
+	.X(n_21910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908469 (
+	.A1(n_18154),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [2]),
+	.B1(n_18271),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [2]),
+	.X(n_21909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g908470 (
+	.A1(n_17359),
+	.A2(n_19428),
+	.B1(n_17355),
+	.B2(n_19250),
+	.X(n_21908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908471 (
+	.A1(n_17466),
+	.A2(n_18898),
+	.B1(n_17467),
+	.B2(n_18532),
+	.Y(n_21907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908472 (
+	.A1(n_19366),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [2]),
+	.B1(n_18866),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [2]),
+	.X(n_21906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908474 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [18]),
+	.A2_N(n_19366),
+	.B1(n_17411),
+	.B2(n_18817),
+	.Y(n_21904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908475 (
+	.A1(n_16997),
+	.A2(n_18194),
+	.B1(n_16960),
+	.B2(n_18337),
+	.Y(n_21903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908476 (
+	.A1(n_18340),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [2]),
+	.B1(n_18818),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [2]),
+	.X(n_21902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g908477 (
+	.A1(n_18484),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [18]),
+	.B1(n_19980),
+	.Y(n_21901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908478 (
+	.A1(n_19338),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [2]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [2]),
+	.X(n_21900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g908479 (
+	.A1(n_18250),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [18]),
+	.B1(n_19760),
+	.Y(n_21899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908480 (
+	.A1(n_18215),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [3]),
+	.B1(n_18311),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [3]),
+	.Y(n_21898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908481 (
+	.A1(n_18397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [3]),
+	.B1(n_18988),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [3]),
+	.Y(n_21897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908483 (
+	.A1(n_18604),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [3]),
+	.B1(n_18533),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [3]),
+	.Y(n_21895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908484 (
+	.A1(n_18674),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [3]),
+	.B1(n_18642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [3]),
+	.Y(n_21894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908485 (
+	.A1(n_18674),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [20]),
+	.B1(n_18642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [20]),
+	.Y(n_21893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g908486 (
+	.A1(n_17316),
+	.A2(n_19153),
+	.B1(n_16765),
+	.B2(n_19451),
+	.X(n_21892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908487 (
+	.A1(n_18289),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [28]),
+	.B1(n_19010),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [28]),
+	.Y(n_21891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908488 (
+	.A(n_19800),
+	.B(n_19794),
+	.Y(n_21890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908489 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [3]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [3]),
+	.X(n_21889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908490 (
+	.A1(n_18897),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [3]),
+	.B1(n_18531),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [3]),
+	.X(n_21888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908491 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [18]),
+	.A2_N(n_19185),
+	.B1(n_17597),
+	.B2(n_19075),
+	.Y(n_21887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908493 (
+	.A1(n_18154),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [3]),
+	.B1(n_18271),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [3]),
+	.X(n_21885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g908494 (
+	.A1(n_16670),
+	.A2(n_18501),
+	.B1(n_17313),
+	.B2(n_18639),
+	.X(n_21884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908495 (
+	.A1(n_19366),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [3]),
+	.B1(n_18866),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [3]),
+	.X(n_21883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908496 (
+	.A1(n_18340),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [3]),
+	.B1(n_18565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [3]),
+	.X(n_21882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908497 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [18]),
+	.A2_N(n_18866),
+	.B1(n_17603),
+	.B2(n_18925),
+	.Y(n_21881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908499 (
+	.A1(n_19338),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [3]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [3]),
+	.X(n_21879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g908500 (
+	.A1(n_17285),
+	.A2(n_19012),
+	.B1(n_16788),
+	.B2(n_84640),
+	.X(n_21878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908501 (
+	.A1(n_18215),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [5]),
+	.B1(n_18311),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [5]),
+	.Y(n_21877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908502 (
+	.A1(n_18397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [5]),
+	.B1(n_18988),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [5]),
+	.Y(n_21876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908504 (
+	.A1(n_18604),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [5]),
+	.B1(n_18533),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [5]),
+	.Y(n_21874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908505 (
+	.A1(n_18674),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [5]),
+	.B1(n_18642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [5]),
+	.Y(n_21873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908507 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [5]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [5]),
+	.X(n_21871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908508 (
+	.A1(n_18897),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [5]),
+	.B1(n_18531),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [5]),
+	.X(n_21870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g908509 (
+	.A1(n_17339),
+	.A2(n_85757),
+	.B1(n_17273),
+	.B2(n_18218),
+	.X(n_21869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908510 (
+	.A1(n_18154),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [5]),
+	.B1(n_18271),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [5]),
+	.X(n_21868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908511 (
+	.A1(n_19366),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [5]),
+	.B1(n_18866),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [5]),
+	.X(n_21867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908512 (
+	.A(n_19971),
+	.B(n_19832),
+	.Y(n_21866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908513 (
+	.A1(n_18340),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [5]),
+	.B1(n_18565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [5]),
+	.X(n_21865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908514 (
+	.A1(n_19338),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [5]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [5]),
+	.X(n_21864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908515 (
+	.A(n_19838),
+	.B(n_19972),
+	.Y(n_21863), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908516 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [18]),
+	.A2_N(n_18338),
+	.B1(n_17484),
+	.B2(n_35033),
+	.Y(n_21862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908517 (
+	.A1(n_18215),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [4]),
+	.B1(n_18311),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [4]),
+	.Y(n_21861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908518 (
+	.A1(n_18397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [27]),
+	.B1(n_18988),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [27]),
+	.Y(n_21860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908519 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [18]),
+	.A2_N(n_18034),
+	.B1(n_17599),
+	.B2(n_19155),
+	.Y(n_21859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908520 (
+	.A1(n_18397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [4]),
+	.B1(n_18988),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [4]),
+	.Y(n_21858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g908521 (
+	.A1(n_17442),
+	.A2(n_19333),
+	.B1(n_17444),
+	.B2(n_18566),
+	.X(n_21857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908522 (
+	.A1(n_18604),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [4]),
+	.B1(n_18533),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [4]),
+	.Y(n_21856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908523 (
+	.A1(n_18674),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [4]),
+	.B1(n_18642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [4]),
+	.Y(n_21855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908524 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [18]),
+	.A2_N(n_18094),
+	.B1(n_17569),
+	.B2(n_19107),
+	.Y(n_21854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908526 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [4]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [4]),
+	.X(n_21852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908527 (
+	.A1(n_18897),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [4]),
+	.B1(n_18531),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [4]),
+	.X(n_21851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908528 (
+	.A1(n_18215),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [27]),
+	.B1(n_18311),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [27]),
+	.Y(n_21850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908530 (
+	.A1(n_18154),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [4]),
+	.B1(n_18271),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [4]),
+	.X(n_21848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g908531 (
+	.A1(n_17002),
+	.A2(n_18291),
+	.B1(n_16981),
+	.B2(n_19011),
+	.X(n_21847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908532 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [18]),
+	.A2_N(n_18606),
+	.B1(n_16930),
+	.B2(n_19012),
+	.Y(n_21846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908533 (
+	.A1(n_16926),
+	.A2(n_18754),
+	.B1(n_16837),
+	.B2(n_74156),
+	.Y(n_21845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908534 (
+	.A1(n_19366),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [4]),
+	.B1(n_18866),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [4]),
+	.X(n_21844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908536 (
+	.A1(n_18340),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [4]),
+	.B1(n_18818),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [4]),
+	.X(n_21842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908537 (
+	.A1(n_17435),
+	.A2(n_18182),
+	.B1(n_16825),
+	.B2(n_18138),
+	.Y(n_21841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908538 (
+	.A1(n_19338),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [4]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [4]),
+	.X(n_21840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908539 (
+	.A1(n_16957),
+	.A2(n_19227),
+	.B1(n_16889),
+	.B2(n_18675),
+	.Y(n_21839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908540 (
+	.A(n_19749),
+	.B(n_19750),
+	.Y(n_21838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908542 (
+	.A1(n_16836),
+	.A2(n_18900),
+	.B1(n_17437),
+	.B2(n_18851),
+	.Y(n_21836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908543 (
+	.A1(n_18215),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [6]),
+	.B1(n_18311),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [6]),
+	.Y(n_21835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908544 (
+	.A1(n_17428),
+	.A2(n_19428),
+	.B1(n_16873),
+	.B2(n_19250),
+	.Y(n_21834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908545 (
+	.A1(n_18397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [6]),
+	.B1(n_18988),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [6]),
+	.Y(n_21833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908546 (
+	.A1(n_18604),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [6]),
+	.B1(n_18533),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [6]),
+	.Y(n_21832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908547 (
+	.A1(n_18674),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [6]),
+	.B1(n_18642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [6]),
+	.Y(n_21831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908549 (
+	.A1(n_17610),
+	.A2(n_19187),
+	.B1(n_17586),
+	.B2(n_19288),
+	.Y(n_21829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g908550 (
+	.A1(n_17526),
+	.A2(n_19186),
+	.B1(n_17436),
+	.B2(n_19075),
+	.X(n_21828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908551 (
+	.A1(n_17427),
+	.A2(n_19210),
+	.B1(n_16885),
+	.B2(n_18246),
+	.Y(n_21827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908552 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [6]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [6]),
+	.X(n_21826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908553 (
+	.A1(n_18537),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [25]),
+	.B1(n_19881),
+	.Y(n_21825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908554 (
+	.A1(n_18897),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [6]),
+	.B1(n_18531),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [6]),
+	.X(n_21824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908555 (
+	.A1(n_16904),
+	.A2(n_18471),
+	.B1(n_16855),
+	.B2(n_18426),
+	.Y(n_21823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908556 (
+	.A1(n_17440),
+	.A2(n_19153),
+	.B1(n_17416),
+	.B2(n_19451),
+	.Y(n_21822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908557 (
+	.A1(n_18154),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [6]),
+	.B1(n_18271),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [6]),
+	.X(n_21821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908559 (
+	.A1(n_19366),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [6]),
+	.B1(n_18866),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [6]),
+	.X(n_21819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908560 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [30]),
+	.A2_N(n_18674),
+	.B1(n_16849),
+	.B2(n_18643),
+	.Y(n_21818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908561 (
+	.A1(n_19338),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [6]),
+	.B1(n_18565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [6]),
+	.X(n_21817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908563 (
+	.A1(n_18340),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [6]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [6]),
+	.X(n_21815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908564 (
+	.A1(n_18215),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [7]),
+	.B1(n_18311),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [7]),
+	.Y(n_21814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908565 (
+	.A1(n_18397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [7]),
+	.B1(n_18988),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [7]),
+	.Y(n_21813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908566 (
+	.A1(n_18604),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [7]),
+	.B1(n_18533),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [7]),
+	.Y(n_21812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908567 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [12]),
+	.A2_N(n_18606),
+	.B1(n_17455),
+	.B2(n_18501),
+	.Y(n_21811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908568 (
+	.A1(n_18674),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [7]),
+	.B1(n_18642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [7]),
+	.Y(n_21810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908569 (
+	.A1(n_19398),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [28]),
+	.B1(n_18154),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [28]),
+	.Y(n_21809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908570 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [7]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [7]),
+	.X(n_21808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908571 (
+	.A(n_19960),
+	.B(n_19900),
+	.Y(n_21807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908572 (
+	.A1(n_18897),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [7]),
+	.B1(n_18531),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [7]),
+	.X(n_21806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908573 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [25]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [25]),
+	.Y(n_21805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908574 (
+	.A(n_19903),
+	.B(n_19961),
+	.Y(n_21804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908575 (
+	.A1(n_18154),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [7]),
+	.B1(n_18271),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [7]),
+	.X(n_21803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908576 (
+	.A(n_19946),
+	.B(n_19959),
+	.Y(n_21802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g908577 (
+	.A1(n_18537),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [18]),
+	.B1(n_19958),
+	.Y(n_21801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908578 (
+	.A1(n_19366),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [7]),
+	.B1(n_18866),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [7]),
+	.X(n_21800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908579 (
+	.A1(n_16862),
+	.A2(n_18402),
+	.B1(n_16892),
+	.B2(n_18983),
+	.Y(n_21799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908581 (
+	.A1(n_19338),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [7]),
+	.B1(n_18565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [7]),
+	.X(n_21797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908582 (
+	.A1(n_18340),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [7]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [7]),
+	.X(n_21796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908583 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [30]),
+	.A2_N(n_18034),
+	.B1(n_17588),
+	.B2(n_19155),
+	.Y(n_21795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908585 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [18]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [18]),
+	.Y(n_21793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908586 (
+	.A1(n_16980),
+	.A2(n_18605),
+	.B1(n_17453),
+	.B2(n_18534),
+	.Y(n_21792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908589 (
+	.A1(n_18397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [26]),
+	.B1(n_18988),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [26]),
+	.X(n_21789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908590 (
+	.A1(n_18674),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [26]),
+	.B1(n_18642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [26]),
+	.X(n_21788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908591 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [17]),
+	.A2_N(n_18638),
+	.B1(n_17292),
+	.B2(n_84640),
+	.Y(n_21787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g908592 (
+	.A(n_18059),
+	.B(n_18054),
+	.C(n_17902),
+	.X(n_22750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_4 g908593 (
+	.A(n_18046),
+	.B(n_18076),
+	.C(n_18075),
+	.X(n_22749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g908597 (
+	.A(n_34809),
+	.B(n_35059),
+	.Y(n_22741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908615 (
+	.A1(n_19566),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [9]),
+	.B1(n_19590),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [9]),
+	.X(n_21767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908616 (
+	.A1(n_19185),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [26]),
+	.B1(n_19398),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [26]),
+	.Y(n_21766), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908617 (
+	.A1(n_19366),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [26]),
+	.B1(n_18866),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [26]),
+	.Y(n_21765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908618 (
+	.A1(n_17415),
+	.A2(n_18536),
+	.B1(n_16976),
+	.B2(n_18441),
+	.Y(n_21764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908619 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [26]),
+	.A2_N(n_19338),
+	.B1(n_17256),
+	.B2(n_18566),
+	.Y(n_21763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g908620 (
+	.A1(n_16985),
+	.A2(n_18898),
+	.B1(n_16998),
+	.B2(n_18532),
+	.X(n_21762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908622 (
+	.A1(n_18340),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [26]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [26]),
+	.X(n_21760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g908623 (
+	.A1(n_17427),
+	.A2(n_18898),
+	.B1(n_16885),
+	.B2(n_18532),
+	.X(n_21759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908624 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [17]),
+	.A2_N(n_19277),
+	.B1(n_17249),
+	.B2(n_19210),
+	.Y(n_21758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908625 (
+	.A1(n_17414),
+	.A2(n_18898),
+	.B1(n_16891),
+	.B2(n_18532),
+	.Y(n_21757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908627 (
+	.A1(n_18215),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [28]),
+	.B1(n_18311),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [28]),
+	.Y(n_21755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908628 (
+	.A1(n_19185),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [28]),
+	.B1(n_19073),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [28]),
+	.Y(n_21754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908629 (
+	.A1(n_18397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [28]),
+	.B1(n_18988),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [28]),
+	.Y(n_21753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908630 (
+	.A1(n_18674),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [28]),
+	.B1(n_18642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [28]),
+	.Y(n_21752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908631 (
+	.A1(n_18604),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [28]),
+	.B1(n_18533),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [28]),
+	.Y(n_21751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908633 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [28]),
+	.A2_N(n_19043),
+	.B1(n_17251),
+	.B2(n_18790),
+	.Y(n_21749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908635 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [28]),
+	.A2_N(n_18315),
+	.B1(n_17315),
+	.B2(n_18194),
+	.Y(n_21747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g908636 (
+	.A1(n_17440),
+	.A2(n_18194),
+	.B1(n_17610),
+	.B2(n_18313),
+	.X(n_21746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908637 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [17]),
+	.A2_N(n_18034),
+	.B1(n_17264),
+	.B2(n_74156),
+	.Y(n_21745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908638 (
+	.A1(n_17438),
+	.A2(n_18194),
+	.B1(n_17430),
+	.B2(n_18337),
+	.Y(n_21744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908639 (
+	.A1(n_18154),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [28]),
+	.B1(n_18271),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [28]),
+	.X(n_21743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908640 (
+	.A1(n_19366),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [28]),
+	.B1(n_18866),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [28]),
+	.X(n_21742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908642 (
+	.A1(n_19338),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [28]),
+	.B1(n_18565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [28]),
+	.X(n_21740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908643 (
+	.A1(n_18033),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [25]),
+	.B1(n_18315),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [25]),
+	.Y(n_21739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908645 (
+	.A1(n_18340),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [28]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [28]),
+	.X(n_21737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908646 (
+	.A1(n_19398),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [31]),
+	.B1(n_18154),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [31]),
+	.X(n_21736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908647 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [17]),
+	.A2_N(n_19549),
+	.B1(n_16728),
+	.B2(n_19155),
+	.Y(n_21735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908648 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [21]),
+	.A2_N(n_18787),
+	.B1(n_17287),
+	.B2(n_19044),
+	.Y(n_21734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908649 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [11]),
+	.A2_N(n_18375),
+	.B1(n_17346),
+	.B2(n_18471),
+	.Y(n_21733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908650 (
+	.A1(n_18604),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [27]),
+	.B1(n_18533),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [27]),
+	.Y(n_21732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908651 (
+	.A1(n_18674),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [27]),
+	.B1(n_18642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [27]),
+	.Y(n_21731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908652 (
+	.A1(n_16863),
+	.A2(n_35031),
+	.B1(n_17531),
+	.B2(n_35033),
+	.Y(n_21730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908653 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [27]),
+	.A2_N(n_19043),
+	.B1(n_16767),
+	.B2(n_18790),
+	.Y(n_21729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908654 (
+	.A1(n_19106),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [17]),
+	.B1(n_19154),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [17]),
+	.Y(n_21728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908655 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [27]),
+	.A2_N(n_18315),
+	.B1(n_16758),
+	.B2(n_18194),
+	.Y(n_21727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908656 (
+	.A1(n_18094),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [17]),
+	.B1(n_18034),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [17]),
+	.Y(n_21726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908657 (
+	.A1(n_18154),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [27]),
+	.B1(n_18271),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [27]),
+	.X(n_21725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908658 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [27]),
+	.A2_N(n_19366),
+	.B1(n_17252),
+	.B2(n_18871),
+	.Y(n_21724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908659 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [17]),
+	.A2_N(n_19157),
+	.B1(n_16830),
+	.B2(n_19012),
+	.Y(n_21723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908660 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [17]),
+	.A2_N(n_18638),
+	.B1(n_16831),
+	.B2(n_18501),
+	.Y(n_21722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908661 (
+	.A1(n_18340),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [27]),
+	.B1(n_18818),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [27]),
+	.X(n_21721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908663 (
+	.A(n_20013),
+	.B(n_20006),
+	.Y(n_21719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908664 (
+	.A1(n_18215),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [24]),
+	.B1(n_18311),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [24]),
+	.Y(n_21718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908665 (
+	.A1(n_18397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [24]),
+	.B1(n_18988),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [24]),
+	.Y(n_21717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908666 (
+	.A1(n_18169),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [17]),
+	.B1(n_20059),
+	.Y(n_21716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908667 (
+	.A1(n_18604),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [24]),
+	.B1(n_18533),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [24]),
+	.Y(n_21715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908668 (
+	.A1(n_18674),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [24]),
+	.B1(n_18642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [24]),
+	.Y(n_21714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908669 (
+	.A1(n_19237),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [17]),
+	.B1(n_19943),
+	.Y(n_21713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908670 (
+	.A1(n_18910),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [17]),
+	.B1(n_20087),
+	.Y(n_21712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908671 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [24]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [24]),
+	.X(n_21711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908672 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [24]),
+	.A2_N(n_18033),
+	.B1(n_17366),
+	.B2(n_18313),
+	.Y(n_21710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 g908673 (
+	.A1(n_19436),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [17]),
+	.B1(n_19262),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [17]),
+	.Y(n_21709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908674 (
+	.A1(n_16740),
+	.A2(n_18148),
+	.B1(n_17294),
+	.B2(n_18264),
+	.Y(n_21708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908675 (
+	.A1(n_18375),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [17]),
+	.B1(n_19940),
+	.Y(n_21707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908676 (
+	.A1(n_19366),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [24]),
+	.B1(n_18866),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [24]),
+	.X(n_21706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908677 (
+	.A1(n_35038),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [17]),
+	.B1(n_20127),
+	.Y(n_21705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908679 (
+	.A1(n_18340),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [24]),
+	.B1(n_18818),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [24]),
+	.X(n_21703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908680 (
+	.A1(n_19277),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [17]),
+	.B1(n_20160),
+	.Y(n_21702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908681 (
+	.A1(n_18289),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [24]),
+	.B1(n_19338),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [24]),
+	.X(n_21701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908682 (
+	.A1(n_19452),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [17]),
+	.B1(n_20163),
+	.Y(n_21700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908683 (
+	.A1(n_17434),
+	.A2(n_18291),
+	.B1(n_16821),
+	.B2(n_19011),
+	.Y(n_21699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908684 (
+	.A1(n_18215),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [23]),
+	.B1(n_18311),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [23]),
+	.Y(n_21698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908685 (
+	.A1(n_18397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [23]),
+	.B1(n_18988),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [23]),
+	.Y(n_21697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908686 (
+	.A1(n_18604),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [23]),
+	.B1(n_18533),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [23]),
+	.Y(n_21696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908687 (
+	.A1(n_18674),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [23]),
+	.B1(n_18642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [23]),
+	.Y(n_21695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908688 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [23]),
+	.A2_N(n_19043),
+	.B1(n_17310),
+	.B2(n_18790),
+	.Y(n_21694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908689 (
+	.A1(n_18215),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [21]),
+	.B1(n_18311),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [21]),
+	.Y(n_21693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908690 (
+	.A1(n_17418),
+	.A2(n_19104),
+	.B1(n_17406),
+	.B2(n_18148),
+	.Y(n_21692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908691 (
+	.A1(n_17259),
+	.A2(n_18148),
+	.B1(n_16694),
+	.B2(n_18264),
+	.Y(n_21691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908692 (
+	.A1(n_17496),
+	.A2(n_19186),
+	.B1(n_17486),
+	.B2(n_19403),
+	.Y(n_21690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908693 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [23]),
+	.A2_N(n_18866),
+	.B1(n_16679),
+	.B2(n_19365),
+	.Y(n_21689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908694 (
+	.A1(n_18866),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [28]),
+	.B1(n_18924),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [28]),
+	.Y(n_21688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g908695 (
+	.A1(n_17349),
+	.A2(n_18675),
+	.B1(n_17335),
+	.B2(n_18182),
+	.X(n_21687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908696 (
+	.A1(n_16684),
+	.A2(n_18341),
+	.B1(n_16799),
+	.B2(n_18817),
+	.Y(n_21686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908697 (
+	.A1(n_16815),
+	.A2(n_35050),
+	.B1(n_17505),
+	.B2(n_19333),
+	.Y(n_21685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908698 (
+	.A1(n_18127),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [16]),
+	.B1(n_20386),
+	.Y(n_21684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908699 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [23]),
+	.A2_N(n_18380),
+	.B1(n_17275),
+	.B2(n_18291),
+	.Y(n_21683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908700 (
+	.A1(n_18484),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [9]),
+	.B1(n_19780),
+	.Y(n_21682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908701 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [3]),
+	.A2_N(n_18866),
+	.B1(n_17458),
+	.B2(n_18925),
+	.Y(n_21681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908702 (
+	.A1(n_18215),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [29]),
+	.B1(n_18311),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [29]),
+	.Y(n_21680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908703 (
+	.A1(n_19237),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [16]),
+	.B1(n_19933),
+	.Y(n_21679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908704 (
+	.A1(n_18397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [29]),
+	.B1(n_18988),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [29]),
+	.Y(n_21678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908705 (
+	.A1(n_18604),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [29]),
+	.B1(n_18533),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [29]),
+	.Y(n_21677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908706 (
+	.A1(n_18674),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [29]),
+	.B1(n_18642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [29]),
+	.Y(n_21676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908707 (
+	.A1(n_19262),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [16]),
+	.B1(n_19932),
+	.Y(n_21675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908708 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [29]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [29]),
+	.X(n_21674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g908709 (
+	.A1(n_17600),
+	.A2(n_18817),
+	.B1(n_19930),
+	.Y(n_21673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908710 (
+	.A1(n_18484),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [16]),
+	.B1(n_19931),
+	.Y(n_21672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908711 (
+	.A1(n_18154),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [29]),
+	.B1(n_18271),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [29]),
+	.X(n_21671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908712 (
+	.A1(n_16880),
+	.A2(n_74962),
+	.B1(n_17531),
+	.B2(n_18264),
+	.Y(n_21670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908713 (
+	.A1(n_18250),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [16]),
+	.B1(n_20488),
+	.Y(n_21669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908714 (
+	.A1(n_19366),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [29]),
+	.B1(n_18866),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [29]),
+	.X(n_21668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908715 (
+	.A1(n_35038),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [16]),
+	.B1(n_20496),
+	.Y(n_21667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908716 (
+	.A1(n_19338),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [29]),
+	.B1(n_18565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [29]),
+	.X(n_21666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g908717 (
+	.A1(n_17463),
+	.A2(n_18312),
+	.B1(n_19927),
+	.Y(n_21665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908718 (
+	.A1(n_19452),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [16]),
+	.B1(n_19926),
+	.Y(n_21664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908719 (
+	.A1(n_18340),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [29]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [29]),
+	.X(n_21663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908720 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [17]),
+	.A2_N(n_18289),
+	.B1(n_17541),
+	.B2(n_19011),
+	.Y(n_21662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908721 (
+	.A1(n_18397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [30]),
+	.B1(n_18988),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [30]),
+	.X(n_21661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908722 (
+	.A1(n_17565),
+	.A2(n_18402),
+	.B1(n_17004),
+	.B2(n_18983),
+	.Y(n_21660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908723 (
+	.A1(n_19366),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [28]),
+	.B1(n_18818),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [28]),
+	.Y(n_21659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g908725 (
+	.A1(n_17376),
+	.A2(n_18754),
+	.B1(n_16665),
+	.B2(n_18607),
+	.X(n_21657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908726 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [30]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [30]),
+	.Y(n_21656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908727 (
+	.A1(n_18897),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [30]),
+	.B1(n_18531),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [30]),
+	.Y(n_21655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908728 (
+	.A1(n_18537),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [30]),
+	.B1(n_18376),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [30]),
+	.Y(n_21654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908729 (
+	.A1(n_16819),
+	.A2(n_18605),
+	.B1(n_16917),
+	.B2(n_18534),
+	.Y(n_21653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908730 (
+	.A1(n_18033),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [30]),
+	.B1(n_18315),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [30]),
+	.Y(n_21652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g908731 (
+	.A1(n_17372),
+	.A2(n_19156),
+	.B1(n_17345),
+	.B2(n_35033),
+	.X(n_21651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908732 (
+	.A1(n_18154),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [30]),
+	.B1(n_18271),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [30]),
+	.X(n_21650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908734 (
+	.A1(n_19366),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [30]),
+	.B1(n_18866),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [30]),
+	.X(n_21648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g908735 (
+	.A1(n_16835),
+	.A2(n_18641),
+	.B1(n_20148),
+	.Y(n_21647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908736 (
+	.A1(n_18034),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [16]),
+	.B1(n_74158),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [16]),
+	.Y(n_21646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908737 (
+	.A1(n_19106),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [16]),
+	.B1(n_20672),
+	.Y(n_21645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908738 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [17]),
+	.A2_N(n_19398),
+	.B1(n_17499),
+	.B2(n_18148),
+	.Y(n_21644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908739 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [25]),
+	.A2_N(n_18397),
+	.B1(n_17319),
+	.B2(n_18983),
+	.Y(n_21643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908740 (
+	.A1(n_17513),
+	.A2(n_18535),
+	.B1(n_16854),
+	.B2(n_18441),
+	.Y(n_21642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908741 (
+	.A1(n_18338),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [16]),
+	.B1(n_20764),
+	.Y(n_21641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908742 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [25]),
+	.A2_N(n_18674),
+	.B1(n_17347),
+	.B2(n_18643),
+	.Y(n_21640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908743 (
+	.A1(n_19154),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [16]),
+	.B1(n_19923),
+	.Y(n_21639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908744 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [25]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [25]),
+	.X(n_21638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908745 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [25]),
+	.A2_N(n_18033),
+	.B1(n_16802),
+	.B2(n_18313),
+	.Y(n_21637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908746 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [17]),
+	.A2_N(n_19366),
+	.B1(n_16887),
+	.B2(n_18817),
+	.Y(n_21636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908747 (
+	.A1(n_17383),
+	.A2(n_18148),
+	.B1(n_16697),
+	.B2(n_18264),
+	.Y(n_21635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908748 (
+	.A1(n_17551),
+	.A2(n_18898),
+	.B1(n_16881),
+	.B2(n_18532),
+	.Y(n_21634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908749 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [25]),
+	.A2_N(n_18866),
+	.B1(n_17328),
+	.B2(n_19365),
+	.Y(n_21633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908750 (
+	.A1(n_18340),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [25]),
+	.B1(n_18818),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [25]),
+	.X(n_21632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_2 g908751 (
+	.A1(n_17473),
+	.A2(n_85757),
+	.B1(n_17514),
+	.B2(n_18218),
+	.X(n_21631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g908752 (
+	.A1(n_17547),
+	.A2(n_18783),
+	.B1(n_20734),
+	.Y(n_21630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g908753 (
+	.A1(n_17546),
+	.A2(n_84640),
+	.B1(n_20788),
+	.Y(n_21629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908754 (
+	.A1(n_17552),
+	.A2(n_18194),
+	.B1(n_16896),
+	.B2(n_18337),
+	.Y(n_21628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908755 (
+	.A1(n_17424),
+	.A2(n_18501),
+	.B1(n_16847),
+	.B2(n_19156),
+	.Y(n_21627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g908756 (
+	.A1(n_19277),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [5]),
+	.B1(n_20766),
+	.Y(n_21626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908757 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [22]),
+	.A2_N(n_18924),
+	.B1(n_16724),
+	.B2(n_19075),
+	.Y(n_21625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908758 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [17]),
+	.A2_N(n_19185),
+	.B1(n_17549),
+	.B2(n_19075),
+	.Y(n_21624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908759 (
+	.A1(n_17441),
+	.A2(n_18607),
+	.B1(n_17003),
+	.B2(n_18639),
+	.Y(n_21623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908760 (
+	.A1(n_18215),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [1]),
+	.B1(n_18311),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [1]),
+	.Y(n_21622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908761 (
+	.A1(n_18397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [1]),
+	.B1(n_18988),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [1]),
+	.Y(n_21621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908762 (
+	.A1(n_16973),
+	.A2(n_18754),
+	.B1(n_17459),
+	.B2(n_74156),
+	.Y(n_21620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908763 (
+	.A1(n_18604),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [1]),
+	.B1(n_18533),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [1]),
+	.Y(n_21619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908764 (
+	.A1(n_18674),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [1]),
+	.B1(n_18642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [1]),
+	.Y(n_21618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g908765 (
+	.A1(n_16833),
+	.A2(n_18182),
+	.B1(n_16915),
+	.B2(n_18138),
+	.X(n_21617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908766 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [1]),
+	.A2_N(n_18787),
+	.B1(n_16661),
+	.B2(n_19044),
+	.Y(n_21616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908768 (
+	.A1(n_18897),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [1]),
+	.B1(n_18531),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [1]),
+	.X(n_21614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g908769 (
+	.A(n_19640),
+	.B(n_35054),
+	.Y(n_21613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908770 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [17]),
+	.A2_N(n_18866),
+	.B1(n_16831),
+	.B2(n_18925),
+	.Y(n_21612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g908771 (
+	.A(n_20362),
+	.B(n_19915),
+	.Y(n_21611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908772 (
+	.A1(n_19366),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [1]),
+	.B1(n_18866),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [1]),
+	.X(n_21610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g908773 (
+	.A(n_19914),
+	.B(n_35041),
+	.Y(n_21609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908774 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [1]),
+	.A2_N(n_18340),
+	.B1(n_16800),
+	.B2(n_18566),
+	.Y(n_21608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g908775 (
+	.A1(n_17550),
+	.A2(n_19187),
+	.B1(n_17576),
+	.B2(n_18246),
+	.X(n_21607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908776 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [1]),
+	.A2_N(n_19294),
+	.B1(n_16785),
+	.B2(n_85026),
+	.Y(n_21606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908777 (
+	.A1(n_19582),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [27]),
+	.B1(n_19579),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [27]),
+	.X(n_21605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g908778 (
+	.A(n_35042),
+	.B(n_20288),
+	.Y(n_21604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908779 (
+	.A1(n_18215),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [9]),
+	.B1(n_18311),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [9]),
+	.Y(n_21603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908780 (
+	.A1(n_18397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [9]),
+	.B1(n_18988),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [9]),
+	.Y(n_21602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908781 (
+	.A1(n_18604),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [9]),
+	.B1(n_18533),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [9]),
+	.Y(n_21601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908782 (
+	.A1(n_18674),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [9]),
+	.B1(n_18642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [9]),
+	.Y(n_21600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908783 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [9]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [9]),
+	.X(n_21599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908784 (
+	.A1(n_18897),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [31]),
+	.B1(n_18531),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [31]),
+	.X(n_21598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908785 (
+	.A1(n_18897),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [9]),
+	.B1(n_18531),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [9]),
+	.X(n_21597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908786 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [30]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [30]),
+	.X(n_21596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908787 (
+	.A1(n_19566),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [18]),
+	.B1(n_19590),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [18]),
+	.X(n_21595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908788 (
+	.A1(n_18154),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [9]),
+	.B1(n_18271),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [9]),
+	.X(n_21594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908790 (
+	.A1(n_18215),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [28]),
+	.B1(n_18311),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [28]),
+	.Y(n_21592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908792 (
+	.A1(n_19366),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [9]),
+	.B1(n_18866),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [9]),
+	.X(n_21590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908793 (
+	.A1(n_19010),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [24]),
+	.B1(n_18271),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [24]),
+	.Y(n_21589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908794 (
+	.A1(n_18340),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [9]),
+	.B1(n_18818),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [9]),
+	.X(n_21588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908795 (
+	.A1(n_19338),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [9]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [9]),
+	.X(n_21587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908796 (
+	.A1(n_19294),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [2]),
+	.B1(n_19906),
+	.Y(n_21586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908797 (
+	.A1(n_17333),
+	.A2(n_18182),
+	.B1(n_16645),
+	.B2(n_18138),
+	.Y(n_21585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908799 (
+	.A1(n_18215),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [0]),
+	.B1(n_18311),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [0]),
+	.Y(n_21583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908800 (
+	.A1(n_17392),
+	.A2(n_19428),
+	.B1(n_16718),
+	.B2(n_19250),
+	.Y(n_21582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908801 (
+	.A1(n_18397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [0]),
+	.B1(n_18988),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [0]),
+	.Y(n_21581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908802 (
+	.A1(n_18604),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [0]),
+	.B1(n_18533),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [0]),
+	.Y(n_21580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908803 (
+	.A1(n_18674),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [0]),
+	.B1(n_18642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [0]),
+	.Y(n_21579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908804 (
+	.A1(n_17520),
+	.A2(n_18291),
+	.B1(n_17497),
+	.B2(n_18566),
+	.Y(n_21578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908806 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [0]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [0]),
+	.X(n_21576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908808 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [0]),
+	.A2_N(n_18531),
+	.B1(n_16753),
+	.B2(n_18898),
+	.Y(n_21574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908809 (
+	.A1(n_18154),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [0]),
+	.B1(n_18271),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [0]),
+	.X(n_21573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908810 (
+	.A1(n_18289),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [24]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [24]),
+	.Y(n_21572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908811 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [15]),
+	.A2_N(n_18638),
+	.B1(n_16766),
+	.B2(n_84640),
+	.Y(n_21571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908812 (
+	.A1(n_19366),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [0]),
+	.B1(n_18866),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [0]),
+	.X(n_21570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908813 (
+	.A(n_19721),
+	.B(n_19902),
+	.Y(n_21569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908814 (
+	.A1(n_18154),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [2]),
+	.B1(n_19635),
+	.Y(n_21568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908815 (
+	.A1(n_17377),
+	.A2(n_18754),
+	.B1(n_16736),
+	.B2(n_18607),
+	.Y(n_21567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908816 (
+	.A1(n_18340),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [0]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [0]),
+	.X(n_21566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908817 (
+	.A1(n_16795),
+	.A2(n_19156),
+	.B1(n_17373),
+	.B2(n_35033),
+	.Y(n_21565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908818 (
+	.A1(n_18169),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [31]),
+	.B1(n_18127),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [31]),
+	.X(n_21564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908820 (
+	.A1(n_18215),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [8]),
+	.B1(n_18311),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [8]),
+	.Y(n_21562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908821 (
+	.A1(n_18397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [8]),
+	.B1(n_18988),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [8]),
+	.Y(n_21561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908822 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [22]),
+	.A2_N(n_18531),
+	.B1(n_17243),
+	.B2(n_18898),
+	.Y(n_21560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908823 (
+	.A1(n_18604),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [8]),
+	.B1(n_18533),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [8]),
+	.Y(n_21559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908824 (
+	.A1(n_18674),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [8]),
+	.B1(n_18642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [8]),
+	.Y(n_21558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g908825 (
+	.A1_N(n_17525),
+	.A2_N(n_18817),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [2]),
+	.B2(n_19185),
+	.Y(n_21557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908826 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [8]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [8]),
+	.X(n_21556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g908827 (
+	.A1(n_17332),
+	.A2(n_19210),
+	.B1(n_17337),
+	.B2(n_19288),
+	.X(n_21555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g908828 (
+	.A1_N(n_16940),
+	.A2_N(n_18925),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [2]),
+	.B2(n_18866),
+	.Y(n_21554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908830 (
+	.A1(n_18154),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [8]),
+	.B1(n_18271),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [8]),
+	.X(n_21552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908832 (
+	.A1(n_19366),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [8]),
+	.B1(n_18866),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [8]),
+	.X(n_21550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908833 (
+	.A(n_19896),
+	.B(n_19898),
+	.Y(n_21549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g908834 (
+	.A1_N(n_17465),
+	.A2_N(n_19104),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [2]),
+	.B2(n_19366),
+	.Y(n_21548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908835 (
+	.A1(n_19338),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [8]),
+	.B1(n_18565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [8]),
+	.X(n_21547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g908836 (
+	.A1(n_16792),
+	.A2(n_19153),
+	.B1(n_17348),
+	.B2(n_19451),
+	.X(n_21546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908837 (
+	.A1(n_18340),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [8]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [8]),
+	.X(n_21545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908838 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [31]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [31]),
+	.X(n_21544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g908839 (
+	.A1(n_16760),
+	.A2(n_18218),
+	.B1(n_17318),
+	.B2(n_74156),
+	.X(n_21543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908840 (
+	.A1(n_18215),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [10]),
+	.B1(n_18311),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [10]),
+	.Y(n_21542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908841 (
+	.A1(n_18397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [10]),
+	.B1(n_18988),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [10]),
+	.Y(n_21541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908842 (
+	.A1(n_18397),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [28]),
+	.B1(n_18988),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [28]),
+	.Y(n_21540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908844 (
+	.A1(n_18311),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [2]),
+	.B1(n_19893),
+	.Y(n_21538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908845 (
+	.A1(n_18674),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [10]),
+	.B1(n_18642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [10]),
+	.Y(n_21537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908846 (
+	.A(n_19796),
+	.B(n_19892),
+	.Y(n_21536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908847 (
+	.A1(n_18397),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [2]),
+	.B1(n_18988),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [2]),
+	.Y(n_21535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908848 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [10]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [10]),
+	.X(n_21534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908849 (
+	.A1(n_18338),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [15]),
+	.B1(n_20221),
+	.Y(n_21533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908850 (
+	.A1(n_18897),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [10]),
+	.B1(n_18531),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [10]),
+	.X(n_21532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908852 (
+	.A1(n_18154),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [10]),
+	.B1(n_18271),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [10]),
+	.X(n_21530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908853 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [24]),
+	.A2_N(n_19398),
+	.B1(n_16814),
+	.B2(n_18148),
+	.Y(n_21529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908854 (
+	.A1(n_18924),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [10]),
+	.B1(n_19073),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [10]),
+	.X(n_21528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908855 (
+	.A1(n_19010),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [10]),
+	.B1(n_18818),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [10]),
+	.X(n_21527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g908856 (
+	.A1(n_17449),
+	.A2(n_18605),
+	.B1(n_16907),
+	.B2(n_18534),
+	.X(n_21526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908857 (
+	.A1(n_16936),
+	.A2(n_35031),
+	.B1(n_16992),
+	.B2(n_35033),
+	.Y(n_21525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908859 (
+	.A1(n_18340),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [10]),
+	.B1(n_18289),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [10]),
+	.X(n_21523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908860 (
+	.A(n_20229),
+	.B(n_19818),
+	.Y(n_21522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908861 (
+	.A1(n_18604),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [13]),
+	.B1(n_20532),
+	.Y(n_21521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908863 (
+	.A1(n_18215),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [18]),
+	.B1(n_18311),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [18]),
+	.Y(n_21519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908864 (
+	.A1(n_18397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [18]),
+	.B1(n_18988),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [18]),
+	.Y(n_21518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908865 (
+	.A1(n_18674),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [2]),
+	.B1(n_18640),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [2]),
+	.Y(n_21517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908866 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [15]),
+	.A2_N(n_18638),
+	.B1(n_17538),
+	.B2(n_84640),
+	.Y(n_21516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908867 (
+	.A1(n_18674),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [18]),
+	.B1(n_18642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [18]),
+	.Y(n_21515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908869 (
+	.A1(n_16765),
+	.A2(n_18790),
+	.B1(n_17336),
+	.B2(n_19044),
+	.Y(n_21513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908871 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [15]),
+	.A2_N(n_18606),
+	.B1(n_17421),
+	.B2(n_18501),
+	.Y(n_21511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908872 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [18]),
+	.A2_N(n_18531),
+	.B1(n_16764),
+	.B2(n_18898),
+	.Y(n_21510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908873 (
+	.A1(n_18376),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [2]),
+	.B1(n_19861),
+	.Y(n_21509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908874 (
+	.A1(n_16786),
+	.A2(n_18148),
+	.B1(n_17325),
+	.B2(n_18264),
+	.Y(n_21508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908876 (
+	.A1(n_16670),
+	.A2(n_18925),
+	.B1(n_16788),
+	.B2(n_19075),
+	.Y(n_21506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g908877 (
+	.A1(n_16947),
+	.A2(n_18900),
+	.B1(n_17512),
+	.B2(n_18182),
+	.X(n_21505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g908878 (
+	.A1_N(n_16979),
+	.A2_N(n_18851),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [15]),
+	.B2(n_19237),
+	.Y(n_21504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908879 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [18]),
+	.A2_N(n_18340),
+	.B1(n_16656),
+	.B2(n_18817),
+	.Y(n_21503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908880 (
+	.A1(n_19069),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [2]),
+	.B1(n_19882),
+	.Y(n_21502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g908881 (
+	.A1(n_17594),
+	.A2(n_18675),
+	.B1(n_17439),
+	.B2(n_18138),
+	.X(n_21501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908882 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [18]),
+	.A2_N(n_18289),
+	.B1(n_17339),
+	.B2(n_35050),
+	.Y(n_21500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908883 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [17]),
+	.A2_N(n_18335),
+	.B1(n_17462),
+	.B2(n_18194),
+	.Y(n_21499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g908884 (
+	.A1(n_16903),
+	.A2(n_19428),
+	.B1(n_16902),
+	.B2(n_19250),
+	.X(n_21498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908885 (
+	.A1(n_18531),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [2]),
+	.B1(n_19879),
+	.Y(n_21497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g908886 (
+	.A1(n_16911),
+	.A2(n_18471),
+	.B1(n_17589),
+	.B2(n_18426),
+	.X(n_21496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908887 (
+	.A1(n_18397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [22]),
+	.B1(n_18988),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [22]),
+	.Y(n_21495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908888 (
+	.A1(n_18604),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [22]),
+	.B1(n_18533),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [22]),
+	.Y(n_21494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908889 (
+	.A1(n_18674),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [22]),
+	.B1(n_18642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [22]),
+	.Y(n_21493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908890 (
+	.A1(n_18033),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [2]),
+	.B1(n_18335),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [2]),
+	.Y(n_21492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g908891 (
+	.A1(n_16905),
+	.A2(n_19187),
+	.B1(n_16852),
+	.B2(n_19288),
+	.X(n_21491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908892 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [22]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [22]),
+	.X(n_21490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908893 (
+	.A1(n_35038),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [15]),
+	.B1(n_19899),
+	.Y(n_21489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908894 (
+	.A1(n_19452),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [15]),
+	.B1(n_19894),
+	.Y(n_21488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908895 (
+	.A1(n_18154),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [22]),
+	.B1(n_18271),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [22]),
+	.X(n_21487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908896 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [24]),
+	.A2_N(n_19185),
+	.B1(n_17567),
+	.B2(n_19075),
+	.Y(n_21486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908898 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [22]),
+	.A2_N(n_18340),
+	.B1(n_16707),
+	.B2(n_18566),
+	.Y(n_21484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908899 (
+	.A1(n_18380),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [22]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [22]),
+	.X(n_21483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908900 (
+	.A1(n_17428),
+	.A2(n_18673),
+	.B1(n_16889),
+	.B2(n_18643),
+	.Y(n_21482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908902 (
+	.A1(n_18215),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [22]),
+	.B1(n_18311),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [22]),
+	.Y(n_21480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908903 (
+	.A1(n_18397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [21]),
+	.B1(n_18988),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [21]),
+	.Y(n_21479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908904 (
+	.A1(n_18604),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [21]),
+	.B1(n_18533),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [21]),
+	.Y(n_21478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908905 (
+	.A1(n_18674),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [21]),
+	.B1(n_18642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [21]),
+	.Y(n_21477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908906 (
+	.A1(n_18127),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [14]),
+	.B1(n_19876),
+	.Y(n_21476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908907 (
+	.A1(n_16692),
+	.A2(n_18898),
+	.B1(n_17255),
+	.B2(n_18532),
+	.Y(n_21475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908908 (
+	.A1(n_18604),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [28]),
+	.B1(n_19875),
+	.Y(n_21474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908909 (
+	.A1(n_18855),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [14]),
+	.B1(n_20088),
+	.Y(n_21473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908910 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [24]),
+	.A2_N(n_18866),
+	.B1(n_17443),
+	.B2(n_18925),
+	.Y(n_21472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908911 (
+	.A1(n_18154),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [21]),
+	.B1(n_18271),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [21]),
+	.X(n_21471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908912 (
+	.A1(n_18215),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [31]),
+	.B1(n_18311),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [31]),
+	.Y(n_21470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908913 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [21]),
+	.A2_N(n_18924),
+	.B1(n_16669),
+	.B2(n_19075),
+	.Y(n_21469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908915 (
+	.A1(n_19010),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [21]),
+	.B1(n_18818),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [21]),
+	.X(n_21467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908916 (
+	.A1(n_19436),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [14]),
+	.B1(n_20070),
+	.Y(n_21466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908917 (
+	.A1(n_18340),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [21]),
+	.B1(n_18289),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [21]),
+	.X(n_21465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g908918 (
+	.A1(n_17352),
+	.A2(n_19156),
+	.B1(n_17342),
+	.B2(n_35033),
+	.X(n_21464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908920 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [24]),
+	.A2_N(n_19366),
+	.B1(n_16914),
+	.B2(n_18817),
+	.Y(n_21462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908921 (
+	.A1(n_18215),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [11]),
+	.B1(n_18311),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [11]),
+	.Y(n_21461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908922 (
+	.A1(n_18397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [11]),
+	.B1(n_18988),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [11]),
+	.Y(n_21460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908923 (
+	.A1(n_18604),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [11]),
+	.B1(n_18533),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [11]),
+	.Y(n_21459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908924 (
+	.A1(n_18674),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [11]),
+	.B1(n_18642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [11]),
+	.Y(n_21458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908926 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [11]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [11]),
+	.X(n_21456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908927 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [11]),
+	.A2_N(n_18531),
+	.B1(n_16746),
+	.B2(n_18898),
+	.Y(n_21455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908929 (
+	.A1(n_18154),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [11]),
+	.B1(n_18271),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [11]),
+	.X(n_21453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908931 (
+	.A1(n_18924),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [11]),
+	.B1(n_19073),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [11]),
+	.X(n_21451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908932 (
+	.A1(n_16710),
+	.A2(n_18471),
+	.B1(n_17269),
+	.B2(n_18426),
+	.Y(n_21450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908934 (
+	.A1(n_19010),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [11]),
+	.B1(n_18818),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [11]),
+	.X(n_21448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908935 (
+	.A1(n_17257),
+	.A2(n_19187),
+	.B1(n_16748),
+	.B2(n_19288),
+	.Y(n_21447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908936 (
+	.A1(n_18340),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [11]),
+	.B1(n_18289),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [11]),
+	.X(n_21446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908937 (
+	.A1(n_16693),
+	.A2(n_19210),
+	.B1(n_16726),
+	.B2(n_18246),
+	.Y(n_21445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908938 (
+	.A1(n_18215),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [19]),
+	.B1(n_18311),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [19]),
+	.Y(n_21444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908939 (
+	.A1(n_18397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [19]),
+	.B1(n_18988),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [19]),
+	.Y(n_21443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908940 (
+	.A1(n_17356),
+	.A2(n_19153),
+	.B1(n_16733),
+	.B2(n_19451),
+	.Y(n_21442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908941 (
+	.A1(n_18674),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [19]),
+	.B1(n_18642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [19]),
+	.Y(n_21441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908942 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [1]),
+	.A2_N(n_19398),
+	.B1(n_16942),
+	.B2(n_18148),
+	.Y(n_21440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908943 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [14]),
+	.A2_N(n_18034),
+	.B1(n_16672),
+	.B2(n_19107),
+	.Y(n_21439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908944 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [19]),
+	.A2_N(n_19043),
+	.B1(n_17288),
+	.B2(n_18790),
+	.Y(n_21438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908945 (
+	.A1(n_16681),
+	.A2(n_18898),
+	.B1(n_16704),
+	.B2(n_18532),
+	.Y(n_21437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908946 (
+	.A1(n_17378),
+	.A2(n_18148),
+	.B1(n_16747),
+	.B2(n_18264),
+	.Y(n_21436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908947 (
+	.A1(n_17364),
+	.A2(n_35031),
+	.B1(n_17329),
+	.B2(n_74156),
+	.Y(n_21435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908948 (
+	.A1(n_18924),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [19]),
+	.B1(n_19073),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [19]),
+	.X(n_21434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908950 (
+	.A1(n_16674),
+	.A2(n_19501),
+	.B1(n_16666),
+	.B2(n_19155),
+	.Y(n_21432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908951 (
+	.A1(n_16719),
+	.A2(n_19011),
+	.B1(n_16725),
+	.B2(n_18817),
+	.Y(n_21431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908952 (
+	.A1(n_17343),
+	.A2(n_19556),
+	.B1(n_16791),
+	.B2(n_85757),
+	.Y(n_21430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908953 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [1]),
+	.A2_N(n_18866),
+	.B1(n_17564),
+	.B2(n_18925),
+	.Y(n_21429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908954 (
+	.A1(n_16732),
+	.A2(n_18341),
+	.B1(n_17258),
+	.B2(n_18291),
+	.Y(n_21428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908955 (
+	.A1(n_18215),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [24]),
+	.B1(n_18311),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [24]),
+	.Y(n_21427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908956 (
+	.A1(n_19589),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [28]),
+	.B1(n_19573),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [28]),
+	.X(n_21426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g908957 (
+	.A1(n_18271),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [16]),
+	.B1(n_20691),
+	.Y(n_21425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908958 (
+	.A1(n_18215),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [17]),
+	.B1(n_18311),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [17]),
+	.Y(n_21424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908959 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [1]),
+	.A2_N(n_19366),
+	.B1(n_16920),
+	.B2(n_18817),
+	.Y(n_21423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908960 (
+	.A1(n_18397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [17]),
+	.B1(n_18988),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [17]),
+	.Y(n_21422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908961 (
+	.A1(n_18604),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [17]),
+	.B1(n_18533),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [17]),
+	.Y(n_21421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908962 (
+	.A1(n_18674),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [17]),
+	.B1(n_18642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [17]),
+	.Y(n_21420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908964 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [17]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [17]),
+	.X(n_21418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908965 (
+	.A1(n_16952),
+	.A2(n_85757),
+	.B1(n_17502),
+	.B2(n_18218),
+	.Y(n_21417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908966 (
+	.A1(n_18897),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [17]),
+	.B1(n_18531),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [17]),
+	.X(n_21416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908967 (
+	.A1(n_18289),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [16]),
+	.B1(n_19010),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [16]),
+	.Y(n_21415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908970 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [17]),
+	.A2_N(n_18924),
+	.B1(n_17292),
+	.B2(n_19075),
+	.Y(n_21412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g908972 (
+	.A(n_20286),
+	.B(n_20285),
+	.Y(n_21410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908973 (
+	.A1(n_18340),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [17]),
+	.B1(n_18565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [17]),
+	.X(n_21409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908975 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [14]),
+	.A2_N(FE_DBTN102_n_18754),
+	.B1(n_17433),
+	.B2(n_19012),
+	.Y(n_21407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908976 (
+	.A1(n_18289),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [17]),
+	.B1(n_18380),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [17]),
+	.X(n_21406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908977 (
+	.A1(n_16857),
+	.A2(n_18675),
+	.B1(n_17480),
+	.B2(n_18138),
+	.Y(n_21405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908978 (
+	.A1(n_16983),
+	.A2(n_18900),
+	.B1(n_17574),
+	.B2(n_18182),
+	.Y(n_21404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908979 (
+	.A1(n_18215),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [20]),
+	.B1(n_18311),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [20]),
+	.Y(n_21403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908980 (
+	.A1(n_18397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [20]),
+	.B1(n_18988),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [20]),
+	.Y(n_21402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908981 (
+	.A1(n_17590),
+	.A2(n_18605),
+	.B1(n_16898),
+	.B2(n_18534),
+	.Y(n_21401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908982 (
+	.A1(n_18604),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [20]),
+	.B1(n_18533),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [20]),
+	.Y(n_21400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908983 (
+	.A1(n_16874),
+	.A2(n_19227),
+	.B1(n_16868),
+	.B2(n_18851),
+	.Y(n_21399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908984 (
+	.A1(n_18397),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [24]),
+	.B1(n_18988),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [24]),
+	.Y(n_21398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908985 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [20]),
+	.A2_N(n_18787),
+	.B1(n_16730),
+	.B2(n_19044),
+	.Y(n_21397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908986 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [16]),
+	.A2_N(n_19398),
+	.B1(n_16847),
+	.B2(n_18148),
+	.Y(n_21396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g908987 (
+	.A1(n_17471),
+	.A2(n_19428),
+	.B1(n_16876),
+	.B2(n_19250),
+	.Y(n_21395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908989 (
+	.A1(n_18154),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [20]),
+	.B1(n_18271),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [20]),
+	.X(n_21393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g908994 (
+	.A1(n_18340),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [20]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [20]),
+	.X(n_21388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g908995 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [16]),
+	.A2_N(n_19366),
+	.B1(n_17441),
+	.B2(n_18817),
+	.Y(n_21387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g908996 (
+	.A1(n_17401),
+	.A2(n_18441),
+	.B1(n_20422),
+	.Y(n_21386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908997 (
+	.A1(n_18215),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [12]),
+	.B1(n_18311),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [12]),
+	.Y(n_21385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908998 (
+	.A1(n_18397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [12]),
+	.B1(n_18988),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [12]),
+	.Y(n_21384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g908999 (
+	.A1(n_18604),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [12]),
+	.B1(n_18533),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [12]),
+	.Y(n_21383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909000 (
+	.A1(n_18674),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [12]),
+	.B1(n_18642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [12]),
+	.Y(n_21382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g909001 (
+	.A1(n_17403),
+	.A2(n_19070),
+	.B1(n_20296),
+	.Y(n_21381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909002 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [12]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [12]),
+	.X(n_21380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909003 (
+	.A1(n_18897),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [12]),
+	.B1(n_18531),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [12]),
+	.X(n_21379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909004 (
+	.A1(n_18154),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [12]),
+	.B1(n_18271),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [12]),
+	.X(n_21378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909005 (
+	.A1(n_17472),
+	.A2(n_18898),
+	.B1(n_17608),
+	.B2(n_18532),
+	.Y(n_21377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909006 (
+	.A1(n_16774),
+	.A2(n_18182),
+	.B1(n_16771),
+	.B2(n_18138),
+	.Y(n_21376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909008 (
+	.A1(n_19010),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [12]),
+	.B1(n_18818),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [12]),
+	.X(n_21374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909009 (
+	.A1(n_17253),
+	.A2(n_19227),
+	.B1(n_16803),
+	.B2(n_18675),
+	.Y(n_21373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909010 (
+	.A1(n_18340),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [12]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [12]),
+	.X(n_21372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909011 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [16]),
+	.A2_N(n_19185),
+	.B1(n_17546),
+	.B2(n_19075),
+	.Y(n_21371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909013 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [13]),
+	.A2_N(n_19262),
+	.B1(n_16744),
+	.B2(n_19428),
+	.Y(n_21369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909014 (
+	.A1(n_18215),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [16]),
+	.B1(n_18311),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [16]),
+	.Y(n_21368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909015 (
+	.A1(n_18397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [16]),
+	.B1(n_18988),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [16]),
+	.Y(n_21367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909016 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [16]),
+	.A2_N(n_18866),
+	.B1(n_17424),
+	.B2(n_18925),
+	.Y(n_21366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909017 (
+	.A1(n_18604),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [16]),
+	.B1(n_18533),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [16]),
+	.Y(n_21365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909018 (
+	.A1(n_18674),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [16]),
+	.B1(n_18642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [16]),
+	.Y(n_21364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g909019 (
+	.A1(n_18604),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [24]),
+	.B1(n_19847),
+	.Y(n_21363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909020 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [13]),
+	.A2_N(n_18638),
+	.B1(n_16644),
+	.B2(n_84640),
+	.Y(n_21362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909021 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [16]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [16]),
+	.X(n_21361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909023 (
+	.A1(n_18897),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [16]),
+	.B1(n_18531),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [16]),
+	.X(n_21359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909024 (
+	.A1(n_18154),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [16]),
+	.B1(n_18271),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [16]),
+	.X(n_21358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909025 (
+	.A(n_19845),
+	.B(n_20307),
+	.Y(n_21357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909026 (
+	.A1(n_18924),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [16]),
+	.B1(n_19073),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [16]),
+	.X(n_21356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g909028 (
+	.A1(n_18537),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [28]),
+	.B1(n_19846),
+	.Y(n_21354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909029 (
+	.A1(n_18289),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [16]),
+	.B1(n_18380),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [16]),
+	.X(n_21353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909030 (
+	.A1(n_18355),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [29]),
+	.B1(n_18352),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [29]),
+	.X(n_21352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909032 (
+	.A1(n_17380),
+	.A2(n_18675),
+	.B1(n_17358),
+	.B2(n_18182),
+	.Y(n_21350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909033 (
+	.A1(n_16755),
+	.A2(n_19210),
+	.B1(n_16783),
+	.B2(n_19288),
+	.Y(n_21349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909034 (
+	.A1(n_18215),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [13]),
+	.B1(n_18311),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [13]),
+	.Y(n_21348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909035 (
+	.A1(n_18397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [13]),
+	.B1(n_18988),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [13]),
+	.Y(n_21347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909036 (
+	.A(n_19835),
+	.B(n_19839),
+	.Y(n_21346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909037 (
+	.A1(n_18674),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [13]),
+	.B1(n_18642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [13]),
+	.Y(n_21345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909038 (
+	.A1(n_16797),
+	.A2(n_18471),
+	.B1(n_16720),
+	.B2(n_18426),
+	.Y(n_21344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909039 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [13]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [13]),
+	.X(n_21343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g909040 (
+	.A1(n_19294),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [0]),
+	.B1(n_19836),
+	.Y(n_21342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909041 (
+	.A1(n_18897),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [13]),
+	.B1(n_18531),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [13]),
+	.X(n_21341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909043 (
+	.A1(n_18154),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [13]),
+	.B1(n_18271),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [13]),
+	.X(n_21339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909045 (
+	.A1(n_18924),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [13]),
+	.B1(n_19073),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [13]),
+	.X(n_21337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909046 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [13]),
+	.A2_N(n_18034),
+	.B1(n_17250),
+	.B2(n_74156),
+	.Y(n_21336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909047 (
+	.A1(n_18340),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [13]),
+	.B1(n_18818),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [13]),
+	.X(n_21335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g909049 (
+	.A(n_20318),
+	.B(n_19878),
+	.Y(n_21333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909050 (
+	.A1(n_18289),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [13]),
+	.B1(n_18380),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [13]),
+	.X(n_21332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909051 (
+	.A1(n_17399),
+	.A2(n_85757),
+	.B1(n_17369),
+	.B2(n_35031),
+	.Y(n_21331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909052 (
+	.A1(n_18289),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [0]),
+	.B1(n_18335),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [0]),
+	.Y(n_21330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909053 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [13]),
+	.A2_N(n_19549),
+	.B1(n_16754),
+	.B2(n_19155),
+	.Y(n_21329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909054 (
+	.A1(n_18397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [15]),
+	.B1(n_18988),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [15]),
+	.X(n_21328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909055 (
+	.A1(n_18604),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [15]),
+	.B1(n_18533),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [15]),
+	.X(n_21327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909056 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [15]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [15]),
+	.X(n_21326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909057 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [28]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [28]),
+	.Y(n_21325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g909058 (
+	.A1(n_18988),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [0]),
+	.B1(n_19830),
+	.Y(n_21324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909059 (
+	.A1(n_18154),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [15]),
+	.B1(n_18271),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [15]),
+	.Y(n_21323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909060 (
+	.A1(n_19185),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [15]),
+	.B1(n_19398),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [15]),
+	.Y(n_21322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909061 (
+	.A(n_20177),
+	.B(n_20003),
+	.Y(n_21321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909062 (
+	.A1(n_18924),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [15]),
+	.B1(n_19073),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [15]),
+	.Y(n_21320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909064 (
+	.A1(n_19366),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [15]),
+	.B1(n_18866),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [15]),
+	.Y(n_21318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909065 (
+	.A1(n_17413),
+	.A2(n_85757),
+	.B1(n_17447),
+	.B2(n_18218),
+	.Y(n_21317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g909066 (
+	.A(n_19828),
+	.B(n_20211),
+	.Y(n_21316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909067 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [13]),
+	.A2_N(n_18638),
+	.B1(n_17479),
+	.B2(n_84640),
+	.Y(n_21315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909068 (
+	.A1(n_18340),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [15]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [15]),
+	.X(n_21314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909069 (
+	.A1(n_18604),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [18]),
+	.B1(n_18533),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [18]),
+	.Y(n_21313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909070 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [13]),
+	.A2_N(n_19157),
+	.B1(n_17400),
+	.B2(n_19012),
+	.Y(n_21312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g909071 (
+	.A1(n_18537),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [24]),
+	.B1(n_19829),
+	.Y(n_21311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909073 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [13]),
+	.A2_N(n_18606),
+	.B1(n_17495),
+	.B2(n_18501),
+	.Y(n_21309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909074 (
+	.A(n_20643),
+	.B(n_20514),
+	.Y(n_21308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909075 (
+	.A1(n_18604),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [14]),
+	.B1(n_18533),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [14]),
+	.X(n_21307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909076 (
+	.A(n_20524),
+	.B(n_19826),
+	.Y(n_21306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909077 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [14]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [14]),
+	.X(n_21305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909078 (
+	.A1(n_16913),
+	.A2(n_18675),
+	.B1(n_17515),
+	.B2(n_18138),
+	.Y(n_21304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909079 (
+	.A1(n_16865),
+	.A2(n_18900),
+	.B1(n_16871),
+	.B2(n_18182),
+	.Y(n_21303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909080 (
+	.A1(n_18154),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [14]),
+	.B1(n_18271),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [14]),
+	.Y(n_21302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909082 (
+	.A1(n_19185),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [14]),
+	.B1(n_19398),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [14]),
+	.Y(n_21300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g909083 (
+	.A1(n_18674),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [0]),
+	.B1(n_19824),
+	.Y(n_21299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909084 (
+	.A1(n_18924),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [14]),
+	.B1(n_19073),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [14]),
+	.Y(n_21298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909085 (
+	.A1(n_19366),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [14]),
+	.B1(n_18866),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [14]),
+	.Y(n_21297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909087 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [24]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [24]),
+	.Y(n_21295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909088 (
+	.A1(n_18340),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [14]),
+	.B1(n_18818),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [14]),
+	.X(n_21294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909089 (
+	.A1(n_18289),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [14]),
+	.B1(n_18380),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [14]),
+	.X(n_21293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909090 (
+	.A(n_19676),
+	.B(n_19720),
+	.Y(n_21292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g909091 (
+	.A1(n_18376),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [0]),
+	.B1(n_19668),
+	.Y(n_21291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909093 (
+	.A1(n_17476),
+	.A2(n_18471),
+	.B1(n_17559),
+	.B2(n_18426),
+	.Y(n_21289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909094 (
+	.A1(n_19592),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [10]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [10]),
+	.X(n_21288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909095 (
+	.A1(n_19566),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [8]),
+	.B1(n_19590),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [8]),
+	.X(n_21287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g909097 (
+	.A1(n_18785),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [0]),
+	.B1(n_19820),
+	.Y(n_21285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g909099 (
+	.A1(n_18924),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [0]),
+	.B1(n_20689),
+	.Y(n_21283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909100 (
+	.A1(n_19592),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [9]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [9]),
+	.X(n_21282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909101 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [14]),
+	.A2_N(n_19398),
+	.B1(n_16962),
+	.B2(n_18148),
+	.Y(n_21281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909102 (
+	.A1(n_18348),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [8]),
+	.B1(n_18344),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [8]),
+	.X(n_21280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g909103 (
+	.A1_N(n_16923),
+	.A2_N(n_18871),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [0]),
+	.B2(n_19332),
+	.Y(n_21279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g909106 (
+	.A1(n_18897),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [24]),
+	.B1(n_19811),
+	.Y(n_21276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g909107 (
+	.A1(n_18897),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [28]),
+	.B1(n_19806),
+	.Y(n_21275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909109 (
+	.A1(n_16664),
+	.A2(n_19227),
+	.B1(n_16741),
+	.B2(n_18675),
+	.Y(n_21273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g909110 (
+	.A1(n_18154),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [0]),
+	.B1(n_19701),
+	.Y(n_21272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909111 (
+	.A1(n_16810),
+	.A2(n_18900),
+	.B1(n_17394),
+	.B2(n_18851),
+	.Y(n_21271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g909112 (
+	.A1_N(n_16820),
+	.A2_N(n_18817),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [0]),
+	.B2(n_19366),
+	.Y(n_21270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909114 (
+	.A1(n_17284),
+	.A2(n_18354),
+	.B1(n_17245),
+	.B2(n_18351),
+	.Y(n_21268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909116 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [12]),
+	.A2_N(n_18638),
+	.B1(n_16729),
+	.B2(n_84640),
+	.Y(n_21266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909117 (
+	.A1(n_19594),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [25]),
+	.B1(n_19580),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [25]),
+	.X(n_21265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909119 (
+	.A1(n_19582),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [25]),
+	.B1(n_19579),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [25]),
+	.X(n_21263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909120 (
+	.A1(n_18033),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [0]),
+	.B1(n_18565),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [0]),
+	.Y(n_21262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909122 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [16]),
+	.A2_N(n_18033),
+	.B1(n_17550),
+	.B2(n_18313),
+	.Y(n_21260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909124 (
+	.A1(n_17295),
+	.A2(n_19570),
+	.B1(n_17260),
+	.B2(n_19585),
+	.Y(n_21258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909126 (
+	.A1(n_19587),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [25]),
+	.B1(n_19596),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [25]),
+	.X(n_21256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909128 (
+	.A1(n_19592),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [25]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [25]),
+	.X(n_21254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909129 (
+	.A1(n_18033),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [24]),
+	.B1(n_18315),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [24]),
+	.Y(n_21253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909130 (
+	.A1(n_17270),
+	.A2(n_19210),
+	.B1(n_16761),
+	.B2(n_19288),
+	.Y(n_21252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909132 (
+	.A1(n_18348),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [25]),
+	.B1(n_18344),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [25]),
+	.X(n_21250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909133 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [12]),
+	.A2_N(n_18034),
+	.B1(n_17362),
+	.B2(n_74156),
+	.Y(n_21249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909134 (
+	.A1(n_19592),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [6]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [6]),
+	.X(n_21248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909135 (
+	.A(n_20534),
+	.B(n_19706),
+	.Y(n_21247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909137 (
+	.A1(n_18355),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [23]),
+	.B1(n_18352),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [23]),
+	.X(n_21245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909138 (
+	.A1(n_19594),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [23]),
+	.B1(n_19580),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [23]),
+	.X(n_21244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909139 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [12]),
+	.A2_N(n_18338),
+	.B1(n_17242),
+	.B2(n_19155),
+	.Y(n_21243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909140 (
+	.A1(n_16769),
+	.A2(n_19556),
+	.B1(n_16757),
+	.B2(n_85757),
+	.Y(n_21242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909141 (
+	.A1(n_19582),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [23]),
+	.B1(n_19579),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [23]),
+	.X(n_21241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909142 (
+	.A1(n_19571),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [23]),
+	.B1(n_19586),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [23]),
+	.X(n_21240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909143 (
+	.A1(n_17259),
+	.A2(n_19588),
+	.B1(n_16694),
+	.B2(n_19572),
+	.Y(n_21239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909144 (
+	.A1(n_18033),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [28]),
+	.B1(n_18315),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [28]),
+	.Y(n_21238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909145 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [12]),
+	.A2_N(n_18338),
+	.B1(n_16912),
+	.B2(n_35033),
+	.Y(n_21237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909146 (
+	.A1(n_17296),
+	.A2(n_19576),
+	.B1(n_16799),
+	.B2(n_19574),
+	.Y(n_21236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909148 (
+	.A1(n_18348),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [23]),
+	.B1(n_18344),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [23]),
+	.X(n_21234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909149 (
+	.A(n_19802),
+	.B(n_19895),
+	.Y(n_21233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909150 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [12]),
+	.A2_N(n_18638),
+	.B1(n_17553),
+	.B2(n_84640),
+	.Y(n_21232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909151 (
+	.A1(n_18355),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [26]),
+	.B1(n_18352),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [26]),
+	.X(n_21231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g909152 (
+	.A1(n_16884),
+	.A2(n_19156),
+	.B1(n_20372),
+	.Y(n_21230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909153 (
+	.A1(n_19594),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [26]),
+	.B1(n_19580),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [26]),
+	.X(n_21229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909154 (
+	.A1(n_19592),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [23]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [23]),
+	.X(n_21228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909155 (
+	.A1(n_19582),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [26]),
+	.B1(n_19579),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [26]),
+	.X(n_21227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909156 (
+	.A(n_20040),
+	.B(n_19999),
+	.Y(n_21226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909157 (
+	.A1(n_19571),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [26]),
+	.B1(n_19586),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [26]),
+	.X(n_21225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g909158 (
+	.A1(n_18127),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [12]),
+	.B1(n_20330),
+	.Y(n_21224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909159 (
+	.A1(n_19592),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [26]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [26]),
+	.X(n_21223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909160 (
+	.A1(n_17370),
+	.A2(n_19187),
+	.B1(n_17280),
+	.B2(n_18246),
+	.Y(n_21222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909161 (
+	.A1(n_19577),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [26]),
+	.B1(n_19575),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [26]),
+	.X(n_21221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909162 (
+	.A1(n_18348),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [26]),
+	.B1(n_18344),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [26]),
+	.X(n_21220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g909163 (
+	.A1(n_19262),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [12]),
+	.B1(n_20598),
+	.Y(n_21219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g909164 (
+	.A1(n_18375),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [12]),
+	.B1(n_19799),
+	.Y(n_21218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g909166 (
+	.A1_N(n_16927),
+	.A2_N(n_19288),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [12]),
+	.B2(n_35038),
+	.Y(n_21216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909167 (
+	.A1(n_18355),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [28]),
+	.B1(n_18352),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [28]),
+	.X(n_21215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909168 (
+	.A1(n_18604),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [13]),
+	.B1(n_18533),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [13]),
+	.Y(n_21214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g909169 (
+	.A1(n_18250),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [12]),
+	.B1(n_19679),
+	.Y(n_21213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g909170 (
+	.A1(n_19452),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [12]),
+	.B1(n_19733),
+	.Y(n_21212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909171 (
+	.A1(n_19594),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [28]),
+	.B1(n_19580),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [28]),
+	.X(n_21211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909172 (
+	.A1(n_19582),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [28]),
+	.B1(n_19579),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [28]),
+	.X(n_21210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909173 (
+	.A1(n_19571),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [28]),
+	.B1(n_19586),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [28]),
+	.X(n_21209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909174 (
+	.A(n_20053),
+	.B(n_19797),
+	.Y(n_21208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909175 (
+	.A1(n_19592),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [28]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [28]),
+	.X(n_21207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909176 (
+	.A1(n_19577),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [28]),
+	.B1(n_19575),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [28]),
+	.X(n_21206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909177 (
+	.A1(n_18348),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [28]),
+	.B1(n_18344),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [28]),
+	.X(n_21205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909178 (
+	.A1(n_18375),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [31]),
+	.B1(n_19277),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [31]),
+	.X(n_21204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909180 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [11]),
+	.A2_N(n_18169),
+	.B1(n_16782),
+	.B2(n_18138),
+	.Y(n_21202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909181 (
+	.A1(n_19566),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [30]),
+	.B1(n_19590),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [30]),
+	.X(n_21201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909182 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [11]),
+	.A2_N(n_19436),
+	.B1(n_16721),
+	.B2(n_19250),
+	.Y(n_21200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909183 (
+	.A1(n_19594),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [30]),
+	.B1(n_19580),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [30]),
+	.X(n_21199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909184 (
+	.A1(n_18910),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [11]),
+	.B1(n_18855),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [11]),
+	.X(n_21198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909185 (
+	.A1(n_19571),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [30]),
+	.B1(n_19586),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [30]),
+	.X(n_21197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909186 (
+	.A1(n_19582),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [30]),
+	.B1(n_19579),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [30]),
+	.X(n_21196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909188 (
+	.A1(n_19592),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [30]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [30]),
+	.X(n_21194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909189 (
+	.A1(n_19569),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [30]),
+	.B1(n_19565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [30]),
+	.X(n_21193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909190 (
+	.A1(n_19157),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [11]),
+	.B1(n_18743),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [11]),
+	.X(n_21192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909191 (
+	.A1(n_18348),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [30]),
+	.B1(n_18344),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [30]),
+	.X(n_21191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909192 (
+	.A1(n_18154),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [1]),
+	.B1(n_18271),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [1]),
+	.X(n_21190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909194 (
+	.A1(FE_DBTN102_n_18754),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [11]),
+	.B1(n_18606),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [11]),
+	.X(n_21189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909195 (
+	.A1(n_18349),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [30]),
+	.B1(n_18353),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [30]),
+	.X(n_21188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909196 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [15]),
+	.A2_N(n_19398),
+	.B1(n_16888),
+	.B2(n_18148),
+	.Y(n_21187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909197 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [11]),
+	.A2_N(n_18638),
+	.B1(n_17266),
+	.B2(n_84640),
+	.Y(n_21186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909198 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [11]),
+	.A2_N(n_19013),
+	.B1(n_17388),
+	.B2(n_18501),
+	.Y(n_21185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909199 (
+	.A1(n_18355),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [27]),
+	.B1(n_18352),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [27]),
+	.X(n_21184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909200 (
+	.A1(n_19594),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [27]),
+	.B1(n_19580),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [27]),
+	.X(n_21183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909201 (
+	.A1(n_19571),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [27]),
+	.B1(n_19586),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [27]),
+	.X(n_21182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909202 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [15]),
+	.A2_N(n_19185),
+	.B1(n_17538),
+	.B2(n_19075),
+	.Y(n_21181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909203 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [11]),
+	.A2_N(n_19188),
+	.B1(n_16658),
+	.B2(n_18246),
+	.Y(n_21180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909204 (
+	.A1(n_19589),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [27]),
+	.B1(n_19573),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [27]),
+	.X(n_21179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909205 (
+	.A1(n_19592),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [27]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [27]),
+	.X(n_21178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909206 (
+	.A1(n_19577),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [27]),
+	.B1(n_19575),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [27]),
+	.X(n_21177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909209 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [15]),
+	.A2_N(n_18866),
+	.B1(n_17421),
+	.B2(n_18925),
+	.Y(n_21174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909210 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [11]),
+	.A2_N(n_18034),
+	.B1(n_17262),
+	.B2(n_74156),
+	.Y(n_21173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909211 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [11]),
+	.A2_N(n_19106),
+	.B1(n_17384),
+	.B2(n_19479),
+	.Y(n_21172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909212 (
+	.A1(n_19594),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [29]),
+	.B1(n_19580),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [29]),
+	.X(n_21171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909213 (
+	.A1(n_19582),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [29]),
+	.B1(n_19579),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [29]),
+	.X(n_21170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909214 (
+	.A1(n_18094),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [11]),
+	.B1(n_18338),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [11]),
+	.X(n_21169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909215 (
+	.A1(n_19571),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [29]),
+	.B1(n_19586),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [29]),
+	.X(n_21168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909216 (
+	.A1(n_19549),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [11]),
+	.B1(n_19154),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [11]),
+	.X(n_21167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909217 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [15]),
+	.A2_N(n_19366),
+	.B1(n_16909),
+	.B2(n_18817),
+	.Y(n_21166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909218 (
+	.A1(n_19589),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [29]),
+	.B1(n_19573),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [29]),
+	.X(n_21165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909219 (
+	.A1(n_19592),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [29]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [29]),
+	.X(n_21164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909220 (
+	.A1(n_19577),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [29]),
+	.B1(n_19575),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [29]),
+	.X(n_21163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909221 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [11]),
+	.A2_N(n_18338),
+	.B1(n_17481),
+	.B2(n_35033),
+	.Y(n_21162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909222 (
+	.A1(n_18348),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [29]),
+	.B1(n_18344),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [29]),
+	.X(n_21161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909224 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [11]),
+	.A2_N(n_19106),
+	.B1(n_17604),
+	.B2(n_19155),
+	.Y(n_21159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909225 (
+	.A(n_19787),
+	.B(n_19788),
+	.Y(n_21158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909226 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [11]),
+	.A2_N(n_18094),
+	.B1(n_16999),
+	.B2(n_18218),
+	.Y(n_21157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909228 (
+	.A1(n_19594),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [24]),
+	.B1(n_19580),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [24]),
+	.X(n_21155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909230 (
+	.A1(n_16790),
+	.A2(n_19581),
+	.B1(n_16737),
+	.B2(n_19578),
+	.Y(n_21153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909231 (
+	.A1(n_19571),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [24]),
+	.B1(n_19586),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [24]),
+	.X(n_21152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909232 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [11]),
+	.A2_N(n_74158),
+	.B1(n_16846),
+	.B2(n_18607),
+	.Y(n_21151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909233 (
+	.A1(n_16740),
+	.A2(n_19588),
+	.B1(n_17294),
+	.B2(n_19572),
+	.Y(n_21150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909234 (
+	.A(n_20416),
+	.B(n_20414),
+	.Y(n_21149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909236 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [11]),
+	.A2_N(n_19237),
+	.B1(n_16938),
+	.B2(n_18675),
+	.Y(n_21147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909237 (
+	.A1(n_18348),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [24]),
+	.B1(n_18344),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [24]),
+	.X(n_21146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909238 (
+	.A1(n_18910),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [11]),
+	.B1(n_18855),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [11]),
+	.X(n_21145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909240 (
+	.A1(n_19436),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [11]),
+	.B1(n_19262),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [11]),
+	.X(n_21143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909241 (
+	.A1(n_19577),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [3]),
+	.B1(n_19575),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [3]),
+	.X(n_21142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909244 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [11]),
+	.A2_N(n_19277),
+	.B1(n_17581),
+	.B2(n_19210),
+	.Y(n_21139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909245 (
+	.A1(n_19188),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [11]),
+	.B1(n_18250),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [11]),
+	.X(n_21138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g909246 (
+	.A1(n_18897),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [13]),
+	.B1(n_20776),
+	.Y(n_21137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909249 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [5]),
+	.A2_N(n_18910),
+	.B1(n_17367),
+	.B2(n_18138),
+	.Y(n_21134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909251 (
+	.A(n_19776),
+	.B(n_19979),
+	.Y(n_21132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909252 (
+	.A1(n_19589),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [22]),
+	.B1(n_19573),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [22]),
+	.X(n_21131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909253 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [30]),
+	.A2_N(n_19277),
+	.B1(n_17354),
+	.B2(n_19210),
+	.Y(n_21130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g909254 (
+	.A1(n_16716),
+	.A2(n_18182),
+	.B1(n_16811),
+	.B2(n_18138),
+	.X(n_21129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909255 (
+	.A1(n_19237),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [10]),
+	.B1(n_18676),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [10]),
+	.Y(n_21128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g909256 (
+	.A1(n_18855),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [10]),
+	.B1(n_20117),
+	.Y(n_21127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909257 (
+	.A1(n_18604),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [10]),
+	.B1(n_18533),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [10]),
+	.Y(n_21126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g909258 (
+	.A1(n_19262),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [10]),
+	.B1(n_20210),
+	.Y(n_21125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909259 (
+	.A(n_20257),
+	.B(n_20441),
+	.Y(n_21124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909260 (
+	.A(n_20180),
+	.B(n_20171),
+	.Y(n_21123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909263 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [5]),
+	.A2_N(n_18638),
+	.B1(n_17423),
+	.B2(n_84640),
+	.Y(n_21120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909265 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [10]),
+	.A2_N(n_18638),
+	.B1(n_16671),
+	.B2(n_84640),
+	.Y(n_21118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g909266 (
+	.A1(n_19237),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [5]),
+	.B1(n_20735),
+	.Y(n_21117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g909269 (
+	.A1(n_18375),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [10]),
+	.B1(n_20448),
+	.Y(n_21114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909272 (
+	.A1(n_35038),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [10]),
+	.B1(n_19277),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [10]),
+	.Y(n_21111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909273 (
+	.A1(n_16877),
+	.A2(n_18216),
+	.B1(n_17478),
+	.B2(n_18312),
+	.Y(n_21110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909274 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [30]),
+	.A2_N(n_18638),
+	.B1(n_16696),
+	.B2(n_84640),
+	.Y(n_21109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g909275 (
+	.A1(n_16655),
+	.A2(n_19187),
+	.B1(n_16641),
+	.B2(n_18246),
+	.X(n_21108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g909276 (
+	.A1(n_19452),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [10]),
+	.B1(n_20455),
+	.Y(n_21107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g909277 (
+	.A1_N(n_16687),
+	.A2_N(n_19155),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [10]),
+	.B2(n_74158),
+	.Y(n_21106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g909278 (
+	.A1(n_17298),
+	.A2(n_19501),
+	.B1(n_16640),
+	.B2(n_19107),
+	.X(n_21105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909280 (
+	.A1(n_18094),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [10]),
+	.B1(n_18338),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [10]),
+	.Y(n_21103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909281 (
+	.A1(n_17286),
+	.A2(n_18182),
+	.B1(n_16698),
+	.B2(n_18138),
+	.Y(n_21102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909282 (
+	.A1(n_17612),
+	.A2(n_18402),
+	.B1(n_17493),
+	.B2(n_18983),
+	.Y(n_21101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909283 (
+	.A1(n_19549),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [10]),
+	.B1(n_18034),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [10]),
+	.Y(n_21100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909284 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [30]),
+	.A2_N(n_18034),
+	.B1(n_17302),
+	.B2(n_74156),
+	.Y(n_21099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909285 (
+	.A1(n_19594),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [31]),
+	.B1(n_19580),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [31]),
+	.X(n_21098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909286 (
+	.A1(n_17507),
+	.A2(n_18194),
+	.B1(n_16905),
+	.B2(n_18313),
+	.Y(n_21097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909287 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [10]),
+	.A2_N(n_18338),
+	.B1(n_17591),
+	.B2(n_35033),
+	.Y(n_21096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g909288 (
+	.A1(n_17607),
+	.A2(n_19155),
+	.B1(n_20439),
+	.Y(n_21095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909289 (
+	.A1(n_17518),
+	.A2(n_85757),
+	.B1(n_16971),
+	.B2(n_18218),
+	.Y(n_21094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909290 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [10]),
+	.A2_N(n_19013),
+	.B1(n_16869),
+	.B2(n_19156),
+	.Y(n_21093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909291 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [10]),
+	.A2_N(n_18638),
+	.B1(n_17456),
+	.B2(n_18501),
+	.Y(n_21092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909292 (
+	.A1(n_19569),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [31]),
+	.B1(n_19565),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [31]),
+	.X(n_21091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909293 (
+	.A1(n_16870),
+	.A2(n_84640),
+	.B1(n_17601),
+	.B2(n_18607),
+	.Y(n_21090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909294 (
+	.A(n_20352),
+	.B(n_20364),
+	.Y(n_21089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909295 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [30]),
+	.A2_N(n_18338),
+	.B1(n_16735),
+	.B2(n_85757),
+	.Y(n_21088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909297 (
+	.A1(n_17609),
+	.A2(n_18900),
+	.B1(n_16989),
+	.B2(n_18138),
+	.Y(n_21086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909298 (
+	.A1(n_18349),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [31]),
+	.B1(n_18353),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [31]),
+	.X(n_21085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909299 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [10]),
+	.A2_N(n_18855),
+	.B1(n_16838),
+	.B2(n_19227),
+	.Y(n_21084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909302 (
+	.A1(n_18397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [31]),
+	.B1(n_18988),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [31]),
+	.Y(n_21081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909303 (
+	.A1(n_16963),
+	.A2(n_18471),
+	.B1(n_16994),
+	.B2(n_18426),
+	.Y(n_21080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909304 (
+	.A1(n_17596),
+	.A2(n_19187),
+	.B1(n_17598),
+	.B2(n_18246),
+	.Y(n_21079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909305 (
+	.A1(n_18604),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [31]),
+	.B1(n_18533),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [31]),
+	.Y(n_21078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909306 (
+	.A1(n_18674),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [31]),
+	.B1(n_18642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [31]),
+	.Y(n_21077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909307 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [10]),
+	.A2_N(n_19277),
+	.B1(n_17595),
+	.B2(n_19210),
+	.Y(n_21076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909309 (
+	.A1(n_18154),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [31]),
+	.B1(n_18271),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [31]),
+	.X(n_21074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909310 (
+	.A1(n_18340),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [16]),
+	.B1(n_18818),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [16]),
+	.X(n_21073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909311 (
+	.A1(n_18340),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [31]),
+	.B1(n_18818),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [31]),
+	.X(n_21072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909312 (
+	.A1(n_18289),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [31]),
+	.B1(n_18380),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [31]),
+	.X(n_21071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909313 (
+	.A1(n_16987),
+	.A2(n_74962),
+	.B1(n_17539),
+	.B2(n_18264),
+	.Y(n_21070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909314 (
+	.A1(n_18565),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [31]),
+	.B1(n_19010),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [31]),
+	.X(n_21069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909315 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [31]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [31]),
+	.X(n_21068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909316 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [9]),
+	.A2_N(n_18855),
+	.B1(n_17363),
+	.B2(n_19227),
+	.Y(n_21067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909317 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [30]),
+	.A2_N(n_19157),
+	.B1(n_16928),
+	.B2(n_18501),
+	.Y(n_21066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909318 (
+	.A1(n_18033),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [31]),
+	.B1(n_18315),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [31]),
+	.X(n_21065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909321 (
+	.A1(n_18397),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [31]),
+	.B1(n_18988),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [31]),
+	.Y(n_21062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909322 (
+	.A1(n_18604),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [31]),
+	.B1(n_18533),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [31]),
+	.Y(n_21061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909323 (
+	.A1(n_18215),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [31]),
+	.B1(n_18311),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [31]),
+	.Y(n_21060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909324 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [30]),
+	.A2_N(n_18638),
+	.B1(n_16974),
+	.B2(n_18607),
+	.Y(n_21059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909325 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [9]),
+	.A2_N(n_18375),
+	.B1(n_16749),
+	.B2(n_18471),
+	.Y(n_21058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909326 (
+	.A1(n_18674),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [31]),
+	.B1(n_18642),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [31]),
+	.Y(n_21057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909327 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [9]),
+	.A2_N(n_35038),
+	.B1(n_17246),
+	.B2(n_19288),
+	.Y(n_21056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909328 (
+	.A1(n_18866),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [31]),
+	.B1(n_18924),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [31]),
+	.X(n_21055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909330 (
+	.A(n_19778),
+	.B(n_19978),
+	.Y(n_21053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909331 (
+	.A1(n_19237),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [31]),
+	.B1(n_18127),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [31]),
+	.X(n_21052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909333 (
+	.A1(n_18676),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [31]),
+	.B1(n_18169),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [31]),
+	.X(n_21050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909334 (
+	.A(n_19657),
+	.B(n_19735),
+	.Y(n_21049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g909335 (
+	.A1(n_19010),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [14]),
+	.B1(n_20499),
+	.Y(n_21048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909336 (
+	.A1(n_18375),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [31]),
+	.B1(n_19277),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [31]),
+	.X(n_21047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909338 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [30]),
+	.A2_N(n_19237),
+	.B1(n_16849),
+	.B2(n_18675),
+	.Y(n_21045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909339 (
+	.A1(n_35038),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [31]),
+	.B1(n_19188),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [31]),
+	.X(n_21044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909340 (
+	.A1(n_19157),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [31]),
+	.B1(n_18743),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [31]),
+	.X(n_21043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909341 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [9]),
+	.A2_N(n_18638),
+	.B1(n_16752),
+	.B2(n_84640),
+	.Y(n_21042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909342 (
+	.A1(FE_DBTN102_n_18754),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [31]),
+	.B1(n_18606),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [31]),
+	.X(n_21041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909343 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [9]),
+	.A2_N(n_18502),
+	.B1(n_17389),
+	.B2(n_19012),
+	.Y(n_21040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909344 (
+	.A(n_20109),
+	.B(n_19729),
+	.Y(n_21039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909345 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [9]),
+	.A2_N(n_18034),
+	.B1(n_16723),
+	.B2(n_74156),
+	.Y(n_21038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909348 (
+	.A1(n_18289),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [14]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [14]),
+	.Y(n_21035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909349 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [9]),
+	.A2_N(n_18338),
+	.B1(n_16717),
+	.B2(n_85757),
+	.Y(n_21034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909350 (
+	.A1(n_18094),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [31]),
+	.B1(n_19154),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [31]),
+	.X(n_21033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909351 (
+	.A1(n_17575),
+	.A2(n_18471),
+	.B1(n_17490),
+	.B2(n_18426),
+	.Y(n_21032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909352 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [9]),
+	.A2_N(n_19549),
+	.B1(n_16682),
+	.B2(n_19155),
+	.Y(n_21031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909354 (
+	.A1(n_17537),
+	.A2(n_18790),
+	.B1(n_16858),
+	.B2(n_19044),
+	.Y(n_21029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g909355 (
+	.A1(n_17560),
+	.A2(n_85026),
+	.B1(n_19732),
+	.Y(n_21028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909356 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [9]),
+	.A2_N(n_18338),
+	.B1(n_16986),
+	.B2(n_35033),
+	.Y(n_21027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909358 (
+	.A1(n_19106),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [9]),
+	.B1(n_19154),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [9]),
+	.Y(n_21025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909359 (
+	.A1(n_18094),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [9]),
+	.B1(n_18034),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [9]),
+	.Y(n_21024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g909362 (
+	.A1(n_16966),
+	.A2(n_18501),
+	.B1(n_17432),
+	.B2(n_19156),
+	.X(n_21021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g909363 (
+	.A1(n_17498),
+	.A2(n_18607),
+	.B1(n_17503),
+	.B2(n_18639),
+	.X(n_21020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g909364 (
+	.A1(FE_DBTN102_n_18754),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [9]),
+	.B1(n_19700),
+	.Y(n_21019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g909366 (
+	.A1(n_18127),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [9]),
+	.B1(n_19935),
+	.Y(n_21017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909367 (
+	.A1(n_19594),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [22]),
+	.B1(n_19580),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [22]),
+	.X(n_21016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909368 (
+	.A1(n_19237),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [9]),
+	.B1(n_18676),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [9]),
+	.Y(n_21015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909369 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [27]),
+	.A2_N(n_18289),
+	.B1(n_17606),
+	.B2(n_19011),
+	.Y(n_21014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g909370 (
+	.A1(n_18910),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [9]),
+	.B1(n_20350),
+	.Y(n_21013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g909371 (
+	.A1(n_19262),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [9]),
+	.B1(n_20521),
+	.Y(n_21012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909372 (
+	.A1(n_19571),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [22]),
+	.B1(n_19586),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [22]),
+	.X(n_21011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909373 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [14]),
+	.A2_N(n_18866),
+	.B1(n_18925),
+	.B2(n_16996),
+	.Y(n_21010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909374 (
+	.A1(n_17402),
+	.A2(n_18898),
+	.B1(n_17584),
+	.B2(n_18532),
+	.Y(n_21009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g909375 (
+	.A1(n_35038),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [9]),
+	.B1(n_19693),
+	.Y(n_21008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g909376 (
+	.A1(n_18250),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [9]),
+	.B1(n_19691),
+	.Y(n_21007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g909377 (
+	.A1(n_19452),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [9]),
+	.B1(n_19697),
+	.Y(n_21006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909378 (
+	.A1(n_19577),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [22]),
+	.B1(n_19575),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [22]),
+	.X(n_21005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909379 (
+	.A1(n_16818),
+	.A2(n_19365),
+	.B1(n_17468),
+	.B2(n_18817),
+	.Y(n_21004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909380 (
+	.A1(n_19594),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [21]),
+	.B1(n_19580),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [21]),
+	.X(n_21003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909381 (
+	.A1(n_18676),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [29]),
+	.B1(n_18169),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [29]),
+	.X(n_21002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909383 (
+	.A1(n_19589),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [21]),
+	.B1(n_19573),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [21]),
+	.X(n_21000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909384 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [8]),
+	.A2_N(n_19277),
+	.B1(n_16703),
+	.B2(n_19210),
+	.Y(n_20999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909386 (
+	.A1(n_18348),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [21]),
+	.B1(n_18344),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [21]),
+	.X(n_20997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909387 (
+	.A1(n_18034),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [6]),
+	.B1(n_74158),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [6]),
+	.X(n_20996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g909388 (
+	.A1(n_16933),
+	.A2(n_18312),
+	.B1(n_20537),
+	.Y(n_20995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909390 (
+	.A1(n_17561),
+	.A2(n_18194),
+	.B1(n_17566),
+	.B2(n_18313),
+	.Y(n_20993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909392 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [8]),
+	.A2_N(n_18638),
+	.B1(n_16762),
+	.B2(n_84640),
+	.Y(n_20991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909393 (
+	.A1(n_18910),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [29]),
+	.B1(n_18127),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [29]),
+	.X(n_20990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909394 (
+	.A1(n_18355),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [20]),
+	.B1(n_18352),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [20]),
+	.X(n_20989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909396 (
+	.A1(n_19436),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [29]),
+	.B1(n_19262),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [29]),
+	.X(n_20987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909397 (
+	.A(n_20129),
+	.B(n_19645),
+	.Y(n_20986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g909399 (
+	.A1(n_16895),
+	.A2(n_18983),
+	.B1(n_20795),
+	.Y(n_20984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909402 (
+	.A1(n_19577),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [20]),
+	.B1(n_19575),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [20]),
+	.X(n_20981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909404 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [23]),
+	.A2_N(n_19398),
+	.B1(n_16845),
+	.B2(n_18148),
+	.Y(n_20979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909405 (
+	.A1(n_17299),
+	.A2(n_19227),
+	.B1(n_16784),
+	.B2(n_18851),
+	.Y(n_20978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909406 (
+	.A1(n_19188),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [29]),
+	.B1(n_18250),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [29]),
+	.X(n_20977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909407 (
+	.A(n_20653),
+	.B(n_20583),
+	.Y(n_20976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909409 (
+	.A(n_19936),
+	.B(n_20098),
+	.Y(n_20974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909410 (
+	.A1(n_18484),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [29]),
+	.B1(n_18375),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [29]),
+	.X(n_20973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909411 (
+	.A1(n_19594),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [19]),
+	.B1(n_19580),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [19]),
+	.X(n_20972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909414 (
+	.A(n_19851),
+	.B(n_20391),
+	.Y(n_20969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909415 (
+	.A1(n_16681),
+	.A2(n_19570),
+	.B1(n_16704),
+	.B2(n_19585),
+	.Y(n_20968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909417 (
+	.A1(n_19592),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [19]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [19]),
+	.X(n_20966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909418 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [8]),
+	.A2_N(n_19549),
+	.B1(n_16653),
+	.B2(n_19155),
+	.Y(n_20965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909419 (
+	.A1(n_18897),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [8]),
+	.B1(n_18531),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [8]),
+	.X(n_20964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909420 (
+	.A1(n_16677),
+	.A2(n_18347),
+	.B1(n_16779),
+	.B2(n_18343),
+	.Y(n_20963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909421 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [27]),
+	.A2_N(n_19398),
+	.B1(n_16839),
+	.B2(n_18148),
+	.Y(n_20962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909422 (
+	.A1(n_19157),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [29]),
+	.B1(n_18743),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [29]),
+	.X(n_20961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909423 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [8]),
+	.A2_N(n_18338),
+	.B1(n_16955),
+	.B2(n_35033),
+	.Y(n_20960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909424 (
+	.A1(n_17516),
+	.A2(n_18871),
+	.B1(n_17485),
+	.B2(n_18925),
+	.Y(n_20959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909426 (
+	.A1(n_16921),
+	.A2(n_85757),
+	.B1(n_16841),
+	.B2(n_18218),
+	.Y(n_20957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g909427 (
+	.A1(n_18537),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [14]),
+	.B1(n_19911),
+	.Y(n_20956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g909428 (
+	.A1(n_16972),
+	.A2(n_18607),
+	.B1(n_20283),
+	.Y(n_20955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g909429 (
+	.A1(n_17408),
+	.A2(n_18754),
+	.B1(n_20718),
+	.Y(n_20954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g909430 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [14]),
+	.B1(n_20164),
+	.Y(n_20953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909432 (
+	.A1(n_16897),
+	.A2(n_19012),
+	.B1(n_16968),
+	.B2(n_84640),
+	.Y(n_20951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909433 (
+	.A1(n_19571),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [18]),
+	.B1(n_19586),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [18]),
+	.X(n_20950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909434 (
+	.A1(n_16842),
+	.A2(n_18501),
+	.B1(n_16844),
+	.B2(n_19156),
+	.Y(n_20949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909435 (
+	.A1(n_16670),
+	.A2(n_19591),
+	.B1(n_16788),
+	.B2(n_19567),
+	.Y(n_20948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909438 (
+	.A1(n_17339),
+	.A2(n_18347),
+	.B1(n_17273),
+	.B2(n_18343),
+	.Y(n_20945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909439 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [8]),
+	.A2_N(n_19237),
+	.B1(n_16949),
+	.B2(n_18851),
+	.Y(n_20944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909440 (
+	.A1(n_18349),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [10]),
+	.B1(n_18353),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [10]),
+	.X(n_20943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909441 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [8]),
+	.A2_N(n_19436),
+	.B1(n_16970),
+	.B2(n_19250),
+	.Y(n_20942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909442 (
+	.A1(n_18502),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [29]),
+	.B1(n_19013),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [29]),
+	.X(n_20941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909443 (
+	.A1(n_19571),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [1]),
+	.B1(n_19586),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [1]),
+	.X(n_20940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909444 (
+	.A1(n_17405),
+	.A2(n_18471),
+	.B1(n_16840),
+	.B2(n_18426),
+	.Y(n_20939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909445 (
+	.A1(n_16827),
+	.A2(n_19187),
+	.B1(n_16941),
+	.B2(n_19288),
+	.Y(n_20938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909446 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [23]),
+	.A2_N(n_19073),
+	.B1(n_16893),
+	.B2(n_19186),
+	.Y(n_20937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g909447 (
+	.A1(n_18897),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [14]),
+	.B1(n_19860),
+	.Y(n_20936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909448 (
+	.A(n_19711),
+	.B(n_19850),
+	.Y(n_20935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909449 (
+	.A1(n_18355),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [17]),
+	.B1(n_18352),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [17]),
+	.X(n_20934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909450 (
+	.A1(n_19106),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [29]),
+	.B1(n_19154),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [29]),
+	.X(n_20933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909451 (
+	.A1(n_16882),
+	.A2(n_19153),
+	.B1(n_16828),
+	.B2(n_19451),
+	.Y(n_20932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g909452 (
+	.A1(n_18335),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [14]),
+	.B1(n_19667),
+	.Y(n_20931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909453 (
+	.A1(n_18094),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [29]),
+	.B1(n_18338),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [29]),
+	.X(n_20930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909454 (
+	.A1(n_19592),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [17]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [17]),
+	.X(n_20929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909455 (
+	.A1(n_19577),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [17]),
+	.B1(n_19575),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [17]),
+	.X(n_20928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909456 (
+	.A1(n_18924),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [31]),
+	.B1(n_19073),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [31]),
+	.X(n_20927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909459 (
+	.A1(n_17563),
+	.A2(n_19365),
+	.B1(n_17501),
+	.B2(n_18817),
+	.Y(n_20924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909462 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [7]),
+	.A2_N(n_18855),
+	.B1(n_17291),
+	.B2(n_19227),
+	.Y(n_20921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909463 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [7]),
+	.A2_N(n_19262),
+	.B1(n_17398),
+	.B2(n_19428),
+	.Y(n_20920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909464 (
+	.A1(n_19571),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [16]),
+	.B1(n_19586),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [16]),
+	.X(n_20919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909466 (
+	.A1(n_18034),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [29]),
+	.B1(n_19154),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [29]),
+	.X(n_20917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909467 (
+	.A1(n_19589),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [16]),
+	.B1(n_19573),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [16]),
+	.X(n_20916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909469 (
+	.A1(n_18094),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [29]),
+	.B1(n_19106),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [29]),
+	.X(n_20914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909470 (
+	.A1(n_18348),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [16]),
+	.B1(n_18344),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [16]),
+	.X(n_20913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909471 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [7]),
+	.A2_N(n_19277),
+	.B1(n_16654),
+	.B2(n_19210),
+	.Y(n_20912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909473 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [29]),
+	.A2_N(n_18638),
+	.B1(n_17509),
+	.B2(n_19156),
+	.Y(n_20910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909477 (
+	.A1(n_18355),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [15]),
+	.B1(n_18352),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [15]),
+	.X(n_20906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909478 (
+	.A1(n_74158),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [29]),
+	.B1(n_18606),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [29]),
+	.X(n_20905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909480 (
+	.A1(n_18924),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [12]),
+	.B1(n_19073),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [12]),
+	.X(n_20903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909481 (
+	.A1(n_19582),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [15]),
+	.B1(n_19579),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [15]),
+	.X(n_20902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909482 (
+	.A1(n_19013),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [29]),
+	.B1(FE_DBTN102_n_18754),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [29]),
+	.X(n_20901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909484 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [27]),
+	.A2_N(n_18924),
+	.B1(n_17543),
+	.B2(n_18871),
+	.Y(n_20899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909485 (
+	.A(n_20604),
+	.B(n_19724),
+	.Y(n_20898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909486 (
+	.A1(n_18169),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [29]),
+	.B1(n_18127),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [29]),
+	.X(n_20897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909487 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [7]),
+	.A2_N(n_18094),
+	.B1(n_16804),
+	.B2(n_19479),
+	.Y(n_20896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909488 (
+	.A1(n_18348),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [15]),
+	.B1(n_18344),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [15]),
+	.X(n_20895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909489 (
+	.A1(n_19436),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [29]),
+	.B1(n_19262),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [29]),
+	.X(n_20894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909490 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [7]),
+	.A2_N(n_18338),
+	.B1(n_17390),
+	.B2(n_18218),
+	.Y(n_20893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909491 (
+	.A1(n_16918),
+	.A2(n_18536),
+	.B1(n_16879),
+	.B2(n_18441),
+	.Y(n_20892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909493 (
+	.A1(n_18910),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [29]),
+	.B1(n_18855),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [29]),
+	.X(n_20890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909494 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [13]),
+	.A2_N(n_19277),
+	.B1(n_17477),
+	.B2(n_19210),
+	.Y(n_20889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909495 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [7]),
+	.A2_N(n_18338),
+	.B1(n_16961),
+	.B2(n_35033),
+	.Y(n_20888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909496 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [7]),
+	.A2_N(n_18034),
+	.B1(n_17530),
+	.B2(n_19155),
+	.Y(n_20887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909497 (
+	.A1(n_18484),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [29]),
+	.B1(n_18375),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [29]),
+	.X(n_20886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909498 (
+	.A1(n_19571),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [14]),
+	.B1(n_19586),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [14]),
+	.X(n_20885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909501 (
+	.A1(n_19589),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [14]),
+	.B1(n_19573),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [14]),
+	.X(n_20882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g909502 (
+	.A1(n_16967),
+	.A2(n_18501),
+	.B1(n_19650),
+	.Y(n_20881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909503 (
+	.A1(n_19188),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [29]),
+	.B1(n_18250),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [29]),
+	.X(n_20880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909504 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [7]),
+	.A2_N(n_18638),
+	.B1(n_17579),
+	.B2(n_18607),
+	.Y(n_20879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909505 (
+	.A1(n_16982),
+	.A2(n_18754),
+	.B1(n_16822),
+	.B2(n_74156),
+	.Y(n_20878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909506 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [29]),
+	.A2_N(n_19452),
+	.B1(n_16950),
+	.B2(n_19153),
+	.Y(n_20877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909507 (
+	.A1(n_19589),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [1]),
+	.B1(n_19573),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [1]),
+	.X(n_20876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909508 (
+	.A1(n_17422),
+	.A2(n_18182),
+	.B1(n_16993),
+	.B2(n_18138),
+	.Y(n_20875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909510 (
+	.A1(n_19010),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [13]),
+	.B1(n_18271),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [13]),
+	.Y(n_20873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909511 (
+	.A1(n_19577),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [25]),
+	.B1(n_19575),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [25]),
+	.X(n_20872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909512 (
+	.A1(n_18355),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [13]),
+	.B1(n_18352),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [13]),
+	.X(n_20871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909513 (
+	.A1(n_18289),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [13]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [13]),
+	.Y(n_20870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909514 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [7]),
+	.A2_N(n_18484),
+	.B1(n_16977),
+	.B2(n_18246),
+	.Y(n_20869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909516 (
+	.A1(n_19582),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [13]),
+	.B1(n_19579),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [13]),
+	.X(n_20867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909517 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [27]),
+	.A2_N(n_19366),
+	.B1(n_17426),
+	.B2(n_18817),
+	.Y(n_20866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909518 (
+	.A1(n_16937),
+	.A2(n_19210),
+	.B1(n_17582),
+	.B2(n_19288),
+	.Y(n_20865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909519 (
+	.A1(n_17457),
+	.A2(n_19153),
+	.B1(n_16995),
+	.B2(n_19451),
+	.Y(n_20864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909520 (
+	.A1(n_19592),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [13]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [13]),
+	.X(n_20863), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909521 (
+	.A1(n_18094),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [6]),
+	.B1(n_18338),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [6]),
+	.X(n_20862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909522 (
+	.A1(n_19237),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [31]),
+	.B1(n_18676),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [31]),
+	.X(n_20861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909523 (
+	.A1(n_19398),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [13]),
+	.B1(n_18154),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [13]),
+	.Y(n_20860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909524 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [6]),
+	.A2_N(n_18127),
+	.B1(n_17300),
+	.B2(n_18182),
+	.Y(n_20859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909525 (
+	.A1(n_19185),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [13]),
+	.B1(n_19073),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [13]),
+	.Y(n_20858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909526 (
+	.A1(n_19237),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [6]),
+	.B1(n_18676),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [6]),
+	.X(n_20857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909528 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [30]),
+	.A2_N(n_18289),
+	.B1(n_16886),
+	.B2(n_74962),
+	.Y(n_20855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909529 (
+	.A1(n_19571),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [12]),
+	.B1(n_19586),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [12]),
+	.X(n_20854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909530 (
+	.A1(n_18910),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [6]),
+	.B1(n_18855),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [6]),
+	.X(n_20853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909532 (
+	.A1(n_19436),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [6]),
+	.B1(n_19262),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [6]),
+	.X(n_20851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909533 (
+	.A1(n_19592),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [12]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [12]),
+	.X(n_20850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909534 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [6]),
+	.A2_N(n_18638),
+	.B1(n_17331),
+	.B2(n_84640),
+	.Y(n_20849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909535 (
+	.A1(n_18348),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [12]),
+	.B1(n_18344),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [12]),
+	.X(n_20848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909536 (
+	.A1(n_19577),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [2]),
+	.B1(n_19575),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [2]),
+	.X(n_20847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909537 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [28]),
+	.A2_N(n_18855),
+	.B1(n_16686),
+	.B2(n_19227),
+	.Y(n_20846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909539 (
+	.A1(n_18866),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [13]),
+	.B1(n_18924),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [13]),
+	.Y(n_20844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g909542 (
+	.A1(n_16826),
+	.A2(n_35050),
+	.B1(n_16900),
+	.B2(n_74962),
+	.Y(n_20841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909543 (
+	.A1(n_18355),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [11]),
+	.B1(n_18352),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [11]),
+	.X(n_20840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909545 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [28]),
+	.A2_N(n_19436),
+	.B1(n_17304),
+	.B2(n_19250),
+	.Y(n_20838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909546 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [6]),
+	.A2_N(n_19277),
+	.B1(n_17267),
+	.B2(n_19210),
+	.Y(n_20837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909547 (
+	.A1(n_19571),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [11]),
+	.B1(n_19586),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [11]),
+	.X(n_20836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909548 (
+	.A1(n_19366),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [13]),
+	.B1(n_18818),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [13]),
+	.Y(n_20835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909550 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [6]),
+	.A2_N(n_19188),
+	.B1(n_17263),
+	.B2(n_18246),
+	.Y(n_20833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909551 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [6]),
+	.A2_N(n_19138),
+	.B1(n_17338),
+	.B2(n_19451),
+	.Y(n_20832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909552 (
+	.A1(n_19592),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [11]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [11]),
+	.X(n_20831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909553 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [28]),
+	.A2_N(n_18638),
+	.B1(n_17277),
+	.B2(n_84640),
+	.Y(n_20830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909554 (
+	.A1(n_18348),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [11]),
+	.B1(n_18344),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [11]),
+	.X(n_20829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909556 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [6]),
+	.A2_N(n_19154),
+	.B1(n_17387),
+	.B2(n_19107),
+	.Y(n_20827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909558 (
+	.A1(n_19549),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [6]),
+	.B1(n_19480),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [6]),
+	.X(n_20825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g909559 (
+	.A1(n_18355),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [10]),
+	.B1(n_18352),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [10]),
+	.X(n_20824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909560 (
+	.A1(n_18215),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [13]),
+	.B1(n_18311),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [13]),
+	.Y(n_20823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909561 (
+	.A1(n_18565),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [22]),
+	.B1(n_19010),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [22]),
+	.Y(n_20822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g909562 (
+	.A1(n_18375),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [28]),
+	.B1(n_19743),
+	.Y(n_20821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909563 (
+	.A1(n_18397),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [13]),
+	.B1(n_18988),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [13]),
+	.Y(n_20820), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909564 (
+	.A1(n_19106),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [6]),
+	.B1(n_19154),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [6]),
+	.Y(n_20819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909565 (
+	.A1(n_18094),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [6]),
+	.B1(n_18034),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [6]),
+	.Y(n_20818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909567 (
+	.A1(n_74158),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [6]),
+	.B1(n_18606),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [6]),
+	.Y(n_20816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g909568 (
+	.A1(FE_DBTN102_n_18754),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [6]),
+	.B1(n_18638),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [6]),
+	.Y(n_20815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909569 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [27]),
+	.A2_N(n_18215),
+	.B1(n_17522),
+	.B2(n_18312),
+	.Y(n_20814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g909571 (
+	.A1(n_19277),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [28]),
+	.B1(n_19746),
+	.Y(n_20812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g909573 (
+	.A1(n_17542),
+	.A2(n_18675),
+	.B1(n_17544),
+	.B2(n_18182),
+	.X(n_20810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g909574 (
+	.A1(n_17492),
+	.A2(n_18900),
+	.B1(n_16929),
+	.B2(n_18138),
+	.X(n_20809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g909575 (
+	.A1_N(soc_top_u_top_u_core_load_store_unit_i_handle_misaligned_q),
+	.A2_N(n_17737),
+	.B1(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]),
+	.B2(n_17900),
+	.Y(n_21768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909578 (
+	.A(n_19104),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [6]),
+	.Y(n_20806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909581 (
+	.A(n_18471),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [6]),
+	.Y(n_20803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909584 (
+	.A(n_19480),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [28]),
+	.Y(n_20800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909585 (
+	.A(n_74158),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [27]),
+	.Y(n_20799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909586 (
+	.A(n_19436),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [3]),
+	.Y(n_20798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909588 (
+	.A(n_85757),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [28]),
+	.Y(n_20796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909589 (
+	.A(n_18397),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [14]),
+	.Y(n_20795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909593 (
+	.A(n_18338),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [14]),
+	.Y(n_20791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909596 (
+	.A(n_19013),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [16]),
+	.Y(n_20788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909599 (
+	.A(n_19013),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [3]),
+	.Y(n_20785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909603 (
+	.A(n_19153),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [2]),
+	.Y(n_20781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909606 (
+	.A(n_18743),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [27]),
+	.Y(n_20778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909607 (
+	.A(n_18441),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [22]),
+	.Y(n_20777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909608 (
+	.A(n_18532),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [13]),
+	.Y(n_20776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909613 (
+	.A(n_18537),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [12]),
+	.Y(n_20771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909614 (
+	.A(n_18565),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [24]),
+	.Y(n_20770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909615 (
+	.A(n_18471),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [5]),
+	.Y(n_20769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909618 (
+	.A(n_19210),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [5]),
+	.Y(n_20766), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909620 (
+	.A(n_85757),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [16]),
+	.Y(n_20764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909621 (
+	.A(n_19106),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [27]),
+	.Y(n_20763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909622 (
+	.A(n_18900),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [26]),
+	.Y(n_20762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909624 (
+	.A(n_74158),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [5]),
+	.Y(n_20760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909625 (
+	.A(n_19157),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [27]),
+	.Y(n_20759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909627 (
+	.A(n_19106),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [5]),
+	.Y(n_20757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909628 (
+	.A(n_19106),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [16]),
+	.Y(n_20756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909632 (
+	.A(n_19549),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [5]),
+	.Y(n_20752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g909634 (
+	.A(n_17444),
+	.B(n_74156),
+	.Y(n_20750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909635 (
+	.A(n_18676),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [28]),
+	.Y(n_20749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909636 (
+	.A(n_19237),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [28]),
+	.Y(n_20748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909638 (
+	.A(n_19138),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [3]),
+	.Y(n_20746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909641 (
+	.A(n_18607),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [4]),
+	.Y(n_20743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909645 (
+	.A(FE_DBTN102_n_18754),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [5]),
+	.Y(n_20739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909646 (
+	.A(n_18034),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [20]),
+	.Y(n_20738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909648 (
+	.A(n_18533),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [27]),
+	.Y(n_20736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909649 (
+	.A(n_18851),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [5]),
+	.Y(n_20735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909650 (
+	.A(n_19069),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [3]),
+	.Y(n_20734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909651 (
+	.A(n_18094),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [20]),
+	.Y(n_20733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909652 (
+	.A(n_85757),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [5]),
+	.Y(n_20732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909653 (
+	.A(n_19428),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [5]),
+	.Y(n_20731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909655 (
+	.A(n_18674),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [27]),
+	.Y(n_20729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909658 (
+	.A(n_19288),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [5]),
+	.Y(n_20726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909662 (
+	.A(n_18855),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [15]),
+	.Y(n_20722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909663 (
+	.A(n_18397),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [17]),
+	.Y(n_20721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909666 (
+	.A(n_18638),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [8]),
+	.Y(n_20718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909667 (
+	.A(n_18338),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [16]),
+	.Y(n_20717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909670 (
+	.A(n_19154),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [5]),
+	.Y(n_20714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909672 (
+	.A(n_18642),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [27]),
+	.Y(n_20712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909678 (
+	.A(n_18289),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [12]),
+	.Y(n_20706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909680 (
+	.A(n_18502),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [4]),
+	.Y(n_20704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909685 (
+	.A(n_18533),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [6]),
+	.Y(n_20699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909689 (
+	.A(n_19451),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [20]),
+	.Y(n_20695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909691 (
+	.A(n_19451),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [5]),
+	.Y(n_20693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g909693 (
+	.A(n_17514),
+	.B(n_74962),
+	.Y(n_20691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909694 (
+	.A(n_19156),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [4]),
+	.Y(n_20690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g909695 (
+	.A(n_17511),
+	.B(n_19104),
+	.Y(n_20689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909696 (
+	.A(n_19188),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [28]),
+	.Y(n_20688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909697 (
+	.A(n_18675),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [4]),
+	.Y(n_20687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909699 (
+	.A(n_18851),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [4]),
+	.Y(n_20685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909701 (
+	.A(n_19288),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [4]),
+	.Y(n_20683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909704 (
+	.A(n_19452),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [3]),
+	.Y(n_20680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909705 (
+	.A(n_18910),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [15]),
+	.Y(n_20679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909706 (
+	.A(n_19210),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [20]),
+	.Y(n_20678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909709 (
+	.A(n_19480),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [27]),
+	.Y(n_20675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909712 (
+	.A(n_19501),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [16]),
+	.Y(n_20672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909713 (
+	.A(n_18743),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [14]),
+	.Y(n_20671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909714 (
+	.A(n_19013),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [3]),
+	.Y(n_20670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909715 (
+	.A(n_18674),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [12]),
+	.Y(n_20669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g909717 (
+	.A(n_16856),
+	.B(n_18441),
+	.Y(n_20667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909721 (
+	.A(n_18638),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [27]),
+	.Y(n_20663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909722 (
+	.A(n_19013),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [2]),
+	.Y(n_20662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909724 (
+	.A(n_18376),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [12]),
+	.Y(n_20660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909728 (
+	.A(n_19428),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [27]),
+	.Y(n_20656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909731 (
+	.A(n_19157),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [3]),
+	.Y(n_20653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909732 (
+	.A(n_39587),
+	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_q[16]),
+	.Y(n_20652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909735 (
+	.A(n_18531),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [12]),
+	.Y(n_20649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909740 (
+	.A(n_18338),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [27]),
+	.Y(n_20644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909741 (
+	.A(n_18674),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [16]),
+	.Y(n_20643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909746 (
+	.A(n_18484),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [27]),
+	.Y(n_20638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909747 (
+	.A(n_18606),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [3]),
+	.Y(n_20637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909752 (
+	.A(FE_DBTN102_n_18754),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [27]),
+	.Y(n_20632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909753 (
+	.A(n_19452),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [27]),
+	.Y(n_20631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g909754 (
+	.A(\soc_top_u_top_u_core_imd_val_q_ex[0] [8]),
+	.B(n_29774),
+	.X(n_20630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909755 (
+	.A(FE_DBTN102_n_18754),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [3]),
+	.Y(n_20629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g909760 (
+	.A_N(\soc_top_u_top_u_core_imd_val_q_ex[0] [7]),
+	.B(n_18350),
+	.Y(n_20624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909761 (
+	.A(n_74158),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [3]),
+	.Y(n_20623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909762 (
+	.A(n_18855),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [2]),
+	.Y(n_20622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g909763 (
+	.A_N(\soc_top_u_top_u_core_imd_val_q_ex[0] [3]),
+	.B(n_18350),
+	.Y(n_20621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909767 (
+	.A(n_18897),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [12]),
+	.Y(n_20617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909768 (
+	.A(n_18910),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [2]),
+	.Y(n_20616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909769 (
+	.A(n_18743),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [28]),
+	.Y(n_20615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909770 (
+	.A(n_19288),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [2]),
+	.Y(n_20614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909774 (
+	.A(n_19138),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [27]),
+	.Y(n_20610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909775 (
+	.A(n_19428),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [4]),
+	.Y(n_20609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909780 (
+	.A(n_19106),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [7]),
+	.Y(n_20604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909782 (
+	.A(n_18502),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [7]),
+	.Y(n_20602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909784 (
+	.A(n_19013),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [7]),
+	.Y(n_20600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909786 (
+	.A(n_19428),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [12]),
+	.Y(n_20598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909787 (
+	.A(n_18639),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [2]),
+	.Y(n_20597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909791 (
+	.A(n_19452),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [26]),
+	.Y(n_20593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909792 (
+	.A(n_18754),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [2]),
+	.Y(n_20592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909794 (
+	.A(n_19138),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [26]),
+	.Y(n_20590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909796 (
+	.A(n_19288),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [2]),
+	.Y(n_20588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909799 (
+	.A(n_18426),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [2]),
+	.Y(n_20585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909801 (
+	.A(n_18743),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [3]),
+	.Y(n_20583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909803 (
+	.A(n_19227),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [27]),
+	.Y(n_20581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909804 (
+	.A(n_19138),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [8]),
+	.Y(n_20580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909805 (
+	.A(n_18264),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [21]),
+	.Y(n_20579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909808 (
+	.A(n_19294),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [21]),
+	.Y(n_20576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909809 (
+	.A(n_19227),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [12]),
+	.Y(n_20575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909811 (
+	.A(n_18380),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [21]),
+	.Y(n_20573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909812 (
+	.A(n_18338),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [26]),
+	.Y(n_20572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909815 (
+	.A(n_18215),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [11]),
+	.Y(n_20569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909817 (
+	.A(n_18250),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [1]),
+	.Y(n_20567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909818 (
+	.A(n_19188),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [1]),
+	.Y(n_20566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909821 (
+	.A(n_18502),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [26]),
+	.Y(n_20563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909822 (
+	.A(n_18604),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [5]),
+	.Y(n_20562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909826 (
+	.A(n_18743),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [1]),
+	.Y(n_20558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909831 (
+	.A(n_19153),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [21]),
+	.Y(n_20553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909832 (
+	.A(n_18676),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [26]),
+	.Y(n_20552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909833 (
+	.A(n_19237),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [26]),
+	.Y(n_20551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909838 (
+	.A(n_18426),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [21]),
+	.Y(n_20546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909841 (
+	.A(n_18127),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [8]),
+	.Y(n_20543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909844 (
+	.A(n_19452),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [26]),
+	.Y(n_20540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909845 (
+	.A(n_18376),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [11]),
+	.Y(n_20539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909846 (
+	.A(n_18639),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [1]),
+	.Y(n_20538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909847 (
+	.A(n_18215),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [14]),
+	.Y(n_20537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909848 (
+	.A(n_18537),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [11]),
+	.Y(n_20536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909850 (
+	.A(n_18604),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [14]),
+	.Y(n_20534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g909851 (
+	.A(n_17401),
+	.B(n_18138),
+	.Y(n_20533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g909852 (
+	.A(n_16871),
+	.B(n_18534),
+	.Y(n_20532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g909853 (
+	.A(n_17403),
+	.B(n_18851),
+	.Y(n_20531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909857 (
+	.A(n_19288),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [1]),
+	.Y(n_20527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909860 (
+	.A(FE_DBTN102_n_18754),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [13]),
+	.Y(n_20524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909862 (
+	.A(n_19288),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [16]),
+	.Y(n_20522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909863 (
+	.A(n_19428),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [9]),
+	.Y(n_20521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909864 (
+	.A(n_18900),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [21]),
+	.Y(n_20520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909865 (
+	.A(n_18855),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [25]),
+	.Y(n_20519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909867 (
+	.A(n_35033),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [0]),
+	.Y(n_20517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909869 (
+	.A(n_84640),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [0]),
+	.Y(n_20515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909870 (
+	.A(n_18642),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [16]),
+	.Y(n_20514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909871 (
+	.A(n_18607),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [0]),
+	.Y(n_20513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909875 (
+	.A(n_18426),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [1]),
+	.Y(n_20509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909879 (
+	.A(n_19452),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [0]),
+	.Y(n_20505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909880 (
+	.A(n_74158),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [21]),
+	.Y(n_20504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909881 (
+	.A(n_19138),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [0]),
+	.Y(n_20503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909884 (
+	.A(n_18818),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [21]),
+	.Y(n_20500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909885 (
+	.A(n_18264),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [14]),
+	.Y(n_20499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909886 (
+	.A(n_19398),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [7]),
+	.Y(n_20498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909887 (
+	.A(n_19155),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [0]),
+	.Y(n_20497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909888 (
+	.A(n_19288),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [16]),
+	.Y(n_20496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909889 (
+	.A(n_19338),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [24]),
+	.Y(n_20495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909890 (
+	.A(n_18638),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [21]),
+	.Y(n_20494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909893 (
+	.A(n_19338),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [14]),
+	.Y(n_20491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909894 (
+	.A(n_19338),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [10]),
+	.Y(n_20490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909895 (
+	.A(n_19338),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [16]),
+	.Y(n_20489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909896 (
+	.A(n_19187),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [16]),
+	.Y(n_20488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909897 (
+	.A(n_19262),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [9]),
+	.Y(n_20487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909899 (
+	.A(n_19011),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [10]),
+	.Y(n_20485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909902 (
+	.A(n_18215),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [21]),
+	.Y(n_20482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909903 (
+	.A(n_19013),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [5]),
+	.Y(n_20481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909907 (
+	.A(n_18566),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [15]),
+	.Y(n_20477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909908 (
+	.A(n_18988),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [21]),
+	.Y(n_20476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909910 (
+	.A(n_18743),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [25]),
+	.Y(n_20474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909911 (
+	.A(n_18338),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [25]),
+	.Y(n_20473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909912 (
+	.A(n_18397),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [21]),
+	.Y(n_20472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909916 (
+	.A(n_18638),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [25]),
+	.Y(n_20468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909917 (
+	.A(n_19157),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [25]),
+	.Y(n_20467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909918 (
+	.A(n_18743),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [21]),
+	.Y(n_20466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909921 (
+	.A(n_18338),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [21]),
+	.Y(n_20463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909922 (
+	.A(n_18606),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [25]),
+	.Y(n_20462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909923 (
+	.A(n_74158),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [25]),
+	.Y(n_20461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909924 (
+	.A(n_18533),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [21]),
+	.Y(n_20460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909925 (
+	.A(n_18604),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [21]),
+	.Y(n_20459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909926 (
+	.A(n_19366),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [10]),
+	.Y(n_20458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909929 (
+	.A(n_19153),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [10]),
+	.Y(n_20455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909930 (
+	.A(n_18642),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [12]),
+	.Y(n_20454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909931 (
+	.A(n_18642),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [21]),
+	.Y(n_20453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909932 (
+	.A(n_18674),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [21]),
+	.Y(n_20452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909936 (
+	.A(n_18471),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [10]),
+	.Y(n_20448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909940 (
+	.A(n_19452),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [25]),
+	.Y(n_20444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909941 (
+	.A(n_19138),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [25]),
+	.Y(n_20443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909942 (
+	.A(n_18397),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [10]),
+	.Y(n_20442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909943 (
+	.A(n_18743),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [30]),
+	.Y(n_20441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909945 (
+	.A(n_19106),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [10]),
+	.Y(n_20439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909946 (
+	.A(n_18533),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [10]),
+	.Y(n_20438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909947 (
+	.A(n_18642),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [15]),
+	.Y(n_20437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909948 (
+	.A(n_18604),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [10]),
+	.Y(n_20436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909949 (
+	.A(n_35031),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [5]),
+	.Y(n_20435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909951 (
+	.A(n_18376),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [21]),
+	.Y(n_20433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909952 (
+	.A(n_19227),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [6]),
+	.Y(n_20432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g909955 (
+	.A(n_16960),
+	.B(n_19187),
+	.Y(n_20429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909956 (
+	.A(n_19154),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [28]),
+	.Y(n_20428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909957 (
+	.A(n_18534),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [22]),
+	.Y(n_20427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909959 (
+	.A(n_18642),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [10]),
+	.Y(n_20425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909960 (
+	.A(n_18537),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [21]),
+	.Y(n_20424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909961 (
+	.A(n_19227),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [26]),
+	.Y(n_20423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909962 (
+	.A(n_18537),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [1]),
+	.Y(n_20422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909963 (
+	.A(n_18674),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [10]),
+	.Y(n_20421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909965 (
+	.A(n_19043),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [21]),
+	.Y(n_20419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909966 (
+	.A(n_19452),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [28]),
+	.Y(n_20418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909968 (
+	.A(n_18215),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [15]),
+	.Y(n_20416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909969 (
+	.A(n_18787),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [21]),
+	.Y(n_20415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909970 (
+	.A(n_18311),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [15]),
+	.Y(n_20414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909983 (
+	.A(n_18502),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [19]),
+	.Y(n_20401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909984 (
+	.A(n_19338),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [5]),
+	.Y(n_20400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909985 (
+	.A(n_18250),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [24]),
+	.Y(n_20399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909986 (
+	.A(n_35038),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [24]),
+	.Y(n_20398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909987 (
+	.A(n_74158),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [28]),
+	.Y(n_20397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909988 (
+	.A(n_18471),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [24]),
+	.Y(n_20396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909989 (
+	.A(n_19153),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [24]),
+	.Y(n_20395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909992 (
+	.A(n_35031),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [24]),
+	.Y(n_20392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909993 (
+	.A(n_18642),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [14]),
+	.Y(n_20391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909994 (
+	.A(n_19480),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [24]),
+	.Y(n_20390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g909995 (
+	.A(n_19549),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [24]),
+	.Y(n_20389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g909998 (
+	.A(n_18900),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [16]),
+	.Y(n_20386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910003 (
+	.A(n_19451),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [21]),
+	.Y(n_20381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910004 (
+	.A(n_74158),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [24]),
+	.Y(n_20380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910006 (
+	.A(n_18380),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [19]),
+	.Y(n_20378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910010 (
+	.A(n_18676),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [24]),
+	.Y(n_20374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910011 (
+	.A(n_18855),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [24]),
+	.Y(n_20373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910012 (
+	.A(n_19013),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [12]),
+	.Y(n_20372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910015 (
+	.A(n_19011),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [2]),
+	.Y(n_20369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910019 (
+	.A(n_18566),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [20]),
+	.Y(n_20365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910020 (
+	.A(n_74158),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [10]),
+	.Y(n_20364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910021 (
+	.A(n_35038),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [24]),
+	.Y(n_20363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910022 (
+	.A(n_18675),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [16]),
+	.Y(n_20362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910023 (
+	.A(n_19452),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [24]),
+	.Y(n_20361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910025 (
+	.A(n_19138),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [24]),
+	.Y(n_20359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910027 (
+	.A(FE_DBTN102_n_18754),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [12]),
+	.Y(n_20357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910028 (
+	.A(n_18127),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [8]),
+	.Y(n_20356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910032 (
+	.A(FE_DBTN102_n_18754),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [10]),
+	.Y(n_20352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910034 (
+	.A(n_18851),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [9]),
+	.Y(n_20350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910035 (
+	.A(n_18311),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [9]),
+	.Y(n_20349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910036 (
+	.A(n_74158),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [8]),
+	.Y(n_20348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910037 (
+	.A(n_18215),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [9]),
+	.Y(n_20347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910038 (
+	.A(n_18271),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [20]),
+	.Y(n_20346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910040 (
+	.A(n_19227),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [23]),
+	.Y(n_20344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910042 (
+	.A(n_18900),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [23]),
+	.Y(n_20342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910043 (
+	.A(n_18533),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [9]),
+	.Y(n_20341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910044 (
+	.A(n_19428),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [23]),
+	.Y(n_20340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910045 (
+	.A(n_18604),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [9]),
+	.Y(n_20339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910047 (
+	.A(n_19277),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [23]),
+	.Y(n_20337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910048 (
+	.A(n_18250),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [23]),
+	.Y(n_20336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910049 (
+	.A(n_18289),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [20]),
+	.Y(n_20335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910050 (
+	.A(n_19480),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [5]),
+	.Y(n_20334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910051 (
+	.A(n_18642),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [9]),
+	.Y(n_20333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910052 (
+	.A(n_19210),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [23]),
+	.Y(n_20332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910053 (
+	.A(n_18674),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [9]),
+	.Y(n_20331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910054 (
+	.A(n_18900),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [12]),
+	.Y(n_20330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910055 (
+	.A(n_18376),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [9]),
+	.Y(n_20329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910056 (
+	.A(n_18537),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [9]),
+	.Y(n_20328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910060 (
+	.A(n_18531),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [9]),
+	.Y(n_20324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910064 (
+	.A(n_19073),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [20]),
+	.Y(n_20320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910065 (
+	.A(n_19185),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [20]),
+	.Y(n_20319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910066 (
+	.A(n_18898),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [0]),
+	.Y(n_20318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910067 (
+	.A(n_18988),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [16]),
+	.Y(n_20317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910068 (
+	.A(n_18534),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [26]),
+	.Y(n_20316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910069 (
+	.A(n_18743),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [23]),
+	.Y(n_20315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910070 (
+	.A(n_18338),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [23]),
+	.Y(n_20314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910077 (
+	.A(n_18743),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [13]),
+	.Y(n_20307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g910078 (
+	.A(n_16921),
+	.B(n_35050),
+	.Y(n_20306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910079 (
+	.A(n_19157),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [21]),
+	.Y(n_20305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910081 (
+	.A(n_18743),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [21]),
+	.Y(n_20303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910082 (
+	.A(n_18910),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [8]),
+	.Y(n_20302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910085 (
+	.A(n_18537),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [15]),
+	.Y(n_20299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910086 (
+	.A(n_18215),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [7]),
+	.Y(n_20298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910087 (
+	.A(n_18565),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [8]),
+	.Y(n_20297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910088 (
+	.A(n_18785),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [1]),
+	.Y(n_20296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910089 (
+	.A(n_19338),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [8]),
+	.Y(n_20295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910094 (
+	.A(n_19138),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [28]),
+	.Y(n_20290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910095 (
+	.A(n_18534),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [20]),
+	.Y(n_20289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910096 (
+	.A(n_19451),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [16]),
+	.Y(n_20288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910097 (
+	.A(n_19138),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [14]),
+	.Y(n_20287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910098 (
+	.A(n_18215),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [1]),
+	.Y(n_20286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910099 (
+	.A(n_18311),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [1]),
+	.Y(n_20285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910101 (
+	.A(n_74158),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [8]),
+	.Y(n_20283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910102 (
+	.A(n_18533),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [8]),
+	.Y(n_20282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910103 (
+	.A(n_18855),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [22]),
+	.Y(n_20281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910104 (
+	.A(n_18604),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [8]),
+	.Y(n_20280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910105 (
+	.A(n_18910),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [22]),
+	.Y(n_20279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910107 (
+	.A(n_18441),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [26]),
+	.Y(n_20277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910108 (
+	.A(n_18676),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [22]),
+	.Y(n_20276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910109 (
+	.A(n_18642),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [8]),
+	.Y(n_20275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910112 (
+	.A(n_18674),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [8]),
+	.Y(n_20272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910113 (
+	.A(n_19452),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [8]),
+	.Y(n_20271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910115 (
+	.A(n_18376),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [15]),
+	.Y(n_20269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910116 (
+	.A(n_18376),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [8]),
+	.Y(n_20268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910117 (
+	.A(n_18537),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [8]),
+	.Y(n_20267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910120 (
+	.A(n_19013),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [22]),
+	.Y(n_20264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910122 (
+	.A(n_18502),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [22]),
+	.Y(n_20262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910127 (
+	.A(n_19157),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [30]),
+	.Y(n_20257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910128 (
+	.A(n_18338),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [28]),
+	.Y(n_20256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910130 (
+	.A(n_18376),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [20]),
+	.Y(n_20254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910131 (
+	.A(n_18537),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [20]),
+	.Y(n_20253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910135 (
+	.A(n_19452),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [22]),
+	.Y(n_20249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910136 (
+	.A(n_18533),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [23]),
+	.Y(n_20248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910137 (
+	.A(n_19138),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [22]),
+	.Y(n_20247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910139 (
+	.A(n_19043),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [20]),
+	.Y(n_20245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910142 (
+	.A(n_18502),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [5]),
+	.Y(n_20242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910143 (
+	.A(FE_DBTN102_n_18754),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [10]),
+	.Y(n_20241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910144 (
+	.A(n_18787),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [20]),
+	.Y(n_20240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910151 (
+	.A(n_18532),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [26]),
+	.Y(n_20233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910155 (
+	.A(n_19106),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [15]),
+	.Y(n_20229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910160 (
+	.A(n_18169),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [8]),
+	.Y(n_20224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910163 (
+	.A(n_85757),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [15]),
+	.Y(n_20221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910164 (
+	.A(n_18606),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [22]),
+	.Y(n_20220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910165 (
+	.A(n_74158),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [22]),
+	.Y(n_20219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910166 (
+	.A(n_18565),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [14]),
+	.Y(n_20218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910170 (
+	.A(n_19294),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [23]),
+	.Y(n_20214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910171 (
+	.A(n_18127),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [22]),
+	.Y(n_20213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910172 (
+	.A(n_18910),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [22]),
+	.Y(n_20212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g910173 (
+	.A(n_17420),
+	.B(n_18534),
+	.Y(n_20211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910174 (
+	.A(n_19428),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [10]),
+	.Y(n_20210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910175 (
+	.A(n_19436),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [9]),
+	.Y(n_20209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g910178 (
+	.A_N(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(n_29376),
+	.Y(n_29349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g910180 (
+	.A(n_20200),
+	.Y(n_20199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 g910181 (
+	.A(n_20198),
+	.Y(n_20197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g910182 (
+	.A(n_20196),
+	.Y(n_29796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910184 (
+	.A(n_18988),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [17]),
+	.Y(n_20194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910188 (
+	.A(n_19138),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [22]),
+	.Y(n_20190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910190 (
+	.A(n_35050),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [7]),
+	.Y(n_20188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910191 (
+	.A(n_18676),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [8]),
+	.Y(n_20187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910192 (
+	.A(n_18271),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [7]),
+	.Y(n_20186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910193 (
+	.A(n_19294),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [7]),
+	.Y(n_20185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910195 (
+	.A(n_18311),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [17]),
+	.Y(n_20183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910198 (
+	.A(n_19157),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [10]),
+	.Y(n_20180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910199 (
+	.A(n_18565),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [7]),
+	.Y(n_20179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910201 (
+	.A(n_18604),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [16]),
+	.Y(n_20177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910202 (
+	.A(n_19338),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [7]),
+	.Y(n_20176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910207 (
+	.A(n_18743),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [10]),
+	.Y(n_20171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910211 (
+	.A(n_18311),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [7]),
+	.Y(n_20167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g910214 (
+	.A(n_16868),
+	.B(n_19070),
+	.Y(n_20164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g910215 (
+	.A(n_17462),
+	.B(n_19153),
+	.Y(n_20163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910216 (
+	.A(n_18988),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [7]),
+	.Y(n_20162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910218 (
+	.A(n_19187),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [17]),
+	.Y(n_20160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910219 (
+	.A(n_18397),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [7]),
+	.Y(n_20159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910221 (
+	.A(n_19294),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [12]),
+	.Y(n_20157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910222 (
+	.A(n_19452),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [22]),
+	.Y(n_20156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910224 (
+	.A(n_18533),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [7]),
+	.Y(n_20154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910225 (
+	.A(n_19013),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [21]),
+	.Y(n_20153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910226 (
+	.A(n_18502),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [21]),
+	.Y(n_20152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910227 (
+	.A(n_18604),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [7]),
+	.Y(n_20151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910228 (
+	.A(n_19294),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [19]),
+	.Y(n_20150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910229 (
+	.A(n_18642),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [7]),
+	.Y(n_20149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910230 (
+	.A(n_18674),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [3]),
+	.Y(n_20148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910231 (
+	.A(n_18674),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [7]),
+	.Y(n_20147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g910232 (
+	.A(n_16997),
+	.B(n_19153),
+	.Y(n_20146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910233 (
+	.A(n_18471),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [21]),
+	.Y(n_20145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910234 (
+	.A(n_18376),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [7]),
+	.Y(n_20144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910235 (
+	.A(n_18537),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [7]),
+	.Y(n_20143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910238 (
+	.A(n_18218),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [21]),
+	.Y(n_20140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910241 (
+	.A(n_19556),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [21]),
+	.Y(n_20137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910243 (
+	.A(n_18674),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [15]),
+	.Y(n_20135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910248 (
+	.A(n_18034),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [21]),
+	.Y(n_20130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910249 (
+	.A(n_19157),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [8]),
+	.Y(n_20129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910250 (
+	.A(n_18094),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [21]),
+	.Y(n_20128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910251 (
+	.A(n_18246),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [17]),
+	.Y(n_20127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910255 (
+	.A(n_19157),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [21]),
+	.Y(n_20123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910256 (
+	.A(n_18502),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [21]),
+	.Y(n_20122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910258 (
+	.A(n_18606),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [21]),
+	.Y(n_20120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910259 (
+	.A(n_19185),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [7]),
+	.Y(n_20119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910260 (
+	.A(FE_DBTN102_n_18754),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [21]),
+	.Y(n_20118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910261 (
+	.A(n_18900),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [10]),
+	.Y(n_20117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910262 (
+	.A(n_18675),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [21]),
+	.Y(n_20116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910263 (
+	.A(n_19227),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [21]),
+	.Y(n_20115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910266 (
+	.A(n_19210),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [21]),
+	.Y(n_20112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g910268 (
+	.A(n_17583),
+	.B(n_19187),
+	.Y(n_20110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910269 (
+	.A(n_18537),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [23]),
+	.Y(n_20109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910270 (
+	.A(n_18502),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [14]),
+	.Y(n_20108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910271 (
+	.A(n_18565),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [25]),
+	.Y(n_20107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g910272 (
+	.A(n_16872),
+	.B(n_18534),
+	.Y(n_20106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910274 (
+	.A(n_18743),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [6]),
+	.Y(n_20104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910275 (
+	.A(n_18033),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [20]),
+	.Y(n_20103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910278 (
+	.A(n_19138),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [17]),
+	.Y(n_20100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910279 (
+	.A(n_19338),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [25]),
+	.Y(n_20099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910280 (
+	.A(n_19013),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [17]),
+	.Y(n_20098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910281 (
+	.A(n_19294),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [6]),
+	.Y(n_20097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910285 (
+	.A(n_18380),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [6]),
+	.Y(n_20093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910290 (
+	.A(n_19227),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [14]),
+	.Y(n_20088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910291 (
+	.A(n_18138),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [17]),
+	.Y(n_20087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910292 (
+	.A(n_19013),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [20]),
+	.Y(n_20086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910293 (
+	.A(n_18502),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [20]),
+	.Y(n_20085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910297 (
+	.A(n_18471),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [20]),
+	.Y(n_20081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910299 (
+	.A(n_35038),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [28]),
+	.Y(n_20079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910304 (
+	.A(n_18604),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [6]),
+	.Y(n_20074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910305 (
+	.A(n_19106),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [20]),
+	.Y(n_20073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910307 (
+	.A(n_18532),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [19]),
+	.Y(n_20071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910308 (
+	.A(n_19250),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [14]),
+	.Y(n_20070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910310 (
+	.A(n_19013),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [4]),
+	.Y(n_20068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910311 (
+	.A(n_35031),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [20]),
+	.Y(n_20067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910312 (
+	.A(n_39587),
+	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_q[29]),
+	.Y(n_20066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910313 (
+	.A(n_19549),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [18]),
+	.Y(n_20065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g910317 (
+	.A(n_16906),
+	.B(n_18264),
+	.Y(n_20061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910318 (
+	.A(n_18397),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [25]),
+	.Y(n_20060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910319 (
+	.A(n_18675),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [17]),
+	.Y(n_20059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910322 (
+	.A(n_18502),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [20]),
+	.Y(n_20056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910323 (
+	.A(n_74158),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [20]),
+	.Y(n_20055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910324 (
+	.A(FE_DBTN102_n_18754),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [20]),
+	.Y(n_20054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910325 (
+	.A(n_19010),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [15]),
+	.Y(n_20053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910326 (
+	.A(n_18743),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [7]),
+	.Y(n_20052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g910328 (
+	.A(n_17423),
+	.B(n_19104),
+	.Y(n_20050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910329 (
+	.A(n_18674),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [5]),
+	.Y(n_20049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910331 (
+	.A(n_74158),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [28]),
+	.Y(n_20047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910333 (
+	.A(n_18194),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [6]),
+	.Y(n_20045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910337 (
+	.A(n_19153),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [19]),
+	.Y(n_20041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910338 (
+	.A(FE_DBTN102_n_18754),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [12]),
+	.Y(n_20040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910340 (
+	.A(n_19250),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [19]),
+	.Y(n_20038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910341 (
+	.A(n_18900),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [19]),
+	.Y(n_20037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910342 (
+	.A(n_18311),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [5]),
+	.Y(n_20036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910344 (
+	.A(n_18675),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [19]),
+	.Y(n_20034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910345 (
+	.A(n_18380),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [28]),
+	.Y(n_20033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910346 (
+	.A(n_19013),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [8]),
+	.Y(n_20032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910349 (
+	.A(n_18910),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [8]),
+	.Y(n_20029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910350 (
+	.A(n_18565),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [5]),
+	.Y(n_20028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910355 (
+	.A(n_18264),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [28]),
+	.Y(n_20023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910356 (
+	.A(n_19013),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [19]),
+	.Y(n_20022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g910357 (
+	.A(n_17515),
+	.B(n_18441),
+	.Y(n_20021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910360 (
+	.A(n_18855),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [19]),
+	.Y(n_20018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910361 (
+	.A(n_39587),
+	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_q[19]),
+	.Y(n_20017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910362 (
+	.A(n_19237),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [19]),
+	.Y(n_20016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910364 (
+	.A(n_18338),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [13]),
+	.Y(n_20014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910365 (
+	.A(FE_DBTN102_n_18754),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [17]),
+	.Y(n_20013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910366 (
+	.A(n_18638),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [19]),
+	.Y(n_20012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910368 (
+	.A(n_39587),
+	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_q[17]),
+	.Y(n_20010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910369 (
+	.A(n_18380),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [5]),
+	.Y(n_20009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910370 (
+	.A(n_19294),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [5]),
+	.Y(n_20008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910372 (
+	.A(n_74158),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [17]),
+	.Y(n_20006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910375 (
+	.A(n_18533),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [16]),
+	.Y(n_20003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910376 (
+	.A(n_18818),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [25]),
+	.Y(n_20002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910378 (
+	.A(n_18565),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [13]),
+	.Y(n_20000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910379 (
+	.A(n_74158),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [12]),
+	.Y(n_19999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910381 (
+	.A(n_18606),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [17]),
+	.Y(n_19997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910382 (
+	.A(n_19157),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [7]),
+	.Y(n_19996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910383 (
+	.A(n_18094),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [19]),
+	.Y(n_19995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910384 (
+	.A(n_18639),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [19]),
+	.Y(n_19994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910386 (
+	.A(n_19277),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [22]),
+	.Y(n_19992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910387 (
+	.A(n_19428),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [19]),
+	.Y(n_19991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910388 (
+	.A(n_19338),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [13]),
+	.Y(n_19990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910389 (
+	.A(n_18533),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [5]),
+	.Y(n_19989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910390 (
+	.A(n_18215),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [25]),
+	.Y(n_19988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910394 (
+	.A(n_18988),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [25]),
+	.Y(n_19984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910396 (
+	.A(n_19138),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [4]),
+	.Y(n_19982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910398 (
+	.A(n_18426),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [18]),
+	.Y(n_19980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910399 (
+	.A(n_18533),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [15]),
+	.Y(n_19979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910400 (
+	.A(n_19138),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [9]),
+	.Y(n_19978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910401 (
+	.A(n_18743),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [13]),
+	.Y(n_19977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910402 (
+	.A(n_18380),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [4]),
+	.Y(n_19976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910403 (
+	.A(n_19501),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [18]),
+	.Y(n_19975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910404 (
+	.A(n_18271),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [4]),
+	.Y(n_19974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910405 (
+	.A(n_19294),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [4]),
+	.Y(n_19973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910406 (
+	.A(n_18311),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [18]),
+	.Y(n_19972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910407 (
+	.A(n_18674),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [25]),
+	.Y(n_19971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910410 (
+	.A(n_18397),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [18]),
+	.Y(n_19968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910417 (
+	.A(n_18127),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [17]),
+	.Y(n_19961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910418 (
+	.A(n_18215),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [4]),
+	.Y(n_19960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910419 (
+	.A(n_18676),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [17]),
+	.Y(n_19959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g910420 (
+	.A(n_16825),
+	.B(n_18441),
+	.Y(n_19958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910425 (
+	.A(n_19452),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [17]),
+	.Y(n_19953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910432 (
+	.A(n_19237),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [17]),
+	.Y(n_19946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910433 (
+	.A(n_74158),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [14]),
+	.Y(n_19945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910435 (
+	.A(n_18851),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [17]),
+	.Y(n_19943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910436 (
+	.A(n_18380),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [3]),
+	.Y(n_19942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910437 (
+	.A(n_19294),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [3]),
+	.Y(n_19941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910438 (
+	.A(n_18471),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [17]),
+	.Y(n_19940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910442 (
+	.A(n_18502),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [17]),
+	.Y(n_19936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910443 (
+	.A(n_18182),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [9]),
+	.Y(n_19935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910445 (
+	.A(n_18851),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [16]),
+	.Y(n_19933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910446 (
+	.A(n_19428),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [16]),
+	.Y(n_19932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910447 (
+	.A(n_18426),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [16]),
+	.Y(n_19931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910448 (
+	.A(n_19366),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [3]),
+	.Y(n_19930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910449 (
+	.A(n_18897),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [9]),
+	.Y(n_19929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910451 (
+	.A(n_18215),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [3]),
+	.Y(n_19927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910452 (
+	.A(n_19153),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [16]),
+	.Y(n_19926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910454 (
+	.A(n_18397),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [16]),
+	.Y(n_19924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910455 (
+	.A(n_19556),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [16]),
+	.Y(n_19923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910456 (
+	.A(n_19503),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [16]),
+	.Y(n_19922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g910457 (
+	.A_N(n_35033),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [16]),
+	.Y(n_19921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g910458 (
+	.A_N(n_19155),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [16]),
+	.Y(n_19920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910459 (
+	.A(n_18271),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [5]),
+	.Y(n_19919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910460 (
+	.A(n_19294),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [28]),
+	.Y(n_19918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910461 (
+	.A(n_35050),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [18]),
+	.Y(n_19917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910463 (
+	.A(n_19227),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [16]),
+	.Y(n_19915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910464 (
+	.A(n_18426),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [16]),
+	.Y(n_19914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910465 (
+	.A(n_19294),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [20]),
+	.Y(n_19913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910466 (
+	.A(n_18910),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [17]),
+	.Y(n_19912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g910467 (
+	.A(n_17480),
+	.B(n_18441),
+	.Y(n_19911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910468 (
+	.A(n_18855),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [17]),
+	.Y(n_19910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910469 (
+	.A(n_19010),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [20]),
+	.Y(n_19909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g910470 (
+	.A_N(\soc_top_u_top_u_core_imd_val_q_ex[0] [16]),
+	.B(n_18350),
+	.Y(n_19908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910471 (
+	.A(n_18215),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [17]),
+	.Y(n_19907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910472 (
+	.A(n_35050),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [2]),
+	.Y(n_19906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910473 (
+	.A(n_18676),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [15]),
+	.Y(n_19905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910474 (
+	.A(n_19237),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [15]),
+	.Y(n_19904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910475 (
+	.A(n_18169),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [17]),
+	.Y(n_19903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910476 (
+	.A(n_18533),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [17]),
+	.Y(n_19902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910477 (
+	.A(n_18502),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [15]),
+	.Y(n_19901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910478 (
+	.A(n_18311),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [4]),
+	.Y(n_19900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910479 (
+	.A(n_18246),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [15]),
+	.Y(n_19899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910480 (
+	.A(n_18642),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [17]),
+	.Y(n_19898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910481 (
+	.A(n_18502),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [8]),
+	.Y(n_19897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910482 (
+	.A(n_18674),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [17]),
+	.Y(n_19896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910483 (
+	.A(n_18094),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [12]),
+	.Y(n_19895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g910484 (
+	.A(n_17507),
+	.B(n_19153),
+	.Y(n_19894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910485 (
+	.A(n_18216),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [2]),
+	.Y(n_19893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910486 (
+	.A(n_18376),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [17]),
+	.Y(n_19892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910489 (
+	.A(n_18034),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [15]),
+	.Y(n_19889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910491 (
+	.A(n_18250),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [24]),
+	.Y(n_19887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910493 (
+	.A(FE_DBTN102_n_18754),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [15]),
+	.Y(n_19885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910496 (
+	.A(n_18783),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [2]),
+	.Y(n_19882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g910497 (
+	.A(n_16959),
+	.B(n_18441),
+	.Y(n_19881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910498 (
+	.A(n_19237),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [24]),
+	.Y(n_19880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910499 (
+	.A(n_18898),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [2]),
+	.Y(n_19879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910500 (
+	.A(n_18532),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [0]),
+	.Y(n_19878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910501 (
+	.A(FE_DBTN102_n_18754),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [24]),
+	.Y(n_19877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910502 (
+	.A(n_18900),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [14]),
+	.Y(n_19876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910503 (
+	.A(n_18534),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [28]),
+	.Y(n_19875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910505 (
+	.A(n_18397),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [15]),
+	.Y(n_19873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910506 (
+	.A(n_18271),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [1]),
+	.Y(n_19872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910507 (
+	.A(n_18606),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [14]),
+	.Y(n_19871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910508 (
+	.A(FE_DBTN102_n_18754),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [14]),
+	.Y(n_19870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910511 (
+	.A(n_19013),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [14]),
+	.Y(n_19867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910512 (
+	.A(n_18565),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [16]),
+	.Y(n_19866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910515 (
+	.A(n_18380),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [16]),
+	.Y(n_19863), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910517 (
+	.A(n_18535),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [2]),
+	.Y(n_19861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910518 (
+	.A(n_18532),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [14]),
+	.Y(n_19860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910521 (
+	.A(n_18606),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [14]),
+	.Y(n_19857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910522 (
+	.A(n_18988),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [18]),
+	.Y(n_19856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910526 (
+	.A(n_19452),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [14]),
+	.Y(n_19852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910527 (
+	.A(n_18674),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [14]),
+	.Y(n_19851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910528 (
+	.A(n_18250),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [8]),
+	.Y(n_19850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910529 (
+	.A(n_74158),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [15]),
+	.Y(n_19849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910531 (
+	.A(n_18534),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [24]),
+	.Y(n_19847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910532 (
+	.A(n_18441),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [28]),
+	.Y(n_19846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910533 (
+	.A(n_19157),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [13]),
+	.Y(n_19845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910536 (
+	.A(n_18169),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [9]),
+	.Y(n_19842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910539 (
+	.A(n_18311),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [16]),
+	.Y(n_19839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910540 (
+	.A(n_18215),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [18]),
+	.Y(n_19838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910542 (
+	.A(n_35050),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [0]),
+	.Y(n_19836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910543 (
+	.A(n_18215),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [16]),
+	.Y(n_19835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910546 (
+	.A(n_18642),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [25]),
+	.Y(n_19832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910547 (
+	.A(n_18094),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [15]),
+	.Y(n_19831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910548 (
+	.A(n_18402),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [0]),
+	.Y(n_19830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910549 (
+	.A(n_18441),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [24]),
+	.Y(n_19829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910550 (
+	.A(n_18605),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [0]),
+	.Y(n_19828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910552 (
+	.A(n_74158),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [13]),
+	.Y(n_19826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910553 (
+	.A(n_18216),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [0]),
+	.Y(n_19825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910554 (
+	.A(n_18641),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [0]),
+	.Y(n_19824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910557 (
+	.A(n_18034),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [5]),
+	.Y(n_19821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g910558 (
+	.A(n_17585),
+	.B(n_19070),
+	.Y(n_19820), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910560 (
+	.A(n_19154),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [15]),
+	.Y(n_19818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910561 (
+	.A(n_19154),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [18]),
+	.Y(n_19817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910567 (
+	.A(n_18532),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [24]),
+	.Y(n_19811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910568 (
+	.A(n_18606),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [12]),
+	.Y(n_19810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910569 (
+	.A(n_18502),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [12]),
+	.Y(n_19809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910570 (
+	.A(n_19010),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [0]),
+	.Y(n_19808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910572 (
+	.A(n_18532),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [28]),
+	.Y(n_19806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910576 (
+	.A(n_18034),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [12]),
+	.Y(n_19802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910577 (
+	.A(n_18851),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [12]),
+	.Y(n_19801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910578 (
+	.A(n_18604),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [25]),
+	.Y(n_19800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910579 (
+	.A(n_18471),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [12]),
+	.Y(n_19799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910581 (
+	.A(n_18271),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [15]),
+	.Y(n_19797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910582 (
+	.A(n_18537),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [17]),
+	.Y(n_19796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910584 (
+	.A(n_18533),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [25]),
+	.Y(n_19794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910585 (
+	.A(n_18289),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [15]),
+	.Y(n_19793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910588 (
+	.A(n_18271),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [23]),
+	.Y(n_19790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910590 (
+	.A(n_18127),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [30]),
+	.Y(n_19788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910591 (
+	.A(n_18910),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [30]),
+	.Y(n_19787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910593 (
+	.A(n_18311),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [21]),
+	.Y(n_19785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910596 (
+	.A(n_18988),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [15]),
+	.Y(n_19782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910598 (
+	.A(n_18426),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [9]),
+	.Y(n_19780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910600 (
+	.A(n_19452),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [9]),
+	.Y(n_19778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910602 (
+	.A(n_18604),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [15]),
+	.Y(n_19776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910604 (
+	.A(n_18606),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [28]),
+	.Y(n_19774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910606 (
+	.A(n_18606),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [10]),
+	.Y(n_19772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910607 (
+	.A(n_18565),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [10]),
+	.Y(n_19771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910608 (
+	.A(n_18604),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [27]),
+	.Y(n_19770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910609 (
+	.A(n_19277),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [28]),
+	.Y(n_19769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910610 (
+	.A(n_18218),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [0]),
+	.Y(n_19768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910613 (
+	.A(n_18855),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [4]),
+	.Y(n_19765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910614 (
+	.A(n_18855),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [27]),
+	.Y(n_19764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910615 (
+	.A(n_19338),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [26]),
+	.Y(n_19763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910616 (
+	.A(n_18502),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [5]),
+	.Y(n_19762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910618 (
+	.A(n_19187),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [18]),
+	.Y(n_19760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910620 (
+	.A(n_19277),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [26]),
+	.Y(n_19758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910625 (
+	.A(n_18604),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [23]),
+	.Y(n_19753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910626 (
+	.A(n_18565),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [26]),
+	.Y(n_19752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910627 (
+	.A(n_18910),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [25]),
+	.Y(n_19751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910628 (
+	.A(n_18642),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [23]),
+	.Y(n_19750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910629 (
+	.A(n_18674),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [23]),
+	.Y(n_19749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910630 (
+	.A(n_18676),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [9]),
+	.Y(n_19748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910631 (
+	.A(n_19187),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [2]),
+	.Y(n_19747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910632 (
+	.A(n_19210),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [28]),
+	.Y(n_19746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910634 (
+	.A(n_18250),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [28]),
+	.Y(n_19744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910635 (
+	.A(n_18471),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [28]),
+	.Y(n_19743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910640 (
+	.A(n_19013),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [12]),
+	.Y(n_19738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910643 (
+	.A(n_18743),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [9]),
+	.Y(n_19735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g910645 (
+	.A(n_16908),
+	.B(n_19153),
+	.Y(n_19733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910646 (
+	.A(n_18565),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [27]),
+	.Y(n_19732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910649 (
+	.A(n_18376),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [23]),
+	.Y(n_19729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910652 (
+	.A(n_18533),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [12]),
+	.Y(n_19726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910653 (
+	.A(n_18215),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [5]),
+	.Y(n_19725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910654 (
+	.A(n_74158),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [7]),
+	.Y(n_19724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910656 (
+	.A(n_18851),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [2]),
+	.Y(n_19722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910657 (
+	.A(n_18604),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [17]),
+	.Y(n_19721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910658 (
+	.A(n_18376),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [16]),
+	.Y(n_19720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g910661 (
+	.A(n_17608),
+	.B(n_18246),
+	.Y(n_19717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910662 (
+	.A(n_18138),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [5]),
+	.Y(n_19716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g910665 (
+	.A(n_16990),
+	.B(n_18675),
+	.Y(n_19713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910667 (
+	.A(n_35038),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [8]),
+	.Y(n_19711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910668 (
+	.A(n_18311),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [11]),
+	.Y(n_19710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910669 (
+	.A(n_18502),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [3]),
+	.Y(n_19709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910670 (
+	.A(n_18338),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [8]),
+	.Y(n_19708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910671 (
+	.A(n_19157),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [1]),
+	.Y(n_19707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910672 (
+	.A(n_18533),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [14]),
+	.Y(n_19706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910674 (
+	.A(n_18502),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [1]),
+	.Y(n_19704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910677 (
+	.A(n_19403),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [0]),
+	.Y(n_19701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910678 (
+	.A(n_74156),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [9]),
+	.Y(n_19700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g910681 (
+	.A(n_17429),
+	.B(n_19153),
+	.Y(n_19697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910683 (
+	.A(n_19138),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [26]),
+	.Y(n_19695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910684 (
+	.A(n_35050),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [23]),
+	.Y(n_19694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910685 (
+	.A(n_19288),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [9]),
+	.Y(n_19693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910686 (
+	.A(n_35038),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [26]),
+	.Y(n_19692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910687 (
+	.A(n_19187),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [9]),
+	.Y(n_19691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g910689 (
+	.A1(n_17832),
+	.A2(\soc_top_pwm_to_xbar[d_data] [15]),
+	.B1(n_35040),
+	.B2(\soc_top_timer_to_xbar[d_data] [15]),
+	.C1(n_29033),
+	.Y(n_19689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910694 (
+	.A(n_19013),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [1]),
+	.Y(n_19684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910697 (
+	.A(n_18642),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [5]),
+	.Y(n_19681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910699 (
+	.A(n_19187),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [12]),
+	.Y(n_19679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910702 (
+	.A(n_18537),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [16]),
+	.Y(n_19676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910703 (
+	.A(n_19294),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [15]),
+	.Y(n_19675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g910705 (
+	.A(\soc_top_u_top_u_core_imd_val_q_ex[0] [4]),
+	.B(n_29774),
+	.Y(n_19673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910707 (
+	.A(n_18743),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [26]),
+	.Y(n_19671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910708 (
+	.A(n_18311),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [25]),
+	.Y(n_19670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910709 (
+	.A(n_19187),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [19]),
+	.Y(n_19669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910710 (
+	.A(n_18535),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [0]),
+	.Y(n_19668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910711 (
+	.A(n_18194),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [14]),
+	.Y(n_19667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910712 (
+	.A(n_19452),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [4]),
+	.Y(n_19666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910713 (
+	.A(n_18246),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [2]),
+	.Y(n_19665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910714 (
+	.A(n_18034),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [19]),
+	.Y(n_19664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910715 (
+	.A(n_19288),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [19]),
+	.Y(n_19663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910716 (
+	.A(n_18910),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [27]),
+	.Y(n_19662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910717 (
+	.A(n_18426),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [19]),
+	.Y(n_19661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910718 (
+	.A(n_19013),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [15]),
+	.Y(n_19660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910721 (
+	.A(n_19157),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [9]),
+	.Y(n_19657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910722 (
+	.A(n_19106),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [28]),
+	.Y(n_19656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910724 (
+	.A(n_19501),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [2]),
+	.Y(n_19654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910727 (
+	.A(n_18851),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [19]),
+	.Y(n_19651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910728 (
+	.A(n_19157),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [7]),
+	.Y(n_19650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910729 (
+	.A(n_18502),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [2]),
+	.Y(n_19649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910730 (
+	.A(n_18426),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [2]),
+	.Y(n_19648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910733 (
+	.A(n_18743),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [8]),
+	.Y(n_19645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910735 (
+	.A(n_18532),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [22]),
+	.Y(n_19643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910736 (
+	.A(n_18607),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [19]),
+	.Y(n_19642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910738 (
+	.A(n_18851),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [16]),
+	.Y(n_19640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910739 (
+	.A(n_18375),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [27]),
+	.Y(n_19639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910741 (
+	.A(n_18604),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [12]),
+	.Y(n_19637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910742 (
+	.A(n_19156),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [19]),
+	.Y(n_19636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910743 (
+	.A(n_19403),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [2]),
+	.Y(n_19635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g910744 (
+	.A(n_18338),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [6]),
+	.Y(n_19634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g910745 (
+	.A1(n_17832),
+	.A2(\soc_top_pwm_to_xbar[d_data] [7]),
+	.B1(n_35040),
+	.B2(\soc_top_timer_to_xbar[d_data] [7]),
+	.C1(n_29033),
+	.Y(n_19633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g910746 (
+	.A1(n_18006),
+	.A2(n_60747),
+	.B1(n_17224),
+	.C1(n_81283),
+	.Y(n_19632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g910748 (
+	.A(n_18396),
+	.B(soc_top_u_top_u_core_alu_operator_ex[3]),
+	.Y(n_19630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g910750 (
+	.A1(n_86743),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [13]),
+	.B1(n_17832),
+	.B2(\soc_top_pwm_to_xbar[d_data] [13]),
+	.C1(n_18036),
+	.X(n_19628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g910751 (
+	.A1(n_86743),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [1]),
+	.B1(n_17832),
+	.B2(\soc_top_pwm_to_xbar[d_data] [1]),
+	.C1(n_18087),
+	.X(n_19627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g910752 (
+	.A1(n_86743),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [9]),
+	.B1(n_17832),
+	.B2(\soc_top_pwm_to_xbar[d_data] [9]),
+	.C1(n_18079),
+	.X(n_19626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g910753 (
+	.A1(n_86743),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [10]),
+	.B1(n_17832),
+	.B2(\soc_top_pwm_to_xbar[d_data] [10]),
+	.C1(n_18035),
+	.X(n_19625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g910754 (
+	.A1(n_86743),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [3]),
+	.B1(n_17832),
+	.B2(\soc_top_pwm_to_xbar[d_data] [3]),
+	.C1(n_18045),
+	.X(n_19624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g910755 (
+	.A1(n_86743),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [11]),
+	.B1(n_17832),
+	.B2(\soc_top_pwm_to_xbar[d_data] [11]),
+	.C1(n_18090),
+	.X(n_19623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g910756 (
+	.A1(n_17830),
+	.A2(\soc_top_plic_resp[d_data] [14]),
+	.B1(n_35053),
+	.B2(\soc_top_spi_to_xbar[d_data] [14]),
+	.C1(n_18067),
+	.X(n_19622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g910757 (
+	.A1(n_86743),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [8]),
+	.B1(n_17832),
+	.B2(\soc_top_pwm_to_xbar[d_data] [8]),
+	.C1(n_18072),
+	.X(n_19621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g910758 (
+	.A(n_18056),
+	.B(n_18060),
+	.Y(n_19620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g910760 (
+	.A1(soc_top_main_swith_host_lsu_err_resp_err_opcode[0]),
+	.A2(n_17019),
+	.B1(n_17831),
+	.C1(n_17827),
+	.D1(n_18093),
+	.Y(n_19618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g910761 (
+	.A1(\soc_top_gpio_to_xbarp[d_data] [31]),
+	.A2(n_86743),
+	.B1(\soc_top_plic_resp[d_data] [31]),
+	.B2(n_17830),
+	.C1(n_35040),
+	.C2(\soc_top_timer_to_xbar[d_data] [31]),
+	.Y(n_19617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g910762 (
+	.A1(\soc_top_timer_to_xbar[d_data] [23]),
+	.A2(n_35040),
+	.B1(\soc_top_gpio_to_xbarp[d_data] [23]),
+	.B2(n_86743),
+	.C1(n_17830),
+	.C2(\soc_top_plic_resp[d_data] [23]),
+	.Y(n_19616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g910763 (
+	.A1(\soc_top_gpio_to_xbarp[d_data] [21]),
+	.A2(n_86743),
+	.B1(\soc_top_plic_resp[d_data] [21]),
+	.B2(n_17830),
+	.C1(n_35040),
+	.C2(\soc_top_timer_to_xbar[d_data] [21]),
+	.Y(n_19615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g910764 (
+	.A1(\soc_top_gpio_to_xbarp[d_data] [29]),
+	.A2(n_86743),
+	.B1(\soc_top_plic_resp[d_data] [29]),
+	.B2(n_17830),
+	.C1(n_35040),
+	.C2(\soc_top_timer_to_xbar[d_data] [29]),
+	.Y(n_19614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g910765 (
+	.A1(\soc_top_gpio_to_xbarp[d_data] [17]),
+	.A2(n_86743),
+	.B1(\soc_top_plic_resp[d_data] [17]),
+	.B2(n_17830),
+	.C1(n_35040),
+	.C2(\soc_top_timer_to_xbar[d_data] [17]),
+	.Y(n_19613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g910766 (
+	.A1(\soc_top_timer_to_xbar[d_data] [25]),
+	.A2(n_35040),
+	.B1(\soc_top_gpio_to_xbarp[d_data] [25]),
+	.B2(n_86743),
+	.C1(n_17830),
+	.C2(\soc_top_plic_resp[d_data] [25]),
+	.Y(n_19612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g910767 (
+	.A1(\soc_top_gpio_to_xbarp[d_data] [28]),
+	.A2(n_86743),
+	.B1(\soc_top_plic_resp[d_data] [28]),
+	.B2(n_17830),
+	.C1(n_35040),
+	.C2(\soc_top_timer_to_xbar[d_data] [28]),
+	.Y(n_19611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g910768 (
+	.A1(\soc_top_timer_to_xbar[d_data] [20]),
+	.A2(n_35040),
+	.B1(\soc_top_gpio_to_xbarp[d_data] [20]),
+	.B2(n_86743),
+	.C1(n_17830),
+	.C2(\soc_top_plic_resp[d_data] [20]),
+	.Y(n_19610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g910769 (
+	.A1(\soc_top_gpio_to_xbarp[d_data] [22]),
+	.A2(n_86743),
+	.B1(\soc_top_plic_resp[d_data] [22]),
+	.B2(n_17830),
+	.C1(n_35040),
+	.C2(\soc_top_timer_to_xbar[d_data] [22]),
+	.Y(n_19609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g910770 (
+	.A1(\soc_top_timer_to_xbar[d_data] [30]),
+	.A2(n_35040),
+	.B1(\soc_top_gpio_to_xbarp[d_data] [30]),
+	.B2(n_86743),
+	.C1(n_17830),
+	.C2(\soc_top_plic_resp[d_data] [30]),
+	.Y(n_19608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g910771 (
+	.A1(\soc_top_gpio_to_xbarp[d_data] [18]),
+	.A2(n_86743),
+	.B1(\soc_top_plic_resp[d_data] [18]),
+	.B2(n_17830),
+	.C1(n_35040),
+	.C2(\soc_top_timer_to_xbar[d_data] [18]),
+	.Y(n_19607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g910772 (
+	.A1(\soc_top_gpio_to_xbarp[d_data] [26]),
+	.A2(n_86743),
+	.B1(\soc_top_plic_resp[d_data] [26]),
+	.B2(n_17830),
+	.C1(n_35040),
+	.C2(\soc_top_timer_to_xbar[d_data] [26]),
+	.Y(n_19606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g910773 (
+	.A1(\soc_top_gpio_to_xbarp[d_data] [19]),
+	.A2(n_86743),
+	.B1(\soc_top_plic_resp[d_data] [19]),
+	.B2(n_17830),
+	.C1(n_35040),
+	.C2(\soc_top_timer_to_xbar[d_data] [19]),
+	.Y(n_19605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g910774 (
+	.A1(\soc_top_timer_to_xbar[d_data] [27]),
+	.A2(n_35040),
+	.B1(\soc_top_gpio_to_xbarp[d_data] [27]),
+	.B2(n_86743),
+	.C1(n_17830),
+	.C2(\soc_top_plic_resp[d_data] [27]),
+	.Y(n_19604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g910775 (
+	.A1(\soc_top_timer_to_xbar[d_data] [24]),
+	.A2(n_35040),
+	.B1(\soc_top_gpio_to_xbarp[d_data] [24]),
+	.B2(n_86743),
+	.C1(n_17830),
+	.C2(\soc_top_plic_resp[d_data] [24]),
+	.Y(n_19603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g910776 (
+	.A1(\soc_top_timer_to_xbar[d_data] [16]),
+	.A2(n_35040),
+	.B1(\soc_top_gpio_to_xbarp[d_data] [16]),
+	.B2(n_86743),
+	.C1(n_17830),
+	.C2(\soc_top_plic_resp[d_data] [16]),
+	.Y(n_19602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g910777 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.B_N(n_60757),
+	.Y(n_20206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g910778 (
+	.A(\soc_top_dccm_to_xbar[d_valid] ),
+	.B(n_35443),
+	.C(n_34513),
+	.Y(n_29915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_2 g910779 (
+	.A1(soc_top_dccm_adapter_data_mem_u_sramreqfifo_n_69),
+	.A2(n_74955),
+	.B1(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.C1(n_107),
+	.Y(n_20205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_2 g910780 (
+	.A1(soc_top_dccm_adapter_data_mem_u_sramreqfifo_n_69),
+	.A2(n_17741),
+	.B1(n_711),
+	.C1(n_107),
+	.Y(n_20204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g910781 (
+	.A_N(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B(n_18048),
+	.C(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.X(n_20203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g910783 (
+	.A(n_19598),
+	.B(n_18371),
+	.Y(n_20200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g910784 (
+	.A_N(n_19601),
+	.B(n_15683),
+	.Y(n_20198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g910785 (
+	.A(n_18383),
+	.B(n_17885),
+	.Y(n_20196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g910789 (
+	.A(n_19592),
+	.Y(n_19591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g910790 (
+	.A(n_19589),
+	.Y(n_19588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g910791 (
+	.A(n_19586),
+	.Y(n_19585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g910792 (
+	.A(n_19581),
+	.Y(n_19582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g910793 (
+	.A(n_19579),
+	.Y(n_19578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g910794 (
+	.A(n_19577),
+	.Y(n_19576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g910795 (
+	.A(n_19575),
+	.Y(n_19574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g910796 (
+	.A(n_19573),
+	.Y(n_19572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g910797 (
+	.A(n_19571),
+	.Y(n_19570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g910798 (
+	.A(n_19568),
+	.Y(n_19567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g910855 (
+	.A(n_19501),
+	.Y(n_19503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g910867 (
+	.A(n_19479),
+	.Y(n_19480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 g910880 (
+	.A(n_19451),
+	.Y(n_19452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g910948 (
+	.A(n_19403),
+	.Y(n_19398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g910985 (
+	.A(n_19365),
+	.Y(n_19366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g911012 (
+	.A(n_85026),
+	.Y(n_19338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g911016 (
+	.A(n_19333),
+	.Y(n_19332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g911049 (
+	.A(n_74962),
+	.Y(n_19294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g911151 (
+	.A(n_19186),
+	.Y(n_19185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g911159 (
+	.A(n_19156),
+	.Y(n_19157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g911180 (
+	.A(n_19155),
+	.Y(n_19154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 g911225 (
+	.A(n_19107),
+	.Y(n_19106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g911227 (
+	.A(n_19104),
+	.Y(n_19103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g911254 (
+	.A(n_19075),
+	.Y(n_19073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g911259 (
+	.A(n_19070),
+	.Y(n_19069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g911283 (
+	.A(n_19044),
+	.Y(n_19043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g911298 (
+	.A(n_19012),
+	.Y(n_19013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 g911315 (
+	.A(n_19011),
+	.Y(n_19010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g911330 (
+	.A(n_18983),
+	.Y(n_18988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g911389 (
+	.A(n_18925),
+	.Y(n_18924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 g911422 (
+	.A(n_18898),
+	.Y(n_18897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g911445 (
+	.A(n_18871),
+	.Y(n_18866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g911494 (
+	.A(n_18817),
+	.Y(n_18818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g911524 (
+	.A(n_18790),
+	.Y(n_18787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g911530 (
+	.A(n_18783),
+	.Y(n_18785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g911568 (
+	.A(n_35033),
+	.Y(n_18743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g911613 (
+	.A(n_18675),
+	.Y(n_18676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_12 g911636 (
+	.A(n_18673),
+	.Y(n_18674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g911662 (
+	.A(n_18643),
+	.Y(n_18642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g911667 (
+	.A(n_18641),
+	.Y(n_18640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g911668 (
+	.A(n_18639),
+	.Y(n_18638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 g911679 (
+	.A(n_18607),
+	.Y(n_18606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 g911700 (
+	.A(n_18605),
+	.Y(n_18604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 g911737 (
+	.A(n_18566),
+	.Y(n_18565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g911755 (
+	.A(n_18536),
+	.Y(n_18537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_12 g911766 (
+	.A(n_18534),
+	.Y(n_18533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g911767 (
+	.A(n_18532),
+	.Y(n_18531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 g911796 (
+	.A(n_18501),
+	.Y(n_18502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g911895 (
+	.A(n_18402),
+	.Y(n_18397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g911896 (
+	.A(n_18032),
+	.B(soc_top_u_top_u_core_alu_operator_ex[4]),
+	.Y(n_18396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g911897 (
+	.A(n_17734),
+	.B(n_18006),
+	.Y(n_18395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g911899 (
+	.A(n_18009),
+	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_q[30]),
+	.Y(n_18393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g911901 (
+	.A(n_18028),
+	.B(n_832),
+	.Y(n_18391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g911902 (
+	.A_N(soc_top_u_top_u_core_multdiv_operator_ex[0]),
+	.B(n_34809),
+	.Y(n_29798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g911904 (
+	.A(n_18004),
+	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_q[26]),
+	.Y(n_18389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g911905 (
+	.A(n_18009),
+	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_q[29]),
+	.Y(n_18388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_2 g911906 (
+	.A(n_49544),
+	.B_N(soc_top_u_top_u_core_load_store_unit_i_rdata_q[30]),
+	.Y(n_18387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g911907 (
+	.A(n_49544),
+	.B_N(soc_top_u_top_u_core_load_store_unit_i_rdata_q[24]),
+	.Y(n_18386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g911909 (
+	.A(n_17224),
+	.B(n_17944),
+	.Y(n_18384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g911910 (
+	.A(n_29309),
+	.B(n_37),
+	.Y(n_18383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g911911 (
+	.A_N(\soc_top_u_top_u_core_imd_val_q_ex[0] [0]),
+	.B(n_34809),
+	.Y(n_31707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g911913 (
+	.A(n_39512),
+	.B(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.Y(n_18381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g911914 (
+	.A(n_34809),
+	.B(soc_top_u_top_u_core_multdiv_operator_ex[0]),
+	.Y(n_19601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g911915 (
+	.A_N(n_29375),
+	.B(n_29548),
+	.X(n_19600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g911916 (
+	.A(n_18017),
+	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.Y(n_19598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g911917 (
+	.A_N(n_17931),
+	.B(n_17691),
+	.X(n_19596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g911918 (
+	.A(n_18026),
+	.B(n_17844),
+	.X(n_19595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g911919 (
+	.A_N(n_17915),
+	.B(n_17844),
+	.X(n_19594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g911920 (
+	.A(n_18026),
+	.B(n_17691),
+	.X(n_19593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g911921 (
+	.A_N(n_18018),
+	.B(n_17836),
+	.X(n_19592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g911922 (
+	.A_N(n_17915),
+	.B(n_17835),
+	.X(n_19590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g911923 (
+	.A_N(n_17931),
+	.B(n_17836),
+	.X(n_19589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g911924 (
+	.A_N(n_17931),
+	.B(n_17844),
+	.X(n_19587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g911925 (
+	.A(n_35037),
+	.B(n_17835),
+	.X(n_19586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g911926 (
+	.A(n_35037),
+	.B(n_17691),
+	.X(n_19584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g911927 (
+	.A(n_35036),
+	.B(n_17691),
+	.X(n_19583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g911928 (
+	.A(n_18026),
+	.B(n_17836),
+	.Y(n_19581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g911929 (
+	.A_N(n_17915),
+	.B(n_17691),
+	.X(n_19580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g911930 (
+	.A(n_18026),
+	.B(n_17835),
+	.X(n_19579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g911931 (
+	.A(n_35036),
+	.B(n_17836),
+	.X(n_19577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g911932 (
+	.A(n_35036),
+	.B(n_17835),
+	.X(n_19575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g911933 (
+	.A_N(n_17931),
+	.B(n_17835),
+	.X(n_19573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g911934 (
+	.A(n_35037),
+	.B(n_17836),
+	.X(n_19571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g911935 (
+	.A_N(n_18018),
+	.B(n_17844),
+	.X(n_19569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g911936 (
+	.A_N(n_18018),
+	.B(n_17835),
+	.X(n_19568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g911937 (
+	.A_N(n_17915),
+	.B(n_17836),
+	.X(n_19566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g911938 (
+	.A_N(n_18018),
+	.B(n_17691),
+	.X(n_19565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g911939 (
+	.A(n_35036),
+	.B(n_17844),
+	.X(n_19564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g911940 (
+	.A(n_35037),
+	.B(n_17844),
+	.X(n_19563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g911941 (
+	.A(n_18017),
+	.B(n_81283),
+	.Y(n_19562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g911942 (
+	.A(n_17696),
+	.B(FE_DBTN106_n_17712),
+	.C(FE_DBTN145_soc_top_u_top_u_core_rf_raddr_b_4),
+	.Y(n_19556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g911943 (
+	.A(n_85471),
+	.B(n_17922),
+	.Y(n_19549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g911945 (
+	.A(n_17694),
+	.B(n_18013),
+	.Y(n_18380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g911946 (
+	.A(n_84636),
+	.B(n_17696),
+	.C(FE_DBTN145_soc_top_u_top_u_core_rf_raddr_b_4),
+	.Y(n_19501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g911947 (
+	.A(n_84636),
+	.B(n_17696),
+	.C(FE_DBTN145_soc_top_u_top_u_core_rf_raddr_b_4),
+	.Y(n_19479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g911948 (
+	.A(n_18011),
+	.B(n_17722),
+	.Y(n_19451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_4 g911949 (
+	.A(n_84636),
+	.B(n_17696),
+	.C(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.X(n_19436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g911950 (
+	.A(n_17696),
+	.B(n_84636),
+	.C(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.Y(n_19428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g911951 (
+	.A(n_34805),
+	.B(n_17693),
+	.Y(n_19403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g911952 (
+	.A(n_17917),
+	.B(n_17695),
+	.Y(n_19365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g911954 (
+	.A(n_17929),
+	.B(n_17695),
+	.Y(n_19333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g911957 (
+	.A(n_34811),
+	.B(n_17864),
+	.Y(n_19288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_4 g911958 (
+	.A(n_84637),
+	.B(n_17864),
+	.C(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.X(n_19277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_4 g911959 (
+	.A(n_17696),
+	.B(n_17864),
+	.C(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.X(n_19262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g911960 (
+	.A(n_17696),
+	.B(n_17864),
+	.C(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.Y(n_19250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_4 g911961 (
+	.A(n_85756),
+	.B(n_84636),
+	.C(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.X(n_19237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g911962 (
+	.A(n_84636),
+	.B(n_85756),
+	.C(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.Y(n_19227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g911963 (
+	.A(n_85756),
+	.B(FE_DBTN106_n_17712),
+	.C(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.Y(n_19210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_4 g911965 (
+	.A(FE_DBTN29_n_85472),
+	.B(FE_DBTN106_n_17712),
+	.C(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.X(n_19188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g911966 (
+	.A(n_34812),
+	.B(FE_DBTN106_n_17712),
+	.Y(n_19187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g911967 (
+	.A(n_34805),
+	.B(n_17695),
+	.Y(n_19186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g911968 (
+	.A(n_18024),
+	.B(n_85756),
+	.Y(n_19156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g911969 (
+	.A(n_18024),
+	.B(n_17696),
+	.Y(n_19155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g911970 (
+	.A(n_18011),
+	.B(FE_DBTN106_n_17712),
+	.Y(n_19153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_8 g911971 (
+	.A(n_17712),
+	.B(n_18010),
+	.Y(n_19138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g911972 (
+	.A(n_17928),
+	.B(n_17696),
+	.Y(n_19107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g911973 (
+	.A_N(n_87038),
+	.B(n_17693),
+	.Y(n_19104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g911974 (
+	.A(n_17936),
+	.B(n_17693),
+	.Y(n_19075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g911975 (
+	.A_N(n_17930),
+	.B(n_17834),
+	.Y(n_19070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g911976 (
+	.A(n_17929),
+	.B(n_17834),
+	.Y(n_19044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g911977 (
+	.A(n_84636),
+	.B(FE_DBTN29_n_85472),
+	.C(FE_DBTN145_soc_top_u_top_u_core_rf_raddr_b_4),
+	.Y(n_19012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g911978 (
+	.A(n_17925),
+	.B(n_17695),
+	.Y(n_19011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g911979 (
+	.A(n_34805),
+	.B(n_17834),
+	.Y(n_18983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g911982 (
+	.A(n_17936),
+	.B(n_17695),
+	.Y(n_18925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_4 g911983 (
+	.A(n_85756),
+	.B(n_17722),
+	.C(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.X(n_18910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g911984 (
+	.A(n_17933),
+	.B(n_17722),
+	.Y(n_18900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g911985 (
+	.A(n_18012),
+	.B(FE_DBTN105_n_17742),
+	.Y(n_18898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g911986 (
+	.A_N(n_17916),
+	.B(n_17693),
+	.Y(n_18871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_4 g911987 (
+	.A(FE_DBTN29_n_85472),
+	.B(n_17722),
+	.C(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.X(n_18855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g911988 (
+	.A(n_34812),
+	.B(n_17722),
+	.Y(n_18851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g911989 (
+	.A(n_17925),
+	.B(n_17693),
+	.Y(n_18817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g911990 (
+	.A_N(n_17930),
+	.B(FE_DBTN105_n_17742),
+	.Y(n_18790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g911991 (
+	.A(n_17929),
+	.B(FE_DBTN105_n_17742),
+	.Y(n_18783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g911992 (
+	.A(n_17928),
+	.B(n_85756),
+	.Y(n_18754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g911995 (
+	.A(n_84636),
+	.B(FE_DBTN29_n_85472),
+	.C(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.Y(n_18675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g911996 (
+	.A_N(n_17916),
+	.B(FE_DBTN105_n_17742),
+	.Y(n_18673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g911997 (
+	.A_N(n_17916),
+	.B(n_17834),
+	.Y(n_18643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g911998 (
+	.A(n_17917),
+	.B(n_17834),
+	.Y(n_18641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g911999 (
+	.A(n_18024),
+	.B(FE_DBTN29_n_85472),
+	.Y(n_18639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g912000 (
+	.A(n_17928),
+	.B(n_84637),
+	.Y(n_18607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g912001 (
+	.A(n_17936),
+	.B(FE_DBTN105_n_17742),
+	.Y(n_18605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g912004 (
+	.A(n_17925),
+	.B(FE_DBTN105_n_17742),
+	.Y(n_18536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g912005 (
+	.A_N(n_35998),
+	.B(FE_DBTN105_n_17742),
+	.Y(n_18535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g912006 (
+	.A(n_17936),
+	.B(n_17834),
+	.Y(n_18534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g912007 (
+	.A(n_18012),
+	.B(n_17834),
+	.Y(n_18532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g912008 (
+	.A(n_84636),
+	.B(n_85756),
+	.C(FE_DBTN145_soc_top_u_top_u_core_rf_raddr_b_4),
+	.Y(n_18501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_4 g912009 (
+	.A(n_85756),
+	.B(n_17864),
+	.C(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.X(n_18484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g912010 (
+	.A(n_17933),
+	.B(n_17864),
+	.Y(n_18471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g912011 (
+	.A(n_35047),
+	.B(n_35998),
+	.Y(n_18376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g912012 (
+	.A(n_17925),
+	.B(n_17834),
+	.Y(n_18441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_4 g912013 (
+	.A(FE_DBTN29_n_85472),
+	.B(n_17864),
+	.C(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.X(n_18375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g912014 (
+	.A(n_34812),
+	.B(n_17864),
+	.Y(n_18426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g912015 (
+	.A(n_34805),
+	.B(FE_DBTN105_n_17742),
+	.Y(n_18402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__bufinv_16 g912020 (
+	.A(n_65222),
+	.Y(n_18362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g912022 (
+	.A(n_18359),
+	.Y(n_18358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g912024 (
+	.A(n_18354),
+	.Y(n_18355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912025 (
+	.A(n_18352),
+	.Y(n_18351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g912026 (
+	.A(n_29774),
+	.Y(n_18350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912027 (
+	.A(n_18348),
+	.Y(n_18347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912028 (
+	.A(n_18344),
+	.Y(n_18343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g912029 (
+	.A(n_29775),
+	.Y(n_18342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g912030 (
+	.A(n_18341),
+	.Y(n_18340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_12 g912031 (
+	.A(n_35031),
+	.Y(n_18338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912032 (
+	.A(n_18337),
+	.Y(n_18335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g912054 (
+	.A(n_18313),
+	.Y(n_18315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 g912055 (
+	.A(n_18312),
+	.Y(n_18311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g912072 (
+	.A(n_18289),
+	.Y(n_18291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 g912144 (
+	.A(n_18216),
+	.Y(n_18215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 g912252 (
+	.A(n_85757),
+	.Y(n_18094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g912258 (
+	.A(n_17826),
+	.B(n_86743),
+	.C(n_35053),
+	.D(n_17830),
+	.Y(n_18093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g912261 (
+	.A1(n_17830),
+	.A2(\soc_top_plic_resp[d_data] [11]),
+	.B1(n_35053),
+	.B2(\soc_top_spi_to_xbar[d_data] [11]),
+	.X(n_18090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g912262 (
+	.A1(n_35053),
+	.A2(\soc_top_spi_to_xbar[d_data] [31]),
+	.B1(n_17826),
+	.B2(\soc_top_uart_to_xbar[d_data] [31]),
+	.Y(n_18089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g912263 (
+	.A1(n_86743),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [4]),
+	.B1(n_17832),
+	.B2(\soc_top_pwm_to_xbar[d_data] [4]),
+	.Y(n_18088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g912264 (
+	.A1(n_17830),
+	.A2(\soc_top_plic_resp[d_data] [1]),
+	.B1(n_35053),
+	.B2(\soc_top_spi_to_xbar[d_data] [1]),
+	.X(n_18087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g912265 (
+	.A1(n_17830),
+	.A2(\soc_top_plic_resp[d_data] [4]),
+	.B1(n_35053),
+	.B2(\soc_top_spi_to_xbar[d_data] [4]),
+	.Y(n_18086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g912266 (
+	.A1(n_35040),
+	.A2(\soc_top_timer_to_xbar[d_data] [4]),
+	.B1(n_17826),
+	.B2(\soc_top_uart_to_xbar[d_data] [4]),
+	.Y(n_18085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g912267 (
+	.A1(n_17830),
+	.A2(\soc_top_plic_resp[d_data] [2]),
+	.B1(n_35053),
+	.B2(\soc_top_spi_to_xbar[d_data] [2]),
+	.Y(n_18084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g912268 (
+	.A1(n_35053),
+	.A2(\soc_top_spi_to_xbar[d_data] [22]),
+	.B1(n_17826),
+	.B2(\soc_top_uart_to_xbar[d_data] [22]),
+	.Y(n_18083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g912269 (
+	.A1(n_17830),
+	.A2(\soc_top_plic_resp[d_data] [12]),
+	.B1(n_35053),
+	.B2(\soc_top_spi_to_xbar[d_data] [12]),
+	.Y(n_18082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g912270 (
+	.A1(n_35040),
+	.A2(\soc_top_timer_to_xbar[d_data] [12]),
+	.B1(n_17826),
+	.B2(\soc_top_uart_to_xbar[d_data] [12]),
+	.Y(n_18081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g912271 (
+	.A1(n_35053),
+	.A2(\soc_top_spi_to_xbar[d_data] [30]),
+	.B1(n_17826),
+	.B2(\soc_top_uart_to_xbar[d_data] [30]),
+	.Y(n_18080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g912272 (
+	.A1(n_17830),
+	.A2(\soc_top_plic_resp[d_data] [9]),
+	.B1(n_35053),
+	.B2(\soc_top_spi_to_xbar[d_data] [9]),
+	.X(n_18079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g912273 (
+	.A1(n_35053),
+	.A2(\soc_top_spi_to_xbar[d_data] [16]),
+	.B1(n_17826),
+	.B2(\soc_top_uart_to_xbar[d_data] [16]),
+	.Y(n_18078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g912274 (
+	.A1(n_86743),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [6]),
+	.B1(n_35040),
+	.B2(\soc_top_timer_to_xbar[d_data] [6]),
+	.Y(n_18077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g912275 (
+	.A1(n_17830),
+	.A2(\soc_top_plic_resp[d_data] [0]),
+	.B1(n_35053),
+	.B2(\soc_top_spi_to_xbar[d_data] [0]),
+	.Y(n_18076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g912276 (
+	.A1(n_17832),
+	.A2(\soc_top_pwm_to_xbar[d_data] [0]),
+	.B1(n_17826),
+	.B2(\soc_top_uart_to_xbar[d_data] [0]),
+	.Y(n_18075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g912277 (
+	.A1(n_35053),
+	.A2(\soc_top_spi_to_xbar[d_data] [28]),
+	.B1(n_17826),
+	.B2(\soc_top_uart_to_xbar[d_data] [28]),
+	.Y(n_18074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g912278 (
+	.A1(n_17832),
+	.A2(\soc_top_pwm_to_xbar[d_data] [6]),
+	.B1(n_17826),
+	.B2(\soc_top_uart_to_xbar[d_data] [6]),
+	.Y(n_18073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g912279 (
+	.A1(n_17830),
+	.A2(\soc_top_plic_resp[d_data] [8]),
+	.B1(n_35053),
+	.B2(\soc_top_spi_to_xbar[d_data] [8]),
+	.X(n_18072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g912280 (
+	.A1(n_35053),
+	.A2(\soc_top_spi_to_xbar[d_data] [19]),
+	.B1(n_17826),
+	.B2(\soc_top_uart_to_xbar[d_data] [19]),
+	.Y(n_18071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g912281 (
+	.A1(n_35053),
+	.A2(\soc_top_spi_to_xbar[d_data] [26]),
+	.B1(n_17826),
+	.B2(\soc_top_uart_to_xbar[d_data] [26]),
+	.Y(n_18070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g912282 (
+	.A1(n_35040),
+	.A2(\soc_top_timer_to_xbar[d_data] [2]),
+	.B1(n_17826),
+	.B2(\soc_top_uart_to_xbar[d_data] [2]),
+	.Y(n_18069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g912283 (
+	.A1(n_35053),
+	.A2(\soc_top_spi_to_xbar[d_data] [18]),
+	.B1(n_17826),
+	.B2(\soc_top_uart_to_xbar[d_data] [18]),
+	.Y(n_18068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g912284 (
+	.A1(n_17832),
+	.A2(\soc_top_pwm_to_xbar[d_data] [14]),
+	.B1(n_17826),
+	.B2(\soc_top_uart_to_xbar[d_data] [14]),
+	.X(n_18067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g912285 (
+	.A1(n_17830),
+	.A2(\soc_top_plic_resp[d_data] [6]),
+	.B1(n_35053),
+	.B2(\soc_top_spi_to_xbar[d_data] [6]),
+	.Y(n_18066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g912286 (
+	.A1(n_17832),
+	.A2(\soc_top_pwm_to_xbar[d_data] [5]),
+	.B1(n_17826),
+	.B2(\soc_top_uart_to_xbar[d_data] [5]),
+	.Y(n_18065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g912287 (
+	.A_N(n_29779),
+	.B(n_18032),
+	.Y(n_29721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g912289 (
+	.A1(n_17830),
+	.A2(\soc_top_plic_resp[d_data] [7]),
+	.B1(n_35053),
+	.B2(\soc_top_spi_to_xbar[d_data] [7]),
+	.Y(n_18063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g912292 (
+	.A1(n_17635),
+	.A2(soc_top_u_top_u_core_alu_operator_ex[0]),
+	.B1(n_29506),
+	.Y(n_18060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g912293 (
+	.A(n_17892),
+	.B(n_17893),
+	.Y(n_18059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g912294 (
+	.A1(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
+	.A2(n_16620),
+	.B1(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
+	.B2(n_16613),
+	.C1(n_17891),
+	.Y(n_18058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g912295 (
+	.A1(n_35053),
+	.A2(\soc_top_spi_to_xbar[d_data] [25]),
+	.B1(n_17826),
+	.B2(\soc_top_uart_to_xbar[d_data] [25]),
+	.Y(n_18057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912296 (
+	.A(n_17889),
+	.B(n_17872),
+	.Y(n_18056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g912297 (
+	.A1(n_35053),
+	.A2(\soc_top_spi_to_xbar[d_data] [21]),
+	.B1(n_17826),
+	.B2(\soc_top_uart_to_xbar[d_data] [21]),
+	.Y(n_18055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g912298 (
+	.A(n_17895),
+	.B(n_17896),
+	.Y(n_18054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g912299 (
+	.A1(n_35053),
+	.A2(\soc_top_spi_to_xbar[d_data] [17]),
+	.B1(n_17826),
+	.B2(\soc_top_uart_to_xbar[d_data] [17]),
+	.Y(n_18053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g912300 (
+	.A1(FE_DBTN150_soc_top_u_top_u_core_instr_rdata_alu_id_27),
+	.A2(soc_top_u_top_u_core_rf_waddr_wb[0]),
+	.B1(FE_DBTN151_soc_top_u_top_u_core_instr_rdata_alu_id_28),
+	.B2(soc_top_u_top_u_core_rf_waddr_wb[1]),
+	.C1(n_17894),
+	.Y(n_18052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g912301 (
+	.A1(n_86743),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [2]),
+	.B1(n_17832),
+	.B2(\soc_top_pwm_to_xbar[d_data] [2]),
+	.Y(n_18051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g912302 (
+	.A1(n_35053),
+	.A2(\soc_top_spi_to_xbar[d_data] [20]),
+	.B1(n_17826),
+	.B2(\soc_top_uart_to_xbar[d_data] [20]),
+	.Y(n_18050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g912303 (
+	.A1(n_86743),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [12]),
+	.B1(n_17832),
+	.B2(\soc_top_pwm_to_xbar[d_data] [12]),
+	.Y(n_18049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g912304 (
+	.A_N(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(soc_top_dccm_adapter_data_mem_u_sramreqfifo_n_69),
+	.C(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.Y(n_18048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g912305 (
+	.A1(n_35053),
+	.A2(\soc_top_spi_to_xbar[d_data] [24]),
+	.B1(n_17826),
+	.B2(\soc_top_uart_to_xbar[d_data] [24]),
+	.Y(n_18047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g912306 (
+	.A1(n_86743),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [0]),
+	.B1(n_35040),
+	.B2(\soc_top_timer_to_xbar[d_data] [0]),
+	.Y(n_18046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g912307 (
+	.A1(n_17830),
+	.A2(\soc_top_plic_resp[d_data] [3]),
+	.B1(n_35053),
+	.B2(\soc_top_spi_to_xbar[d_data] [3]),
+	.X(n_18045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g912308 (
+	.A1(n_35053),
+	.A2(\soc_top_spi_to_xbar[d_data] [23]),
+	.B1(n_17826),
+	.B2(\soc_top_uart_to_xbar[d_data] [23]),
+	.Y(n_18044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g912309 (
+	.A1(n_35053),
+	.A2(\soc_top_spi_to_xbar[d_data] [27]),
+	.B1(n_17826),
+	.B2(\soc_top_uart_to_xbar[d_data] [27]),
+	.Y(n_18043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g912310 (
+	.A1(n_86743),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [7]),
+	.B1(n_17826),
+	.B2(\soc_top_uart_to_xbar[d_data] [7]),
+	.Y(n_18042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g912311 (
+	.A1(n_17830),
+	.A2(\soc_top_plic_resp[d_data] [15]),
+	.B1(n_35053),
+	.B2(\soc_top_spi_to_xbar[d_data] [15]),
+	.Y(n_18041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g912312 (
+	.A1(n_86743),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [15]),
+	.B1(n_17826),
+	.B2(\soc_top_uart_to_xbar[d_data] [15]),
+	.Y(n_18040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g912313 (
+	.A1(n_86743),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [5]),
+	.B1(n_35040),
+	.B2(\soc_top_timer_to_xbar[d_data] [5]),
+	.Y(n_18039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g912314 (
+	.A1(n_17830),
+	.A2(\soc_top_plic_resp[d_data] [5]),
+	.B1(n_35053),
+	.B2(\soc_top_spi_to_xbar[d_data] [5]),
+	.Y(n_18038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g912315 (
+	.A1(n_35053),
+	.A2(\soc_top_spi_to_xbar[d_data] [29]),
+	.B1(n_17826),
+	.B2(\soc_top_uart_to_xbar[d_data] [29]),
+	.Y(n_18037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g912316 (
+	.A1(n_17830),
+	.A2(\soc_top_plic_resp[d_data] [13]),
+	.B1(n_35053),
+	.B2(\soc_top_spi_to_xbar[d_data] [13]),
+	.X(n_18036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g912317 (
+	.A1(n_17830),
+	.A2(\soc_top_plic_resp[d_data] [10]),
+	.B1(n_35053),
+	.B2(\soc_top_spi_to_xbar[d_data] [10]),
+	.X(n_18035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g912319 (
+	.A1(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
+	.A2(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_we_wb_q ),
+	.B1_N(n_29373),
+	.X(n_18372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g912320 (
+	.A(soc_top_dccm_adapter_data_mem_u_sramreqfifo_n_69),
+	.B(n_17899),
+	.X(n_29376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912321 (
+	.A(n_18009),
+	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.Y(n_18371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912323 (
+	.A(n_29373),
+	.B(n_17765),
+	.Y(n_18369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g912326 (
+	.A(n_18004),
+	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.X(n_18365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_4 g912328 (
+	.A_N(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B(n_17886),
+	.C(n_684),
+	.X(n_18361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_4 g912329 (
+	.A_N(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B(n_17883),
+	.C(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.X(n_18359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g912330 (
+	.A_N(n_29453),
+	.B(n_29706),
+	.X(n_18357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912331 (
+	.A(n_18021),
+	.B(n_17836),
+	.Y(n_18354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g912332 (
+	.A(n_18022),
+	.B(n_17691),
+	.X(n_18353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g912333 (
+	.A(n_18021),
+	.B(n_17835),
+	.X(n_18352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g912334 (
+	.A(soc_top_u_top_u_core_multdiv_operator_ex[1]),
+	.B(n_17966),
+	.Y(n_29774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g912335 (
+	.A(n_18022),
+	.B(n_17844),
+	.X(n_18349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g912336 (
+	.A(n_18022),
+	.B(n_17836),
+	.X(n_18348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g912337 (
+	.A(n_18021),
+	.B(n_17691),
+	.X(n_18346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g912338 (
+	.A(n_18021),
+	.B(n_17844),
+	.X(n_18345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g912339 (
+	.A(n_18022),
+	.B(n_17835),
+	.X(n_18344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g912340 (
+	.A(soc_top_u_top_u_core_multdiv_operator_ex[1]),
+	.B(n_17887),
+	.Y(n_29775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g912341 (
+	.A(n_18019),
+	.B(n_17695),
+	.Y(n_18341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g912343 (
+	.A_N(n_18020),
+	.B(n_17834),
+	.Y(n_18337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g912344 (
+	.A(n_18019),
+	.B(n_17834),
+	.Y(n_18313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g912345 (
+	.A(n_34808),
+	.B(n_17834),
+	.Y(n_18312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g912346 (
+	.A(n_17692),
+	.B(n_18020),
+	.Y(n_18289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g912347 (
+	.A(n_34808),
+	.B(n_17693),
+	.X(n_18271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g912348 (
+	.A(n_34808),
+	.B(n_17693),
+	.Y(n_18264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_4 g912349 (
+	.A(n_84637),
+	.B(FE_DBTN106_n_17712),
+	.C(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.X(n_18250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g912350 (
+	.A(n_34811),
+	.B(FE_DBTN106_n_17712),
+	.Y(n_18246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g912351 (
+	.A(n_17854),
+	.B(n_17922),
+	.Y(n_18034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g912352 (
+	.A(FE_DBTN145_soc_top_u_top_u_core_rf_raddr_b_4),
+	.B(n_84637),
+	.C(FE_DBTN106_n_17712),
+	.Y(n_18218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g912353 (
+	.A(n_34808),
+	.B(FE_DBTN105_n_17742),
+	.Y(n_18216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g912354 (
+	.A(n_17742),
+	.B(n_18020),
+	.Y(n_18033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g912355 (
+	.A(n_18019),
+	.B(FE_DBTN105_n_17742),
+	.Y(n_18194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g912356 (
+	.A(n_34811),
+	.B(n_84636),
+	.Y(n_18182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g912357 (
+	.A(n_34811),
+	.B(n_84636),
+	.X(n_18169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g912358 (
+	.A(n_34808),
+	.B(n_17695),
+	.X(n_18154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g912359 (
+	.A(n_17695),
+	.B(n_34808),
+	.Y(n_18148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g912360 (
+	.A(n_34811),
+	.B(n_17722),
+	.Y(n_18138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_4 g912361 (
+	.A(n_84637),
+	.B(n_17722),
+	.C(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.X(n_18127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912363 (
+	.A(n_18031),
+	.Y(n_18030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912365 (
+	.A(n_15683),
+	.Y(n_29615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g912367 (
+	.A(n_17951),
+	.Y(n_18024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912369 (
+	.A(n_18020),
+	.Y(n_18019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912373 (
+	.A(n_18013),
+	.Y(n_18012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g912374 (
+	.A(n_18010),
+	.Y(n_18011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912376 (
+	.A(n_18007),
+	.Y(n_18006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912378 (
+	.A(n_57067),
+	.Y(n_18001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912380 (
+	.A(n_17999),
+	.Y(n_29776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g912408 (
+	.A(n_17396),
+	.B(n_17765),
+	.Y(n_17972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g912409 (
+	.A(FE_DBTN104_n_17765),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[12]),
+	.Y(n_17971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g912410 (
+	.A(n_16689),
+	.B(n_17765),
+	.Y(n_17970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g912412 (
+	.A(n_17368),
+	.B(n_17765),
+	.Y(n_17968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g912414 (
+	.A(n_37),
+	.B(n_17874),
+	.Y(n_17966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912417 (
+	.A(FE_DBTN104_n_17765),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[30]),
+	.Y(n_17963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912418 (
+	.A(n_85471),
+	.B(n_16613),
+	.Y(n_17962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 g912423 (
+	.A1(soc_top_u_dccm_rdata3[7]),
+	.A2(soc_top_u_dccm_bank_sel[0]),
+	.B1_N(n_39513),
+	.X(n_17957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g912424 (
+	.A(n_17854),
+	.B(soc_top_u_top_u_core_rf_waddr_wb[0]),
+	.Y(n_17956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912425 (
+	.A(n_17719),
+	.B(n_16613),
+	.Y(n_17955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912428 (
+	.A(n_34952),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
+	.Y(n_29309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g912429 (
+	.A(n_17730),
+	.B(soc_top_u_top_u_core_alu_operator_ex[2]),
+	.Y(n_18032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g912430 (
+	.A(n_17734),
+	.B(n_60747),
+	.Y(n_18031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g912431 (
+	.A_N(n_77419),
+	.B(soc_top_u_top_u_core_fp_alu_operator[0]),
+	.Y(n_18029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912432 (
+	.A(n_17736),
+	.B(n_17872),
+	.Y(n_18028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g912433 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [0]),
+	.B(n_17874),
+	.Y(n_15683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g912434 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.B(n_17733),
+	.Y(n_18026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g912436 (
+	.A(n_17864),
+	.B(FE_DBTN145_soc_top_u_top_u_core_rf_raddr_b_4),
+	.Y(n_17951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g912438 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.B_N(n_17873),
+	.Y(n_18022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g912439 (
+	.A(FE_DBTN153_soc_top_u_top_u_core_instr_rdata_alu_id_30),
+	.B(n_17733),
+	.Y(n_18021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g912440 (
+	.A(n_17875),
+	.B(FE_DBTN146_soc_top_u_top_u_core_instr_rdata_alu_id_16),
+	.Y(n_18020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912441 (
+	.A(n_17873),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.Y(n_18018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g912442 (
+	.A(n_17871),
+	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[0]),
+	.X(n_18017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_2 g912443 (
+	.A_N(FE_DBTN146_soc_top_u_top_u_core_instr_rdata_alu_id_16),
+	.B(FE_DBTN148_soc_top_u_top_u_core_instr_rdata_alu_id_18),
+	.C(FE_DBTN147_soc_top_u_top_u_core_instr_rdata_alu_id_17),
+	.Y(n_18013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g912444 (
+	.A(n_17696),
+	.B(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.Y(n_18010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g912445 (
+	.A_N(n_17729),
+	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[0]),
+	.X(n_18009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g912446 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[0]),
+	.B(n_17729),
+	.Y(n_18007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g912447 (
+	.A_N(n_17871),
+	.B(n_17178),
+	.X(n_18005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g912448 (
+	.A_N(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[0]),
+	.B(n_17871),
+	.X(n_18004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g912451 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
+	.B(n_29308),
+	.Y(n_17999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g912460 (
+	.A(n_87038),
+	.Y(n_17936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912462 (
+	.A(n_17932),
+	.Y(n_17933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912463 (
+	.A(n_17930),
+	.Y(n_17929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 g912464 (
+	.A(n_17927),
+	.Y(n_17928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g912465 (
+	.A(n_35998),
+	.Y(n_17925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912472 (
+	.A(n_17916),
+	.Y(n_17917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g912480 (
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[15]),
+	.A2(soc_top_u_top_u_core_rf_wdata_fwd_wb[14]),
+	.B1(FE_DBTN104_n_17765),
+	.Y(n_17905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g912481 (
+	.A(soc_top_u_top_u_core_rf_waddr_wb[2]),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.Y(n_17904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g912483 (
+	.A(soc_top_u_top_u_core_rf_waddr_wb[2]),
+	.B(FE_DBTN147_soc_top_u_top_u_core_instr_rdata_alu_id_17),
+	.X(n_17902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g912484 (
+	.A0(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.A1(FE_DBTN145_soc_top_u_top_u_core_rf_raddr_b_4),
+	.S(soc_top_u_top_u_core_rf_waddr_wb[4]),
+	.Y(n_17901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g912485 (
+	.A(n_17639),
+	.B(n_17737),
+	.Y(n_17900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g912486 (
+	.A(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.Y(n_17899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g912488 (
+	.A1(n_17617),
+	.A2(soc_top_u_dccm_bank_sel[0]),
+	.B1(n_17641),
+	.Y(n_17897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g912489 (
+	.A1_N(soc_top_u_top_u_core_rf_waddr_wb[1]),
+	.A2_N(FE_DBTN146_soc_top_u_top_u_core_instr_rdata_alu_id_16),
+	.B1(n_16613),
+	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[15]),
+	.Y(n_17896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g912490 (
+	.A1_N(soc_top_u_top_u_core_rf_waddr_wb[4]),
+	.A2_N(n_12096),
+	.B1(n_16615),
+	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[18]),
+	.Y(n_17895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g912491 (
+	.A1(FE_DBTN153_soc_top_u_top_u_core_instr_rdata_alu_id_30),
+	.A2(soc_top_u_top_u_core_rf_waddr_wb[3]),
+	.B1(FE_DBTN157_soc_top_u_top_u_core_instr_rdata_id_31),
+	.B2(soc_top_u_top_u_core_rf_waddr_wb[4]),
+	.X(n_17894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g912492 (
+	.A1(soc_top_u_top_u_core_rf_waddr_wb[1]),
+	.A2(FE_DBTN146_soc_top_u_top_u_core_instr_rdata_alu_id_16),
+	.B1(soc_top_u_top_u_core_rf_waddr_wb[0]),
+	.B2(n_12101),
+	.Y(n_17893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g912494 (
+	.A1(soc_top_u_top_u_core_rf_waddr_wb[4]),
+	.A2(n_12096),
+	.B1(soc_top_u_top_u_core_rf_waddr_wb[3]),
+	.B2(FE_DBTN148_soc_top_u_top_u_core_instr_rdata_alu_id_18),
+	.Y(n_17892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g912495 (
+	.A1(soc_top_u_top_u_core_rf_waddr_wb[4]),
+	.A2(FE_DBTN157_soc_top_u_top_u_core_instr_rdata_id_31),
+	.B1(soc_top_u_top_u_core_rf_waddr_wb[3]),
+	.B2(FE_DBTN153_soc_top_u_top_u_core_instr_rdata_alu_id_30),
+	.Y(n_17891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912497 (
+	.A(n_773),
+	.B(n_17647),
+	.Y(n_17889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g912499 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
+	.C(n_37),
+	.Y(n_17887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912500 (
+	.A(n_17750),
+	.B(n_35445),
+	.Y(n_17886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_2 g912501 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
+	.A2(n_37),
+	.B1(soc_top_u_top_u_core_multdiv_operator_ex[1]),
+	.X(n_17885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g912502 (
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[30]),
+	.A2(soc_top_u_top_u_core_rf_wdata_fwd_wb[25]),
+	.B1(FE_DBTN104_n_17765),
+	.Y(n_17884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g912503 (
+	.A_N(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
+	.B(n_35445),
+	.C(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Y(n_17883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g912504 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[0]),
+	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.C(n_17879),
+	.X(n_17947), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g912506 (
+	.A_N(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(n_35445),
+	.C(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
+	.Y(n_29706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_2 g912507 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[0]),
+	.B(n_81283),
+	.C(n_17879),
+	.Y(n_17945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g912508 (
+	.A(n_35445),
+	.B(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
+	.C(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Y(n_29548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912510 (
+	.A(n_60748),
+	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.Y(n_17944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912511 (
+	.A(n_17755),
+	.B(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
+	.Y(n_29373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g912514 (
+	.A(n_85756),
+	.B(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.Y(n_17932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912515 (
+	.A(n_17739),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.Y(n_17931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g912516 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[17]),
+	.B(FE_DBTN146_soc_top_u_top_u_core_instr_rdata_alu_id_16),
+	.C(FE_DBTN148_soc_top_u_top_u_core_instr_rdata_alu_id_18),
+	.Y(n_17930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g912517 (
+	.A(n_17722),
+	.B(FE_DBTN145_soc_top_u_top_u_core_rf_raddr_b_4),
+	.Y(n_17927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g912519 (
+	.A(FE_DBTN106_n_17712),
+	.B(FE_DBTN145_soc_top_u_top_u_core_rf_raddr_b_4),
+	.Y(n_17922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g912520 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[18]),
+	.B(FE_DBTN146_soc_top_u_top_u_core_instr_rdata_alu_id_16),
+	.C(FE_DBTN147_soc_top_u_top_u_core_instr_rdata_alu_id_17),
+	.Y(n_17916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912521 (
+	.A(n_17731),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.Y(n_17915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_2 g912525 (
+	.A1_N(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [2]),
+	.A2_N(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [2]),
+	.B1(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [2]),
+	.B2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [2]),
+	.X(soc_top_dccm_adapter_data_mem_u_sramreqfifo_n_69), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912528 (
+	.A(n_17747),
+	.Y(n_17877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912530 (
+	.A(n_17745),
+	.Y(n_17875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912543 (
+	.A(n_84637),
+	.Y(n_17854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 g912562 (
+	.A(n_35047),
+	.Y(n_17834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912563 (
+	.A(n_17832),
+	.Y(n_17831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912564 (
+	.A(n_35040),
+	.Y(n_17827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912586 (
+	.A(n_17802),
+	.Y(n_17808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912625 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [25]),
+	.Y(n_17764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912627 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [13]),
+	.Y(n_17762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912629 (
+	.A(n_17203),
+	.B(soc_top_u_top_u_core_rf_waddr_wb[3]),
+	.Y(n_17760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912630 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [31]),
+	.Y(n_17759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912631 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [11]),
+	.Y(n_17758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912632 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [5]),
+	.Y(n_17757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912633 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [8]),
+	.Y(n_17756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g912635 (
+	.A(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [1]),
+	.B(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [0]),
+	.Y(n_17755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912636 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [15]),
+	.Y(n_17754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g912637 (
+	.A_N(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
+	.B(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]),
+	.Y(n_29303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912638 (
+	.A(soc_top_u_dccm_bank_sel[0]),
+	.B(soc_top_u_dccm_rdata2[23]),
+	.Y(n_17753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912639 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [4]),
+	.Y(n_17752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912641 (
+	.A(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
+	.B(n_684),
+	.Y(n_29355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912642 (
+	.A(soc_top_u_top_u_core_rf_raddr_b[3]),
+	.B(n_16615),
+	.Y(n_17751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g912643 (
+	.A(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
+	.Y(n_17750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912644 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [27]),
+	.Y(n_17749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912645 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [14]),
+	.Y(n_17748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g912646 (
+	.A_N(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
+	.Y(n_29453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912647 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_data_sign_ext_q),
+	.B(soc_top_u_top_u_core_load_store_unit_i_data_type_q[1]),
+	.Y(n_17879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g912648 (
+	.A(soc_top_u_top_u_core_use_fp_rs2),
+	.B(soc_top_u_top_u_core_is_fp_instr),
+	.Y(n_17747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912650 (
+	.A(FE_DBTN148_soc_top_u_top_u_core_instr_rdata_alu_id_18),
+	.B(FE_DBTN147_soc_top_u_top_u_core_instr_rdata_alu_id_17),
+	.Y(n_17745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912651 (
+	.A(n_29600),
+	.B(n_34822),
+	.Y(n_17744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g912652 (
+	.A(FE_DBTN144_soc_top_u_top_u_core_alu_operator_ex_1),
+	.B(n_17230),
+	.Y(n_29506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g912653 (
+	.A(n_16705),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
+	.Y(n_17874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g912654 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.B(soc_top_u_top_u_core_instr_rdata_id[31]),
+	.Y(n_17873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912655 (
+	.A(soc_top_u_top_u_core_multdiv_operator_ex[1]),
+	.B(n_37),
+	.Y(n_29308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912656 (
+	.A(soc_top_u_top_u_core_alu_operator_ex[5]),
+	.B(soc_top_u_top_u_core_alu_operator_ex[4]),
+	.Y(n_17872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g912657 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_data_type_q[1]),
+	.B(soc_top_u_top_u_core_load_store_unit_i_data_type_q[0]),
+	.Y(n_17871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g912661 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
+	.Y(n_17844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912662 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[19]),
+	.B(n_12101),
+	.Y(n_17742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g912663 (
+	.A(FE_DBTN151_soc_top_u_top_u_core_instr_rdata_alu_id_28),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
+	.Y(n_17836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g912664 (
+	.A(FE_DBTN151_soc_top_u_top_u_core_instr_rdata_alu_id_28),
+	.B(FE_DBTN150_soc_top_u_top_u_core_instr_rdata_alu_id_27),
+	.Y(n_17835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_4 g912666 (
+	.A(n_29606),
+	.B_N(\soc_top_pwm_to_xbar[d_opcode] [0]),
+	.Y(n_17832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_8 g912667 (
+	.A(n_17613),
+	.B(n_29608),
+	.Y(n_17830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_8 g912670 (
+	.A(n_17614),
+	.B(n_29604),
+	.Y(n_17826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g912672 (
+	.A(soc_top_u_top_u_core_fp_alu_operator[2]),
+	.B(soc_top_u_top_u_core_fp_alu_operator[3]),
+	.Y(n_17802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912673 (
+	.A(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
+	.B(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.fp_rf_we_wb_q ),
+	.Y(n_17765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912676 (
+	.A(n_17633),
+	.Y(n_17737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 g912706 (
+	.A(n_85471),
+	.Y(n_17696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g912711 (
+	.A(n_17694),
+	.Y(n_17695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 g912712 (
+	.A(n_17692),
+	.Y(n_17693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g912714 (
+	.A(n_80345),
+	.Y(n_17688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g912742 (
+	.A(n_34952),
+	.Y(n_227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912745 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [6]),
+	.Y(n_17657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912746 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [20]),
+	.Y(n_17656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912747 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [10]),
+	.Y(n_17655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912748 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [24]),
+	.Y(n_17654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g912749 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [17]),
+	.X(n_17653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912750 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [16]),
+	.Y(n_17652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g912751 (
+	.A(n_17238),
+	.B(soc_top_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec[0]),
+	.Y(n_17651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g912752 (
+	.A_N(soc_top_u_top_u_core_rf_waddr_wb[2]),
+	.B(soc_top_u_top_u_core_rf_raddr_b[2]),
+	.Y(n_17650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912753 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [28]),
+	.Y(n_17649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912754 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [22]),
+	.Y(n_17648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g912755 (
+	.A(soc_top_u_top_u_core_alu_operator_ex[3]),
+	.B(soc_top_u_top_u_core_alu_operator_ex[0]),
+	.Y(n_17647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912756 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [19]),
+	.Y(n_17646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912757 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [30]),
+	.Y(n_17645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912758 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [21]),
+	.Y(n_17644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912759 (
+	.A(n_17186),
+	.B(soc_top_u_top_u_core_rf_waddr_wb[2]),
+	.Y(n_17643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912760 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [23]),
+	.Y(n_17642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912761 (
+	.A(soc_top_u_dccm_bank_sel[0]),
+	.B(soc_top_u_dccm_rdata2[15]),
+	.Y(n_17641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912762 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [26]),
+	.Y(n_17640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g912763 (
+	.A(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.X(n_17741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g912764 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]),
+	.B(FE_DBTN141_soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs_2),
+	.Y(n_17639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912765 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [29]),
+	.Y(n_17638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912768 (
+	.A(n_16635),
+	.B(soc_top_u_top_u_core_alu_operator_ex[2]),
+	.Y(n_17635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g912769 (
+	.A(soc_top_u_top_u_core_instr_rdata_id[31]),
+	.B(FE_DBTN152_soc_top_u_top_u_core_instr_rdata_alu_id_29),
+	.Y(n_17739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912771 (
+	.A(FE_DBTN141_soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs_2),
+	.B(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]),
+	.Y(n_17633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912773 (
+	.A(n_16635),
+	.B(n_17230),
+	.Y(n_17736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912774 (
+	.A(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
+	.Y(n_29375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912776 (
+	.A(n_81283),
+	.B(soc_top_u_top_u_core_load_store_unit_i_data_sign_ext_q),
+	.Y(n_17734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912777 (
+	.A(soc_top_u_top_u_core_instr_rdata_id[31]),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.Y(n_17733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g912778 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.B(FE_DBTN157_soc_top_u_top_u_core_instr_rdata_id_31),
+	.Y(n_17731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g912779 (
+	.A(FE_DBTN144_soc_top_u_top_u_core_alu_operator_ex_1),
+	.B(n_832),
+	.Y(n_17730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912780 (
+	.A(soc_top_u_top_u_core_fp_alu_operator[0]),
+	.B(soc_top_u_top_u_core_fp_alu_operator[1]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_n_32 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g912782 (
+	.A(n_16635),
+	.B(soc_top_u_top_u_core_alu_operator_ex[4]),
+	.Y(n_29779), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g912783 (
+	.A_N(soc_top_u_top_u_core_load_store_unit_i_data_type_q[1]),
+	.B(soc_top_u_top_u_core_load_store_unit_i_data_type_q[0]),
+	.Y(n_17729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g912784 (
+	.A(n_17186),
+	.B(soc_top_u_top_u_core_rf_raddr_b[3]),
+	.Y(n_17631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g912785 (
+	.A(n_17203),
+	.B(soc_top_u_top_u_core_rf_raddr_b[2]),
+	.X(n_17722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g912786 (
+	.A(FE_DBTN156_soc_top_u_top_u_core_instr_rdata_id_20),
+	.B(soc_top_u_top_u_core_rf_raddr_b[1]),
+	.Y(n_17719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g912787 (
+	.A(n_17186),
+	.B(n_17203),
+	.Y(n_17712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g912789 (
+	.A(n_12101),
+	.B(n_12096),
+	.Y(n_17694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g912790 (
+	.A_N(n_12101),
+	.B(n_12096),
+	.Y(n_17692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g912791 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
+	.B(FE_DBTN150_soc_top_u_top_u_core_instr_rdata_alu_id_27),
+	.Y(n_17691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912795 (
+	.A(soc_top_u_dccm_rdata1[5]),
+	.Y(n_17630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912796 (
+	.A(soc_top_u_dccm_rdata4[7]),
+	.Y(n_17629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g912797 (
+	.A(soc_top_u_dccm_rdata2[29]),
+	.Y(n_17628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912799 (
+	.A(soc_top_u_dccm_rdata2[27]),
+	.Y(n_17626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g912800 (
+	.A(soc_top_u_dccm_rdata4[29]),
+	.Y(n_17625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912801 (
+	.A(soc_top_u_dccm_rdata1[29]),
+	.Y(n_17624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912802 (
+	.A(soc_top_u_dccm_rdata4[11]),
+	.Y(n_17623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912803 (
+	.A(soc_top_u_top_u_core_pc_id[1]),
+	.Y(n_17622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912804 (
+	.A(soc_top_u_top_u_core_instr_rdata_id[11]),
+	.Y(n_17621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912805 (
+	.A(soc_top_u_dccm_rdata3[12]),
+	.Y(n_17620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912806 (
+	.A(soc_top_u_top_u_core_instr_rdata_id[9]),
+	.Y(n_17619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912807 (
+	.A(soc_top_u_top_u_core_instr_rdata_id[10]),
+	.Y(n_17618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912808 (
+	.A(soc_top_u_dccm_rdata1[15]),
+	.Y(n_17617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912811 (
+	.A(\soc_top_uart_to_xbar[d_opcode] [0]),
+	.Y(n_17614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912812 (
+	.A(\soc_top_plic_resp[d_opcode] [0]),
+	.Y(n_17613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912813 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [23]),
+	.Y(n_17612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912815 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [18]),
+	.Y(n_17610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912816 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [10]),
+	.Y(n_17609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912817 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [1]),
+	.Y(n_17608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912818 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [10]),
+	.Y(n_17607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912819 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [27]),
+	.Y(n_17606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912820 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [2]),
+	.Y(n_17605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g912821 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [11]),
+	.Y(n_17604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912822 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [18]),
+	.Y(n_17603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912823 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [4]),
+	.Y(n_17602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912824 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [10]),
+	.Y(n_17601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912825 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [3]),
+	.Y(n_17600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g912826 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [18]),
+	.Y(n_17599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912827 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [10]),
+	.Y(n_17598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912828 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [18]),
+	.Y(n_17597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912829 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [10]),
+	.Y(n_17596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912830 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [10]),
+	.Y(n_17595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g912831 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [15]),
+	.Y(n_17594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912832 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [26]),
+	.Y(n_17593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912833 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [6]),
+	.Y(n_17592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g912834 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [10]),
+	.Y(n_17591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912835 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [1]),
+	.Y(n_17590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g912836 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [15]),
+	.Y(n_17589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g912837 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [30]),
+	.Y(n_17588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912838 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [27]),
+	.Y(n_17587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g912839 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [18]),
+	.Y(n_17586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912840 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [0]),
+	.Y(n_17585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912841 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [23]),
+	.Y(n_17584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912842 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [21]),
+	.Y(n_17583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912843 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [7]),
+	.Y(n_17582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912844 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [11]),
+	.Y(n_17581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912845 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [21]),
+	.Y(n_17580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912846 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [7]),
+	.Y(n_17579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912847 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [28]),
+	.Y(n_17578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912848 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [0]),
+	.Y(n_17577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912849 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [16]),
+	.Y(n_17576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g912850 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [30]),
+	.Y(n_17575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912851 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [14]),
+	.Y(n_17574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912852 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [5]),
+	.Y(n_17573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912853 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [5]),
+	.Y(n_17572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g912854 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [11]),
+	.Y(n_17571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912855 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [25]),
+	.Y(n_17570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g912856 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [18]),
+	.Y(n_17569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912857 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [27]),
+	.Y(n_17568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912858 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [24]),
+	.Y(n_17567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912859 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [23]),
+	.Y(n_17566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912860 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [3]),
+	.Y(n_17565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912861 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [1]),
+	.Y(n_17564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912862 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [23]),
+	.Y(n_17563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912863 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [24]),
+	.Y(n_17562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912864 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [23]),
+	.Y(n_17561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912865 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [27]),
+	.Y(n_17560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912866 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [13]),
+	.Y(n_17559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912867 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [18]),
+	.Y(n_17558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912868 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [1]),
+	.Y(n_17557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912869 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [5]),
+	.Y(n_17556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912870 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [25]),
+	.Y(n_17555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912871 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [5]),
+	.Y(n_17554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912872 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [12]),
+	.Y(n_17553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912873 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [3]),
+	.Y(n_17552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912874 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [3]),
+	.Y(n_17551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912875 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [16]),
+	.Y(n_17550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g912876 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [17]),
+	.Y(n_17549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912877 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [6]),
+	.Y(n_17548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912878 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [3]),
+	.Y(n_17547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912879 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [16]),
+	.Y(n_17546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912880 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [6]),
+	.Y(n_17545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912881 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [6]),
+	.Y(n_17544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912882 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [27]),
+	.Y(n_17543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912883 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [6]),
+	.Y(n_17542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g912884 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [17]),
+	.Y(n_17541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912885 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [6]),
+	.Y(n_17540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912886 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [27]),
+	.Y(n_17539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912887 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [15]),
+	.Y(n_17538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912888 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [23]),
+	.Y(n_17537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912890 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [24]),
+	.Y(n_17535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912891 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [21]),
+	.Y(n_17534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912892 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [22]),
+	.Y(n_17533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g912893 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [19]),
+	.Y(n_17532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912894 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [17]),
+	.Y(n_17531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912895 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [7]),
+	.Y(n_17530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912896 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [22]),
+	.Y(n_17529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912897 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [5]),
+	.Y(n_17528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912898 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [16]),
+	.Y(n_17527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912899 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [4]),
+	.Y(n_17526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912900 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [2]),
+	.Y(n_17525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912901 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [26]),
+	.Y(n_17524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912903 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [27]),
+	.Y(n_17522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g912904 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [9]),
+	.Y(n_17521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912905 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [2]),
+	.Y(n_17520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912906 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [28]),
+	.Y(n_17519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912907 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [10]),
+	.Y(n_17518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912908 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [6]),
+	.Y(n_17517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912909 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [23]),
+	.Y(n_17516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g912910 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [13]),
+	.Y(n_17515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912911 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [16]),
+	.Y(n_17514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912912 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [3]),
+	.Y(n_17513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g912913 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [15]),
+	.Y(n_17512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912914 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [0]),
+	.Y(n_17511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g912916 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [29]),
+	.Y(n_17509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912917 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [25]),
+	.Y(n_17508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912918 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [15]),
+	.Y(n_17507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912919 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [0]),
+	.Y(n_17506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g912920 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [17]),
+	.Y(n_17505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912921 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [21]),
+	.Y(n_17504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912922 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [9]),
+	.Y(n_17503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g912923 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [14]),
+	.Y(n_17502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912924 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [23]),
+	.Y(n_17501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912925 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [25]),
+	.Y(n_17500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g912926 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [17]),
+	.Y(n_17499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912927 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [9]),
+	.Y(n_17498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g912928 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [2]),
+	.Y(n_17497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912929 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [3]),
+	.Y(n_17496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912930 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [13]),
+	.Y(n_17495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g912931 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [25]),
+	.Y(n_17494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912932 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [23]),
+	.Y(n_17493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912933 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [6]),
+	.Y(n_17492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912934 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [6]),
+	.Y(n_17491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912935 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [30]),
+	.Y(n_17490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912936 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [1]),
+	.Y(n_17489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912937 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [12]),
+	.Y(n_17488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912938 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [6]),
+	.Y(n_17487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912939 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [3]),
+	.Y(n_17486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912940 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [23]),
+	.Y(n_17485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912941 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [18]),
+	.Y(n_17484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912942 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [20]),
+	.Y(n_17483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912943 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [2]),
+	.Y(n_17482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g912944 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [11]),
+	.Y(n_17481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912945 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [14]),
+	.Y(n_17480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912946 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [13]),
+	.Y(n_17479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912947 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [23]),
+	.Y(n_17478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912948 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [13]),
+	.Y(n_17477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912949 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [13]),
+	.Y(n_17476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912950 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [5]),
+	.Y(n_17475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912951 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [25]),
+	.Y(n_17474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912952 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [16]),
+	.Y(n_17473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912953 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [1]),
+	.Y(n_17472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912954 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [14]),
+	.Y(n_17471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g912955 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [9]),
+	.Y(n_17470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912956 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [25]),
+	.Y(n_17469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912957 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [14]),
+	.Y(n_17468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912958 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [5]),
+	.Y(n_17467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912959 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [5]),
+	.Y(n_17466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g912960 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [2]),
+	.Y(n_17465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g912961 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [19]),
+	.Y(n_17464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912962 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [3]),
+	.Y(n_17463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g912963 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [17]),
+	.Y(n_17462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912964 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [22]),
+	.Y(n_17461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912965 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [24]),
+	.Y(n_17460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912966 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [16]),
+	.Y(n_17459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912967 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [3]),
+	.Y(n_17458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912968 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [7]),
+	.Y(n_17457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912969 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [10]),
+	.Y(n_17456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912970 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [12]),
+	.Y(n_17455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g912971 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [21]),
+	.Y(n_17454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912972 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [4]),
+	.Y(n_17453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912973 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [26]),
+	.Y(n_17452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912974 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [6]),
+	.Y(n_17451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g912975 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [21]),
+	.Y(n_17450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912976 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [2]),
+	.Y(n_17449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912977 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [13]),
+	.Y(n_17448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g912978 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [13]),
+	.Y(n_17447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912979 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [25]),
+	.Y(n_17446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912980 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [1]),
+	.Y(n_17445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912981 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [4]),
+	.Y(n_17444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912982 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [24]),
+	.Y(n_17443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912983 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [4]),
+	.Y(n_17442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912984 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [16]),
+	.Y(n_17441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912985 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [18]),
+	.Y(n_17440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g912986 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [15]),
+	.Y(n_17439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912987 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [4]),
+	.Y(n_17438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g912988 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [18]),
+	.Y(n_17437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912989 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [4]),
+	.Y(n_17436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g912990 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [18]),
+	.Y(n_17435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912991 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [3]),
+	.Y(n_17434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912992 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [14]),
+	.Y(n_17433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912993 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [9]),
+	.Y(n_17432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g912994 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [23]),
+	.Y(n_17431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912995 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [4]),
+	.Y(n_17430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g912996 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [9]),
+	.Y(n_17429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912997 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [18]),
+	.Y(n_17428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912998 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [18]),
+	.Y(n_17427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g912999 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [27]),
+	.Y(n_17426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913000 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [0]),
+	.Y(n_17425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913001 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [16]),
+	.Y(n_17424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913002 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [5]),
+	.Y(n_17423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913003 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [7]),
+	.Y(n_17422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913004 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [15]),
+	.Y(n_17421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913005 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [0]),
+	.Y(n_17420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913006 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [22]),
+	.Y(n_17419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913007 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [3]),
+	.Y(n_17418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913008 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [0]),
+	.Y(n_17417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913009 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [18]),
+	.Y(n_17416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913010 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [4]),
+	.Y(n_17415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913011 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [4]),
+	.Y(n_17414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913012 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [13]),
+	.Y(n_17413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913014 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [18]),
+	.Y(n_17411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913015 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [20]),
+	.Y(n_17410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913016 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [20]),
+	.Y(n_17409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913017 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [8]),
+	.Y(n_17408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913018 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [20]),
+	.Y(n_17407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913019 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [3]),
+	.Y(n_17406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913020 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [8]),
+	.Y(n_17405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913021 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [0]),
+	.Y(n_17404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913022 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [1]),
+	.Y(n_17403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913023 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [23]),
+	.Y(n_17402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913024 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [1]),
+	.Y(n_17401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913025 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [13]),
+	.Y(n_17400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913026 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [13]),
+	.Y(n_17399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913027 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [7]),
+	.Y(n_17398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913029 (
+	.A(soc_top_u_top_u_core_rf_wdata_fwd_wb[16]),
+	.Y(n_17396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913030 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [21]),
+	.Y(n_17395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913031 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [12]),
+	.Y(n_17394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913032 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [19]),
+	.Y(n_17393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913033 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [15]),
+	.Y(n_17392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913034 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [26]),
+	.Y(n_17391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913035 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [7]),
+	.Y(n_17390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913036 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [9]),
+	.Y(n_17389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913037 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [11]),
+	.Y(n_17388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913038 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [6]),
+	.Y(n_17387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913039 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [15]),
+	.Y(n_17386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913040 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [1]),
+	.Y(n_17385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913041 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [11]),
+	.Y(n_17384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913042 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [25]),
+	.Y(n_17383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913043 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [2]),
+	.Y(n_17382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913044 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [20]),
+	.Y(n_17381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913045 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [5]),
+	.Y(n_17380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913046 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [19]),
+	.Y(n_17379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913047 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [19]),
+	.Y(n_17378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913048 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [15]),
+	.Y(n_17377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913049 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [16]),
+	.Y(n_17376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913050 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [18]),
+	.Y(n_17375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913051 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [7]),
+	.Y(n_17374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913052 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [15]),
+	.Y(n_17373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913053 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [16]),
+	.Y(n_17372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913054 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [16]),
+	.Y(n_17371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913055 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [13]),
+	.Y(n_17370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913056 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [13]),
+	.Y(n_17369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913057 (
+	.A(soc_top_u_top_u_core_rf_wdata_fwd_wb[3]),
+	.Y(n_17368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913058 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [5]),
+	.Y(n_17367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913059 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [24]),
+	.Y(n_17366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913060 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [26]),
+	.Y(n_17365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913061 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [14]),
+	.Y(n_17364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913062 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [9]),
+	.Y(n_17363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913063 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [12]),
+	.Y(n_17362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913064 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [3]),
+	.Y(n_17361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913066 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [18]),
+	.Y(n_17359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913067 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [5]),
+	.Y(n_17358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913068 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [3]),
+	.Y(n_17357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913069 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [14]),
+	.Y(n_17356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913070 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [18]),
+	.Y(n_17355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913071 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [30]),
+	.Y(n_17354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913073 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [14]),
+	.Y(n_17352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913074 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [27]),
+	.Y(n_17351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913076 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [16]),
+	.Y(n_17349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913077 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [15]),
+	.Y(n_17348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913078 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [25]),
+	.Y(n_17347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913079 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [11]),
+	.Y(n_17346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913080 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [16]),
+	.Y(n_17345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913081 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [25]),
+	.Y(n_17344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913082 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [14]),
+	.Y(n_17343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913083 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [14]),
+	.Y(n_17342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913084 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [24]),
+	.Y(n_17341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913085 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [21]),
+	.Y(n_17340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913086 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [18]),
+	.Y(n_17339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913087 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [6]),
+	.Y(n_17338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913088 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [15]),
+	.Y(n_17337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913089 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [18]),
+	.Y(n_17336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913090 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [16]),
+	.Y(n_17335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913091 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [1]),
+	.Y(n_17334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913092 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [15]),
+	.Y(n_17333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913093 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [15]),
+	.Y(n_17332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913094 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [6]),
+	.Y(n_17331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913096 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [14]),
+	.Y(n_17329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913097 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [25]),
+	.Y(n_17328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913098 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [18]),
+	.Y(n_17327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913099 (
+	.A(soc_top_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec[0]),
+	.Y(n_17326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913100 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [18]),
+	.Y(n_17325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913101 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [25]),
+	.Y(n_17324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913102 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [25]),
+	.Y(n_17323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913103 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [5]),
+	.Y(n_17322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913104 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [25]),
+	.Y(n_17321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913106 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [25]),
+	.Y(n_17319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913107 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [15]),
+	.Y(n_17318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913108 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [19]),
+	.Y(n_17317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913109 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [18]),
+	.Y(n_17316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913110 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [28]),
+	.Y(n_17315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913111 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [25]),
+	.Y(n_17314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913112 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [18]),
+	.Y(n_17313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913113 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [24]),
+	.Y(n_17312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913114 (
+	.A(soc_top_u_top_u_core_rf_wdata_fwd_wb[0]),
+	.Y(n_17311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913115 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [23]),
+	.Y(n_17310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913116 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [15]),
+	.Y(n_17309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913117 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [21]),
+	.Y(n_17308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913118 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [23]),
+	.Y(n_17307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913119 (
+	.A(soc_top_u_top_u_core_rf_wdata_fwd_wb[29]),
+	.Y(n_17306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913120 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [23]),
+	.Y(n_17305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913121 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [28]),
+	.Y(n_17304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913122 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [19]),
+	.Y(n_17303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913123 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [30]),
+	.Y(n_17302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913124 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [19]),
+	.Y(n_17301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913125 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [6]),
+	.Y(n_17300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913126 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [8]),
+	.Y(n_17299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913127 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [10]),
+	.Y(n_17298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913128 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [15]),
+	.Y(n_17297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913129 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [23]),
+	.Y(n_17296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913130 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [25]),
+	.Y(n_17295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913131 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [24]),
+	.Y(n_17294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913132 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [4]),
+	.Y(n_17293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913133 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [17]),
+	.Y(n_17292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913134 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [7]),
+	.Y(n_17291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913135 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [26]),
+	.Y(n_17290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913136 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [4]),
+	.Y(n_17289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913137 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [19]),
+	.Y(n_17288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913138 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [21]),
+	.Y(n_17287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913139 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [26]),
+	.Y(n_17286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913140 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [18]),
+	.Y(n_17285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913141 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [25]),
+	.Y(n_17284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913142 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [2]),
+	.Y(n_17283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913143 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [20]),
+	.Y(n_17282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913144 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [20]),
+	.Y(n_17281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913145 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [13]),
+	.Y(n_17280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913146 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [1]),
+	.Y(n_17279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913147 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [1]),
+	.Y(n_17278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913148 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [28]),
+	.Y(n_17277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913149 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [23]),
+	.Y(n_17276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913150 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [23]),
+	.Y(n_17275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913151 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [26]),
+	.Y(n_17274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913152 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [18]),
+	.Y(n_17273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913153 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [1]),
+	.Y(n_17272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913154 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [18]),
+	.Y(n_17271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913155 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [12]),
+	.Y(n_17270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913156 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [14]),
+	.Y(n_17269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913157 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [19]),
+	.Y(n_17268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913158 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [6]),
+	.Y(n_17267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913159 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [11]),
+	.Y(n_17266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913160 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [3]),
+	.Y(n_17265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913161 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [17]),
+	.Y(n_17264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913162 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [6]),
+	.Y(n_17263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913163 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [11]),
+	.Y(n_17262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913164 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [24]),
+	.Y(n_17261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913165 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [25]),
+	.Y(n_17260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913166 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [23]),
+	.Y(n_17259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913167 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [19]),
+	.Y(n_17258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913168 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [14]),
+	.Y(n_17257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913169 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [26]),
+	.Y(n_17256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913170 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [21]),
+	.Y(n_17255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913172 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [13]),
+	.Y(n_17253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913173 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [27]),
+	.Y(n_17252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913174 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [28]),
+	.Y(n_17251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913175 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [13]),
+	.Y(n_17250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913176 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [17]),
+	.Y(n_17249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913177 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [22]),
+	.Y(n_17248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913178 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [19]),
+	.Y(n_17247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913179 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [9]),
+	.Y(n_17246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913180 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [25]),
+	.Y(n_17245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913181 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [21]),
+	.Y(n_17244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913182 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [22]),
+	.Y(n_17243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913183 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [12]),
+	.Y(n_17242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913184 (
+	.A(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Y(n_107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913187 (
+	.A(soc_top_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec[1]),
+	.Y(n_17238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913188 (
+	.A(soc_top_u_top_u_core_rf_wdata_fwd_wb[30]),
+	.Y(n_17237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913193 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_rdata_q[27]),
+	.Y(n_17232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913194 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_rdata_q[28]),
+	.Y(n_17231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913195 (
+	.A(soc_top_u_top_u_core_alu_operator_ex[4]),
+	.Y(n_17230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913199 (
+	.A(soc_top_u_top_u_core_alu_operator_ex[0]),
+	.Y(n_832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913200 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [0]),
+	.Y(n_37), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913201 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_data_sign_ext_q),
+	.Y(n_17224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913222 (
+	.A(soc_top_u_top_u_core_rf_raddr_b[3]),
+	.Y(n_17203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913239 (
+	.A(soc_top_u_top_u_core_rf_raddr_b[2]),
+	.Y(n_17186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913245 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[15]),
+	.Y(n_12101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913247 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[0]),
+	.Y(n_17178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g913355 (
+	.A(soc_top_u_top_u_core_id_stage_i_n_609),
+	.Y(n_17070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913401 (
+	.A(soc_top_u_dccm_rdata1[8]),
+	.Y(n_17024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913402 (
+	.A(soc_top_u_dccm_rdata1[27]),
+	.Y(n_17023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913403 (
+	.A(soc_top_u_top_u_core_instr_rdata_id[8]),
+	.Y(n_17022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913406 (
+	.A(soc_top_main_swith_host_lsu_err_resp_err_opcode[2]),
+	.Y(n_17019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913407 (
+	.A(soc_top_u_dccm_rdata1[23]),
+	.Y(n_17018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913408 (
+	.A(soc_top_u_dccm_rdata4[4]),
+	.Y(n_17017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913409 (
+	.A(soc_top_u_dccm_rdata4[3]),
+	.Y(n_17016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g913410 (
+	.A(soc_top_u_dccm_rdata3[29]),
+	.Y(n_17015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913412 (
+	.A(soc_top_u_dccm_rdata1[14]),
+	.Y(n_17013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913413 (
+	.A(soc_top_u_dccm_rdata4[2]),
+	.Y(n_17012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g913414 (
+	.A(soc_top_u_dccm_rdata4[13]),
+	.Y(n_17011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913415 (
+	.A(soc_top_u_dccm_rdata4[0]),
+	.Y(n_17010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913417 (
+	.A(soc_top_u_dccm_rdata3[9]),
+	.Y(n_17008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913418 (
+	.A(soc_top_u_dccm_rdata1[12]),
+	.Y(n_17007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913419 (
+	.A(soc_top_u_dccm_rdata4[1]),
+	.Y(n_17006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913420 (
+	.A(soc_top_u_dccm_rdata4[6]),
+	.Y(n_17005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913421 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [3]),
+	.Y(n_17004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913422 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [16]),
+	.Y(n_17003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913423 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [4]),
+	.Y(n_17002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913424 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [25]),
+	.Y(n_17001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913425 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [24]),
+	.Y(n_17000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913426 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [11]),
+	.Y(n_16999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913427 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [25]),
+	.Y(n_16998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913428 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [5]),
+	.Y(n_16997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913429 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [14]),
+	.Y(n_16996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913430 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [7]),
+	.Y(n_16995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913431 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [10]),
+	.Y(n_16994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913432 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [7]),
+	.Y(n_16993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913433 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [15]),
+	.Y(n_16992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913434 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [16]),
+	.Y(n_16991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913435 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [1]),
+	.Y(n_16990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913436 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [10]),
+	.Y(n_16989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913437 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [25]),
+	.Y(n_16988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913438 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [27]),
+	.Y(n_16987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913439 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [9]),
+	.Y(n_16986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913440 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [25]),
+	.Y(n_16985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913441 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [7]),
+	.Y(n_16984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913442 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [14]),
+	.Y(n_16983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913443 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [7]),
+	.Y(n_16982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913444 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [4]),
+	.Y(n_16981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913445 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [4]),
+	.Y(n_16980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913446 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [15]),
+	.Y(n_16979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913447 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [18]),
+	.Y(n_16978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913448 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [7]),
+	.Y(n_16977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913449 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [4]),
+	.Y(n_16976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913450 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [25]),
+	.Y(n_16975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913451 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [30]),
+	.Y(n_16974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913452 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [16]),
+	.Y(n_16973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913453 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [8]),
+	.Y(n_16972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913454 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [10]),
+	.Y(n_16971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913455 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [8]),
+	.Y(n_16970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913456 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [20]),
+	.Y(n_16969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913457 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [8]),
+	.Y(n_16968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913458 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [7]),
+	.Y(n_16967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913459 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [9]),
+	.Y(n_16966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913460 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [20]),
+	.Y(n_16965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913461 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [28]),
+	.Y(n_16964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913462 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [10]),
+	.Y(n_16963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913463 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [14]),
+	.Y(n_16962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913464 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [7]),
+	.Y(n_16961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913465 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [5]),
+	.Y(n_16960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913466 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [25]),
+	.Y(n_16959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913467 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [25]),
+	.Y(n_16958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913468 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [18]),
+	.Y(n_16957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913469 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [25]),
+	.Y(n_16956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913470 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [8]),
+	.Y(n_16955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913471 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [26]),
+	.Y(n_16954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913472 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [9]),
+	.Y(n_16953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913473 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [14]),
+	.Y(n_16952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913474 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [21]),
+	.Y(n_16951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913475 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [29]),
+	.Y(n_16950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913476 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [8]),
+	.Y(n_16949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913477 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [5]),
+	.Y(n_16948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913478 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [15]),
+	.Y(n_16947), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913480 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [20]),
+	.Y(n_16945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913481 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [6]),
+	.Y(n_16944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913482 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [21]),
+	.Y(n_16943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913483 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [1]),
+	.Y(n_16942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913484 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [8]),
+	.Y(n_16941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913485 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [2]),
+	.Y(n_16940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913486 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [24]),
+	.Y(n_16939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913487 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [11]),
+	.Y(n_16938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913488 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [7]),
+	.Y(n_16937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913489 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [15]),
+	.Y(n_16936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913490 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [5]),
+	.Y(n_16935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913491 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [5]),
+	.Y(n_16934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913492 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [14]),
+	.Y(n_16933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913493 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [0]),
+	.Y(n_16932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913494 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [27]),
+	.Y(n_16931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913495 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [18]),
+	.Y(n_16930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913496 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [6]),
+	.Y(n_16929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913497 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [30]),
+	.Y(n_16928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913498 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [12]),
+	.Y(n_16927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913499 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [18]),
+	.Y(n_16926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913500 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [11]),
+	.Y(n_16925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913501 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [6]),
+	.Y(n_16924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913502 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [0]),
+	.Y(n_16923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913503 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [6]),
+	.Y(n_16922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913504 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [8]),
+	.Y(n_16921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913505 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [1]),
+	.Y(n_16920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913506 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [21]),
+	.Y(n_16919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913507 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [27]),
+	.Y(n_16918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913508 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [3]),
+	.Y(n_16917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913509 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [20]),
+	.Y(n_16916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913510 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [16]),
+	.Y(n_16915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913511 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [24]),
+	.Y(n_16914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913512 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [13]),
+	.Y(n_16913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913513 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [12]),
+	.Y(n_16912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913514 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [15]),
+	.Y(n_16911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913515 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [27]),
+	.Y(n_16910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913516 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [15]),
+	.Y(n_16909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913517 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [12]),
+	.Y(n_16908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913518 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [2]),
+	.Y(n_16907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913519 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [22]),
+	.Y(n_16906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913520 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [15]),
+	.Y(n_16905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913521 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [18]),
+	.Y(n_16904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913522 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [15]),
+	.Y(n_16903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913523 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [15]),
+	.Y(n_16902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913524 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [21]),
+	.Y(n_16901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913525 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [22]),
+	.Y(n_16900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913526 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [12]),
+	.Y(n_16899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913527 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [1]),
+	.Y(n_16898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913528 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [8]),
+	.Y(n_16897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913529 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [3]),
+	.Y(n_16896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913530 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [14]),
+	.Y(n_16895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913531 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [20]),
+	.Y(n_16894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913532 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [23]),
+	.Y(n_16893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913533 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [4]),
+	.Y(n_16892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913534 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [4]),
+	.Y(n_16891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913535 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [25]),
+	.Y(n_16890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913536 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [18]),
+	.Y(n_16889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913537 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [15]),
+	.Y(n_16888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913538 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [17]),
+	.Y(n_16887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913539 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [30]),
+	.Y(n_16886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913540 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [18]),
+	.Y(n_16885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913541 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [12]),
+	.Y(n_16884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913542 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [19]),
+	.Y(n_16883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913543 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [8]),
+	.Y(n_16882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913544 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [3]),
+	.Y(n_16881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913545 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [17]),
+	.Y(n_16880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913546 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [27]),
+	.Y(n_16879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913547 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [22]),
+	.Y(n_16878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913548 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [23]),
+	.Y(n_16877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913549 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [14]),
+	.Y(n_16876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913550 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [20]),
+	.Y(n_16875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913551 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [14]),
+	.Y(n_16874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913552 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [18]),
+	.Y(n_16873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913553 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [19]),
+	.Y(n_16872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913554 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [13]),
+	.Y(n_16871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913555 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [10]),
+	.Y(n_16870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913556 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [10]),
+	.Y(n_16869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913557 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [14]),
+	.Y(n_16868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913558 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [20]),
+	.Y(n_16867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913560 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [13]),
+	.Y(n_16865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913561 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [22]),
+	.Y(n_16864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913562 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [17]),
+	.Y(n_16863), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913563 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [4]),
+	.Y(n_16862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913564 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [12]),
+	.Y(n_16861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913565 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [22]),
+	.Y(n_16860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913566 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [21]),
+	.Y(n_16859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913567 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [23]),
+	.Y(n_16858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913568 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [14]),
+	.Y(n_16857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913569 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [19]),
+	.Y(n_16856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913570 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [18]),
+	.Y(n_16855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913571 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [3]),
+	.Y(n_16854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913572 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [6]),
+	.Y(n_16853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913573 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [15]),
+	.Y(n_16852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913574 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [1]),
+	.Y(n_16851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913575 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [6]),
+	.Y(n_16850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913576 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [30]),
+	.Y(n_16849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913577 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [19]),
+	.Y(n_16848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913578 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [16]),
+	.Y(n_16847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913579 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [11]),
+	.Y(n_16846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913580 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [23]),
+	.Y(n_16845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913581 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [8]),
+	.Y(n_16844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913583 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [8]),
+	.Y(n_16842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913584 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [8]),
+	.Y(n_16841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913585 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [8]),
+	.Y(n_16840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913586 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [27]),
+	.Y(n_16839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913587 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [10]),
+	.Y(n_16838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913588 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [18]),
+	.Y(n_16837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913589 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [18]),
+	.Y(n_16836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913590 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [3]),
+	.Y(n_16835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913591 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [6]),
+	.Y(n_16834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913592 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [16]),
+	.Y(n_16833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913593 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [3]),
+	.Y(n_16832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913594 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [17]),
+	.Y(n_16831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913595 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [17]),
+	.Y(n_16830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913596 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [22]),
+	.Y(n_16829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913597 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [8]),
+	.Y(n_16828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913598 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [8]),
+	.Y(n_16827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913599 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [22]),
+	.Y(n_16826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913600 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [18]),
+	.Y(n_16825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913601 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [6]),
+	.Y(n_16824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913602 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [25]),
+	.Y(n_16823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913603 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [7]),
+	.Y(n_16822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913604 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [3]),
+	.Y(n_16821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913605 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [0]),
+	.Y(n_16820), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913606 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [3]),
+	.Y(n_16819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913607 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [14]),
+	.Y(n_16818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913608 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [20]),
+	.Y(n_16817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913609 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [3]),
+	.Y(n_16816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913610 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [17]),
+	.Y(n_16815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913611 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [24]),
+	.Y(n_16814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913612 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [23]),
+	.Y(n_16813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913613 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [3]),
+	.Y(n_16812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913614 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [10]),
+	.Y(n_16811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913615 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [12]),
+	.Y(n_16810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913616 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [20]),
+	.Y(n_16809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913617 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [2]),
+	.Y(n_16808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913618 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [24]),
+	.Y(n_16807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913619 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [4]),
+	.Y(n_16806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913620 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [1]),
+	.Y(n_16805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913621 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [7]),
+	.Y(n_16804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913622 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [13]),
+	.Y(n_16803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913623 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [25]),
+	.Y(n_16802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913624 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [16]),
+	.Y(n_16801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913625 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [1]),
+	.Y(n_16800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913626 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [23]),
+	.Y(n_16799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913627 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [19]),
+	.Y(n_16798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913628 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [13]),
+	.Y(n_16797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913629 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [4]),
+	.Y(n_16796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913630 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [15]),
+	.Y(n_16795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913631 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_rdata_q[31]),
+	.Y(n_16794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913633 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [15]),
+	.Y(n_16792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913634 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [14]),
+	.Y(n_16791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913635 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [24]),
+	.Y(n_16790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913636 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [3]),
+	.Y(n_16789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913637 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [18]),
+	.Y(n_16788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913638 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [19]),
+	.Y(n_16787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913639 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [18]),
+	.Y(n_16786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913640 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [1]),
+	.Y(n_16785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913641 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [8]),
+	.Y(n_16784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913642 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [13]),
+	.Y(n_16783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913643 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [11]),
+	.Y(n_16782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913644 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [23]),
+	.Y(n_16781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913646 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [19]),
+	.Y(n_16779), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913647 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [15]),
+	.Y(n_16778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913648 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [19]),
+	.Y(n_16777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913649 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [5]),
+	.Y(n_16776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913650 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [26]),
+	.Y(n_16775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913651 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [13]),
+	.Y(n_16774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913652 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [25]),
+	.Y(n_16773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913653 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [24]),
+	.Y(n_16772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913654 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [13]),
+	.Y(n_16771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913655 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [5]),
+	.Y(n_16770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913656 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [12]),
+	.Y(n_16769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913657 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [20]),
+	.Y(n_16768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913658 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [27]),
+	.Y(n_16767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913659 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [15]),
+	.Y(n_16766), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913660 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [18]),
+	.Y(n_16765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913661 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [18]),
+	.Y(n_16764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913662 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [5]),
+	.Y(n_16763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913663 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [8]),
+	.Y(n_16762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913664 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [12]),
+	.Y(n_16761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913665 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [15]),
+	.Y(n_16760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913666 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [25]),
+	.Y(n_16759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913667 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [27]),
+	.Y(n_16758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913668 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [12]),
+	.Y(n_16757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913669 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [18]),
+	.Y(n_16756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913670 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [13]),
+	.Y(n_16755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913671 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [13]),
+	.Y(n_16754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913672 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [0]),
+	.Y(n_16753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913673 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [9]),
+	.Y(n_16752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913674 (
+	.A(soc_top_u_top_u_core_rf_wdata_fwd_wb[23]),
+	.Y(n_16751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913675 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [24]),
+	.Y(n_16750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913676 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [9]),
+	.Y(n_16749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913677 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [14]),
+	.Y(n_16748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913678 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [19]),
+	.Y(n_16747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913679 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [11]),
+	.Y(n_16746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913680 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [1]),
+	.Y(n_16745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913681 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [13]),
+	.Y(n_16744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913682 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [4]),
+	.Y(n_16743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913683 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [23]),
+	.Y(n_16742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913684 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [12]),
+	.Y(n_16741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913685 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [24]),
+	.Y(n_16740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913686 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [19]),
+	.Y(n_16739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913687 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [3]),
+	.Y(n_16738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913688 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [24]),
+	.Y(n_16737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913689 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [15]),
+	.Y(n_16736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913690 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [30]),
+	.Y(n_16735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913691 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [6]),
+	.Y(n_16734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913692 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [14]),
+	.Y(n_16733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913693 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [19]),
+	.Y(n_16732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913694 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [24]),
+	.Y(n_16731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913695 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [20]),
+	.Y(n_16730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913696 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [12]),
+	.Y(n_16729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913697 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [17]),
+	.Y(n_16728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913698 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [9]),
+	.Y(n_16727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913699 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [14]),
+	.Y(n_16726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913700 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [19]),
+	.Y(n_16725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913701 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [22]),
+	.Y(n_16724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913702 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [9]),
+	.Y(n_16723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913703 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [25]),
+	.Y(n_16722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913704 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [11]),
+	.Y(n_16721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913705 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [13]),
+	.Y(n_16720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913706 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [19]),
+	.Y(n_16719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913707 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [15]),
+	.Y(n_16718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913708 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [9]),
+	.Y(n_16717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913709 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [10]),
+	.Y(n_16716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913710 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [23]),
+	.Y(n_16715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913711 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [15]),
+	.Y(n_16714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913712 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [3]),
+	.Y(n_16713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913713 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [2]),
+	.Y(n_16712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913714 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [19]),
+	.Y(n_16711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913715 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [14]),
+	.Y(n_16710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913716 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [26]),
+	.Y(n_16709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913717 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [2]),
+	.Y(n_16708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913718 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [22]),
+	.Y(n_16707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913719 (
+	.A(soc_top_u_top_u_core_rf_wdata_fwd_wb[8]),
+	.Y(n_16706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913720 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
+	.Y(n_16705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913721 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [19]),
+	.Y(n_16704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913722 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [8]),
+	.Y(n_16703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913723 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [18]),
+	.Y(n_16702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913724 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [20]),
+	.Y(n_16701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913725 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [15]),
+	.Y(n_16700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913727 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [26]),
+	.Y(n_16698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913728 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [25]),
+	.Y(n_16697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913729 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [30]),
+	.Y(n_16696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913731 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [23]),
+	.Y(n_16694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913732 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [14]),
+	.Y(n_16693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913733 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [21]),
+	.Y(n_16692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913734 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [18]),
+	.Y(n_16691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913735 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [21]),
+	.Y(n_16690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913736 (
+	.A(soc_top_u_top_u_core_rf_wdata_fwd_wb[18]),
+	.Y(n_16689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913737 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [18]),
+	.Y(n_16688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913738 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [10]),
+	.Y(n_16687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913739 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [28]),
+	.Y(n_16686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913740 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [16]),
+	.Y(n_16685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913741 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [23]),
+	.Y(n_16684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913742 (
+	.A(soc_top_u_top_u_core_rf_wdata_fwd_wb[20]),
+	.Y(n_16683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913743 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [9]),
+	.Y(n_16682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913744 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [19]),
+	.Y(n_16681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913745 (
+	.A(soc_top_u_top_u_core_rf_wdata_fwd_wb[17]),
+	.Y(n_16680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913746 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [23]),
+	.Y(n_16679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913747 (
+	.A(soc_top_u_top_u_core_rf_wdata_fwd_wb[21]),
+	.Y(n_16678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913748 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [19]),
+	.Y(n_16677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913749 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [23]),
+	.Y(n_16676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913750 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [27]),
+	.Y(n_16675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913751 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [14]),
+	.Y(n_16674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913752 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [1]),
+	.Y(n_16673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913753 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [14]),
+	.Y(n_16672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913754 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [10]),
+	.Y(n_16671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913755 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [18]),
+	.Y(n_16670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913756 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [21]),
+	.Y(n_16669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913757 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [23]),
+	.Y(n_16668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913758 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [21]),
+	.Y(n_16667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913759 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [14]),
+	.Y(n_16666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913760 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [16]),
+	.Y(n_16665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913761 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [12]),
+	.Y(n_16664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913762 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [1]),
+	.Y(n_16663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913763 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [22]),
+	.Y(n_16662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913764 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [1]),
+	.Y(n_16661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913765 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [4]),
+	.Y(n_16660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913766 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [19]),
+	.Y(n_16659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913767 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [11]),
+	.Y(n_16658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913768 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [7]),
+	.Y(n_16657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913769 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [18]),
+	.Y(n_16656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913770 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [10]),
+	.Y(n_16655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913771 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [7]),
+	.Y(n_16654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913772 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [8]),
+	.Y(n_16653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913773 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [2]),
+	.Y(n_16652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913774 (
+	.A(soc_top_u_top_u_core_rf_wdata_fwd_wb[5]),
+	.Y(n_16651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913775 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [18]),
+	.Y(n_16650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913776 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [3]),
+	.Y(n_16649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913777 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_rdata_q[25]),
+	.Y(n_16648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913779 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [21]),
+	.Y(n_16646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913780 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [15]),
+	.Y(n_16645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913781 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [13]),
+	.Y(n_16644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913782 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [23]),
+	.Y(n_16643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913783 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [23]),
+	.Y(n_16642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913784 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [10]),
+	.Y(n_16641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g913785 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [10]),
+	.Y(n_16640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913786 (
+	.A(soc_top_u_top_u_core_rf_wdata_fwd_wb[15]),
+	.Y(n_16639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913789 (
+	.A(soc_top_u_top_u_core_alu_operator_ex[5]),
+	.Y(n_16635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913792 (
+	.A(soc_top_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[0]),
+	.Y(n_16633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g913805 (
+	.A(soc_top_u_top_u_core_rf_waddr_wb[1]),
+	.Y(n_16620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913810 (
+	.A(soc_top_u_top_u_core_rf_waddr_wb[3]),
+	.Y(n_16615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g913812 (
+	.A(soc_top_u_top_u_core_rf_waddr_wb[0]),
+	.Y(n_16613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g913815 (
+	.A(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.Y(n_16610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g913885 (
+	.A(soc_top_u_top_u_core_use_fp_rs2),
+	.Y(n_16540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_2 g718873 (
+	.A1(n_16437),
+	.A2(n_16506),
+	.B1(n_75573),
+	.B2(n_16532),
+	.Y(n_31304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g718874 (
+	.A1(FE_DBTN107_n_16532),
+	.A2(n_16457),
+	.B1(n_39244),
+	.X(n_31303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g718875 (
+	.A(n_16539),
+	.B(n_75083),
+	.Y(n_31302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g718877 (
+	.A(n_16538),
+	.Y(n_16539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g718878 (
+	.A(n_16433),
+	.B(n_16532),
+	.Y(n_16538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g718879 (
+	.A(FE_DBTN107_n_16532),
+	.B(n_16418),
+	.Y(n_16537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g718884 (
+	.A(n_16530),
+	.B(n_29516),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.Y(n_16532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g718885 (
+	.A(n_16529),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.Y(n_29517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g718888 (
+	.A(n_16529),
+	.Y(n_16530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g718889 (
+	.A(n_16528),
+	.B(n_15994),
+	.Y(n_16529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g718890 (
+	.A(n_16525),
+	.B(n_16522),
+	.Y(n_29516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g718891 (
+	.A(n_16524),
+	.B(n_16500),
+	.Y(n_31300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g718892 (
+	.A(n_16527),
+	.B(n_31407),
+	.Y(n_16528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g718893 (
+	.A(n_16526),
+	.Y(n_16527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g718894 (
+	.A(n_16522),
+	.B(n_16498),
+	.Y(n_16526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g718895 (
+	.A(n_16517),
+	.B(n_16504),
+	.Y(n_16525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_4 g718896 (
+	.A1(n_35069),
+	.A2(n_16503),
+	.B1(n_16515),
+	.X(n_16524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g718901 (
+	.A(n_44395),
+	.B(n_16489),
+	.Y(n_16522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g718903 (
+	.A(n_16513),
+	.Y(n_16518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g718905 (
+	.A(n_31407),
+	.Y(n_16517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g718906 (
+	.A(n_44395),
+	.B(n_16489),
+	.C(n_36389),
+	.Y(n_16515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g718908 (
+	.A(n_75850),
+	.B(n_16506),
+	.Y(n_16513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g718909 (
+	.A(n_16502),
+	.B(n_16501),
+	.Y(n_31407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g718917 (
+	.A(n_16497),
+	.B(n_44394),
+	.Y(n_16506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g718918 (
+	.A(n_16503),
+	.Y(n_16504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g718919 (
+	.A_N(n_44396),
+	.B(n_16498),
+	.Y(n_16502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g718920 (
+	.A(n_16485),
+	.B(n_44396),
+	.Y(n_16501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g718921 (
+	.A(n_16495),
+	.B(n_16497),
+	.Y(n_16500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g718922 (
+	.A(n_16499),
+	.B(n_16484),
+	.Y(n_16503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g718924 (
+	.A(n_36009),
+	.Y(n_16499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g718925 (
+	.A(n_16485),
+	.Y(n_16498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g718926 (
+	.A(n_16496),
+	.Y(n_16497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g718927 (
+	.A(n_29515),
+	.B(n_12283),
+	.Y(n_16496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g718928 (
+	.A(n_44394),
+	.B(n_61063),
+	.Y(n_16495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g718930 (
+	.A(n_16482),
+	.B(n_16480),
+	.Y(n_29515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g718937 (
+	.A(n_15948),
+	.B(n_16480),
+	.Y(n_16489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g718939 (
+	.A(n_31405),
+	.B(n_16479),
+	.Y(n_16484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g718941 (
+	.A(n_44397),
+	.B(n_16469),
+	.Y(n_16482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g718942 (
+	.A(n_16462),
+	.B(n_16471),
+	.Y(n_16485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g718944 (
+	.A(n_16467),
+	.B(n_16458),
+	.C(n_61063),
+	.Y(n_16479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g718945 (
+	.A(n_16475),
+	.B(n_59627),
+	.Y(n_16480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g718949 (
+	.A(n_16456),
+	.B(n_15888),
+	.Y(n_16475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g718954 (
+	.A(n_16447),
+	.B(n_16455),
+	.Y(n_16469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g718955 (
+	.A_N(n_16462),
+	.B(n_75575),
+	.Y(n_31405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g718956 (
+	.A(n_16455),
+	.B(n_39238),
+	.Y(n_16471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g718958 (
+	.A(n_45051),
+	.Y(n_16467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g718961 (
+	.A(n_16456),
+	.Y(n_16464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g718963 (
+	.A(n_16461),
+	.Y(n_16462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g718964 (
+	.A(n_61076),
+	.B(n_16448),
+	.C(n_16438),
+	.Y(n_16461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g718968 (
+	.A(n_31404),
+	.Y(n_16458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g718969 (
+	.A(n_45053),
+	.B(n_16430),
+	.Y(n_16457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g718970 (
+	.A(n_16452),
+	.B(n_35086),
+	.Y(n_16456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g718971 (
+	.A(n_16454),
+	.B(n_16453),
+	.Y(n_16455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g718972 (
+	.A(n_16443),
+	.B(n_16369),
+	.Y(n_16454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g718973 (
+	.A(n_16434),
+	.B(n_16368),
+	.Y(n_16453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g718974 (
+	.A(n_16434),
+	.B(n_16363),
+	.Y(n_16452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g718978 (
+	.A(n_16444),
+	.B(n_16436),
+	.Y(n_16447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g718979 (
+	.A(n_37445),
+	.B(n_39238),
+	.Y(n_16448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g718981 (
+	.A1(n_16438),
+	.A2(n_61076),
+	.B1(n_16441),
+	.Y(n_31404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g718982 (
+	.A(n_37445),
+	.Y(n_16444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g718983 (
+	.A(n_16434),
+	.Y(n_16443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g718985 (
+	.A(n_16438),
+	.B(n_61076),
+	.Y(n_16441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g718989 (
+	.A(n_16437),
+	.Y(n_16438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g718990 (
+	.A(n_36028),
+	.B(n_16421),
+	.C(n_108),
+	.Y(n_16436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g718991 (
+	.A_N(n_16270),
+	.B(n_16431),
+	.Y(n_16437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g718992 (
+	.A(n_16418),
+	.B(n_16425),
+	.Y(n_16433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g718994 (
+	.A(n_16432),
+	.B(n_16364),
+	.Y(n_16434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g718995 (
+	.A(n_16420),
+	.B(n_35085),
+	.Y(n_16432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g718996 (
+	.A(n_16421),
+	.B(n_39238),
+	.Y(n_16431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g718998 (
+	.A(n_16418),
+	.B(n_16425),
+	.Y(n_16430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g719004 (
+	.A(n_16422),
+	.B(n_61078),
+	.Y(n_16425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719005 (
+	.A(n_16405),
+	.B(n_61073),
+	.Y(n_16422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g719007 (
+	.A(n_16353),
+	.B(n_16403),
+	.Y(n_16421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g719008 (
+	.A(n_16417),
+	.B(n_75577),
+	.Y(n_16420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g719012 (
+	.A(n_16403),
+	.B(n_75578),
+	.Y(n_16417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719020 (
+	.A(n_75850),
+	.B(n_61063),
+	.Y(n_16409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719024 (
+	.A(n_16399),
+	.B(n_61064),
+	.Y(n_16405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g719025 (
+	.A1_N(n_16387),
+	.A2_N(n_16359),
+	.B1(n_16387),
+	.B2(n_16359),
+	.Y(n_16404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g719026 (
+	.A(n_16402),
+	.B(n_75576),
+	.Y(n_16403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g719027 (
+	.A(n_16387),
+	.B(n_16347),
+	.Y(n_16402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g719030 (
+	.A(n_75850),
+	.Y(n_16399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719032 (
+	.A(n_16388),
+	.B(n_39238),
+	.Y(n_16396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g719038 (
+	.A(n_60488),
+	.B(n_39238),
+	.Y(n_16391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g719041 (
+	.A1_N(n_16379),
+	.A2_N(n_37450),
+	.B1(n_16379),
+	.B2(n_37450),
+	.Y(n_16388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g719042 (
+	.A(n_16386),
+	.B(n_16376),
+	.Y(n_16387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g719043 (
+	.A(n_16374),
+	.B(n_16379),
+	.Y(n_16386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g719050 (
+	.A(n_16375),
+	.B(n_16365),
+	.Y(n_16379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719054 (
+	.A(n_16373),
+	.B(n_16345),
+	.Y(n_16376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719055 (
+	.A(n_16357),
+	.B(n_15879),
+	.Y(n_16375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719056 (
+	.A(n_76123),
+	.B(n_16360),
+	.Y(n_16374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g719057 (
+	.A(n_16360),
+	.Y(n_16373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g719061 (
+	.A(n_16368),
+	.Y(n_16369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g719062 (
+	.A(n_16363),
+	.B(n_35086),
+	.Y(n_16368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g719063 (
+	.A(n_35085),
+	.B(n_16364),
+	.Y(n_16367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g719065 (
+	.A(n_15811),
+	.B(n_74733),
+	.Y(n_16365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g719066 (
+	.A(n_37451),
+	.B(n_36042),
+	.Y(n_16364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719067 (
+	.A(n_16327),
+	.B(n_15805),
+	.Y(n_16363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g719070 (
+	.A(n_16340),
+	.B(n_15810),
+	.Y(n_16360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g719074 (
+	.A(n_39238),
+	.B(n_74733),
+	.Y(n_16354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719075 (
+	.A(n_75576),
+	.B(n_16347),
+	.Y(n_16359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719076 (
+	.A(n_75578),
+	.B(n_75577),
+	.Y(n_16353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g719077 (
+	.A(n_16342),
+	.B(n_15879),
+	.Y(n_16357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g719083 (
+	.A(n_76123),
+	.Y(n_16345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g719089 (
+	.A(n_74733),
+	.Y(n_16340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719090 (
+	.A(n_16319),
+	.B(n_16332),
+	.Y(n_16347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g719092 (
+	.A(n_16329),
+	.B(n_16328),
+	.Y(n_16342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g719100 (
+	.A(n_36040),
+	.B(n_36042),
+	.Y(n_16335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g719101 (
+	.A(n_16315),
+	.B(n_15822),
+	.Y(n_16332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g719102 (
+	.A(n_74729),
+	.B(n_16312),
+	.Y(n_16329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g719103 (
+	.A(n_16311),
+	.B(n_16321),
+	.Y(n_16328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719109 (
+	.A(n_16305),
+	.B(n_16300),
+	.Y(n_16321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g719110 (
+	.A_N(n_15812),
+	.B(n_16276),
+	.Y(n_16327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g719117 (
+	.A(n_74730),
+	.Y(n_16315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719119 (
+	.A(n_16297),
+	.B(n_75583),
+	.Y(n_16312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_2 g719120 (
+	.A1(n_16167),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_299 ),
+	.B1(n_36052),
+	.C1(n_16286),
+	.Y(n_16311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g719121 (
+	.A(n_86758),
+	.B(n_16301),
+	.Y(n_16319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719124 (
+	.A(n_16290),
+	.B(n_16199),
+	.Y(n_16309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g719125 (
+	.A1(n_16242),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_299 ),
+	.B1(n_16283),
+	.X(n_16308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g719126 (
+	.A(n_16258),
+	.B(n_16267),
+	.C(n_16171),
+	.D(n_16251),
+	.Y(n_16307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g719128 (
+	.A(n_16277),
+	.B(n_16243),
+	.C(n_16123),
+	.Y(n_16305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719131 (
+	.A(n_35100),
+	.B(n_15807),
+	.Y(n_16301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g719132 (
+	.A(n_16281),
+	.B(n_16251),
+	.C(n_16205),
+	.Y(n_16300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g719135 (
+	.A(n_16277),
+	.B(n_16210),
+	.C(n_16204),
+	.Y(n_16297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g719143 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_gen_lzc.sel_nodes [13]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_299 ),
+	.Y(n_16290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719144 (
+	.A(n_16277),
+	.B(n_16210),
+	.Y(n_16289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719147 (
+	.A(n_74726),
+	.B(n_36053),
+	.Y(n_16286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719148 (
+	.A(n_16281),
+	.B(n_16231),
+	.Y(n_16285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719150 (
+	.A(n_16282),
+	.B(n_35107),
+	.Y(n_16283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g719155 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_299 ),
+	.Y(n_16277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719156 (
+	.A(n_16257),
+	.B(n_61021),
+	.Y(n_16275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g719157 (
+	.A(n_16268),
+	.B(n_16257),
+	.Y(n_16282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g719158 (
+	.A(n_16268),
+	.B(n_16257),
+	.Y(n_16281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g719159 (
+	.A(n_16258),
+	.B(n_16268),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_299 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g719160 (
+	.A(n_16258),
+	.B(n_40737),
+	.Y(n_16276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719163 (
+	.A(n_16257),
+	.B(n_15754),
+	.Y(n_16271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g719164 (
+	.A(n_39238),
+	.B(n_16258),
+	.Y(n_16270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g719166 (
+	.A(n_16257),
+	.B(n_16241),
+	.Y(n_16272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 g719167 (
+	.A(n_16267),
+	.Y(n_16268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g719177 (
+	.A(n_16257),
+	.Y(n_16258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g719178 (
+	.A(n_16251),
+	.B(n_36055),
+	.Y(n_16267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g719179 (
+	.A(n_16241),
+	.B(n_16245),
+	.Y(n_16257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719186 (
+	.A(n_71084),
+	.B(n_42563),
+	.Y(n_16247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g719189 (
+	.A(n_76134),
+	.B(n_16238),
+	.Y(n_16251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g719190 (
+	.A(n_16240),
+	.B(n_69455),
+	.Y(n_16248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g719191 (
+	.A(n_16244),
+	.Y(n_16245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g719192 (
+	.A1(n_16196),
+	.A2(n_29509),
+	.B1(n_16209),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [8]),
+	.X(n_30534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719193 (
+	.A(FE_DBTN108_n_71084),
+	.B(n_16228),
+	.Y(n_16244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g719194 (
+	.A(n_29544),
+	.B(n_16230),
+	.Y(n_30525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g719195 (
+	.A1(n_16196),
+	.A2(n_29510),
+	.B1(n_16209),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [7]),
+	.X(n_30533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g719196 (
+	.A1(n_16196),
+	.A2(n_75584),
+	.B1(n_16209),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [6]),
+	.X(n_30532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g719197 (
+	.A(n_16242),
+	.Y(n_16243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g719198 (
+	.A(n_16240),
+	.Y(n_16241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g719199 (
+	.A(n_16238),
+	.Y(n_16239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g719205 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [15]),
+	.B(n_16219),
+	.Y(n_16231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g719206 (
+	.A(n_35114),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[0] [1]),
+	.Y(n_16230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g719208 (
+	.A(n_75582),
+	.B(n_16224),
+	.Y(n_16228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g719209 (
+	.A1(n_16196),
+	.A2(n_29906),
+	.B1(n_16069),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [22]),
+	.X(n_30548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g719210 (
+	.A1(n_16196),
+	.A2(n_29907),
+	.B1(n_16069),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [23]),
+	.X(n_30549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g719211 (
+	.A1(n_16196),
+	.A2(n_16149),
+	.B1(n_16156),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [28]),
+	.X(n_30554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g719212 (
+	.A1(n_16196),
+	.A2(n_29908),
+	.B1(n_16174),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [24]),
+	.X(n_30550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719213 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_gen_lzc.sel_nodes [13]),
+	.B(n_34852),
+	.Y(n_16242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g719216 (
+	.A1(n_16196),
+	.A2(n_29911),
+	.B1(n_16156),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [27]),
+	.X(n_30553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g719217 (
+	.A1(n_16196),
+	.A2(n_29910),
+	.B1(n_16174),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [26]),
+	.X(n_30552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g719218 (
+	.A1(n_16196),
+	.A2(n_29909),
+	.B1(n_16174),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [25]),
+	.X(n_30551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g719219 (
+	.A(n_69455),
+	.B(n_16203),
+	.Y(n_16240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g719220 (
+	.A(n_16219),
+	.B(n_16214),
+	.Y(n_16238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g719222 (
+	.A1(n_16196),
+	.A2(n_30592),
+	.B1(n_16133),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [11]),
+	.X(n_30537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g719223 (
+	.A1(n_16196),
+	.A2(n_29902),
+	.B1(n_16181),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [18]),
+	.X(n_30544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g719224 (
+	.A1(n_16196),
+	.A2(n_29903),
+	.B1(n_16181),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [19]),
+	.X(n_30545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g719225 (
+	.A1(n_16196),
+	.A2(n_29901),
+	.B1(n_16068),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [17]),
+	.X(n_30543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g719226 (
+	.A1(n_16196),
+	.A2(n_29900),
+	.B1(n_16068),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [16]),
+	.X(n_30542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g719227 (
+	.A1(n_16196),
+	.A2(n_29899),
+	.B1(n_16068),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [15]),
+	.X(n_30541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g719228 (
+	.A1(n_16196),
+	.A2(n_29898),
+	.B1(n_16067),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [14]),
+	.X(n_30540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g719229 (
+	.A1(n_16196),
+	.A2(n_29897),
+	.B1(n_16067),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [13]),
+	.X(n_30539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g719230 (
+	.A1(n_16196),
+	.A2(n_30593),
+	.B1(n_16067),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [12]),
+	.X(n_30538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g719231 (
+	.A1(n_16196),
+	.A2(n_29905),
+	.B1(n_16069),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [21]),
+	.X(n_30547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g719232 (
+	.A1(n_16196),
+	.A2(n_30591),
+	.B1(n_16133),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [10]),
+	.X(n_30536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g719233 (
+	.A1(n_16196),
+	.A2(n_30590),
+	.B1(n_16133),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [9]),
+	.X(n_30535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g719234 (
+	.A1(n_16196),
+	.A2(n_29904),
+	.B1(n_16181),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [20]),
+	.X(n_30546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g719235 (
+	.A1(n_16196),
+	.A2(n_30589),
+	.B1(n_16121),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [5]),
+	.X(n_30531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 g719236 (
+	.A1(n_40),
+	.A2(n_15824),
+	.A3(n_29346),
+	.B1(n_13026),
+	.B2(n_16195),
+	.Y(n_30530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g719237 (
+	.A1(n_16196),
+	.A2(n_30587),
+	.B1(n_16121),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [3]),
+	.X(n_30529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g719248 (
+	.A(n_36066),
+	.B(n_36081),
+	.Y(n_16214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g719250 (
+	.A(n_16182),
+	.B(n_16178),
+	.Y(n_16224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g719251 (
+	.A_N(n_29784),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [7]),
+	.Y(n_29510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g719253 (
+	.A_N(n_29784),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [8]),
+	.Y(n_29509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g719255 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [15]),
+	.B(n_36068),
+	.Y(n_16219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g719258 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_gen_lzc.sel_nodes [13]),
+	.Y(n_16210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719262 (
+	.A(n_16171),
+	.B(n_36077),
+	.Y(n_16205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g719263 (
+	.A1(n_61656),
+	.A2(n_70597),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [3]),
+	.Y(n_16204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g719264 (
+	.A(n_16191),
+	.B(n_16197),
+	.Y(n_16203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g719266 (
+	.A1(n_16118),
+	.A2(n_84363),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [31]),
+	.Y(n_16201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g719268 (
+	.A(n_16192),
+	.B(n_36455),
+	.C(n_16062),
+	.D(n_34873),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[0] [1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g719270 (
+	.A(n_34852),
+	.B(n_16190),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_gen_lzc.sel_nodes [13]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g719271 (
+	.A(n_15824),
+	.B(n_29784),
+	.Y(n_16209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_12 g719275 (
+	.A(n_16195),
+	.Y(n_16196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719278 (
+	.A(n_35121),
+	.B(n_16118),
+	.Y(n_16193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g719279 (
+	.A(n_30594),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [52]),
+	.C(n_15714),
+	.Y(n_16192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g719280 (
+	.A(n_16134),
+	.B(n_16129),
+	.Y(n_16191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g719289 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [29]),
+	.B(n_16156),
+	.X(n_30555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g719291 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [4]),
+	.B(n_36555),
+	.Y(n_16190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g719292 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [31]),
+	.B(n_16157),
+	.X(n_30557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g719293 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [30]),
+	.B(n_16157),
+	.X(n_30556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g719294 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [2]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [3]),
+	.Y(n_16199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g719296 (
+	.A_N(n_29785),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [25]),
+	.Y(n_29909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g719297 (
+	.A_N(n_29785),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [24]),
+	.Y(n_29908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g719298 (
+	.A_N(n_29785),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [26]),
+	.Y(n_29910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g719299 (
+	.A(n_16132),
+	.B(n_53845),
+	.Y(n_16197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g719300 (
+	.A_N(n_29339),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [20]),
+	.Y(n_29904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g719301 (
+	.A(n_16159),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.Y(n_16195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g719307 (
+	.A(n_75925),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [17]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g719311 (
+	.A(n_87646),
+	.Y(n_16182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g719315 (
+	.A(n_87080),
+	.Y(n_16178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g719321 (
+	.A(n_36083),
+	.Y(n_16171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g719323 (
+	.A_N(n_16159),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [2]),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.Y(n_16169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719325 (
+	.A(n_16126),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [6]),
+	.Y(n_16167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g719326 (
+	.A(n_16158),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [1]),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.Y(n_16166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g719327 (
+	.A1(n_30594),
+	.A2(n_15876),
+	.B1(n_16061),
+	.Y(n_16165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g719329 (
+	.A_N(n_29339),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [18]),
+	.Y(n_29902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g719334 (
+	.A(n_16023),
+	.B(n_36392),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [22]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g719335 (
+	.A(n_16024),
+	.B(n_46213),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [20]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g719336 (
+	.A_N(n_29339),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [19]),
+	.Y(n_29903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g719337 (
+	.A(n_16022),
+	.B(n_38081),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [23]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g719340 (
+	.A(n_15824),
+	.B(n_29339),
+	.Y(n_16181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g719345 (
+	.A(n_15824),
+	.B(n_29785),
+	.Y(n_16174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g719348 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [2]),
+	.A2(n_16072),
+	.B1(n_15883),
+	.Y(n_29784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g719350 (
+	.A(n_13026),
+	.Y(n_30588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g719351 (
+	.A(n_16159),
+	.Y(n_16158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g719352 (
+	.A(n_35121),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [31]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719363 (
+	.A(n_30594),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [0]),
+	.Y(n_29361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g719365 (
+	.A_N(n_29345),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [28]),
+	.Y(n_16149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719366 (
+	.A(n_16064),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.Y(n_29731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g719367 (
+	.A_N(n_29347),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [11]),
+	.Y(n_30592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719368 (
+	.A(n_16091),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [10]),
+	.Y(n_30591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719369 (
+	.A(n_16091),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [9]),
+	.Y(n_30590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719370 (
+	.A(n_16065),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [5]),
+	.Y(n_30589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g719371 (
+	.A(n_40),
+	.B(n_29346),
+	.Y(n_13026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719372 (
+	.A(n_16065),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [3]),
+	.Y(n_30587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719373 (
+	.A(n_16064),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [2]),
+	.Y(n_30586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719374 (
+	.A(n_16064),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [1]),
+	.Y(n_30585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719375 (
+	.A(n_30594),
+	.B(n_15714),
+	.Y(n_29362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g719376 (
+	.A_N(n_29345),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [27]),
+	.Y(n_29911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g719377 (
+	.A(n_16064),
+	.B(FE_DBTN139_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_0),
+	.Y(n_16159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g719378 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
+	.B(n_29693),
+	.Y(n_16157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g719379 (
+	.A(n_15824),
+	.B(n_29345),
+	.Y(n_16156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g719381 (
+	.A(n_16092),
+	.B(n_29727),
+	.X(n_16154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g719382 (
+	.A(n_15824),
+	.B(n_29786),
+	.Y(n_16153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g719383 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
+	.B(n_29719),
+	.Y(n_16152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719384 (
+	.A(n_15951),
+	.B(n_16072),
+	.Y(n_29339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g719385 (
+	.A_N(n_16059),
+	.B(n_15952),
+	.Y(n_29785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g719400 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [24]),
+	.Y(n_16134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g719402 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [27]),
+	.Y(n_16132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g719403 (
+	.A(n_53845),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [26]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 g719407 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [25]),
+	.Y(n_16129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g719411 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [7]),
+	.Y(n_16126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g719416 (
+	.A(n_36555),
+	.Y(n_16123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g719417 (
+	.A(n_61656),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g719420 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [30]),
+	.Y(n_16118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g719422 (
+	.A(n_36409),
+	.B(n_16044),
+	.C(n_16000),
+	.Y(n_16115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g719423 (
+	.A1(n_16016),
+	.A2(n_75771),
+	.B1(n_29790),
+	.Y(n_16114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g719432 (
+	.A1(n_29775),
+	.A2(n_29679),
+	.B1(n_15966),
+	.C1(n_15821),
+	.D1(n_15965),
+	.X(n_16105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g719439 (
+	.A(n_16076),
+	.B(n_16007),
+	.C(n_15989),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g719440 (
+	.A(n_16030),
+	.B(n_16051),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [24]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719441 (
+	.A(n_43088),
+	.B(n_36092),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [28]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g719443 (
+	.A(n_15824),
+	.B(n_29347),
+	.Y(n_16133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g719444 (
+	.A(n_16036),
+	.B(n_36094),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [27]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g719446 (
+	.A(n_61276),
+	.B(n_36095),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [25]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g719448 (
+	.A(n_16010),
+	.B(n_16079),
+	.C(n_15989),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [7]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g719449 (
+	.A(n_16009),
+	.B(n_16078),
+	.C(n_15989),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g719451 (
+	.A(n_15824),
+	.B(n_29346),
+	.Y(n_16121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g719452 (
+	.A1(n_16016),
+	.A2(n_58897),
+	.B1(n_76144),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g719454 (
+	.A(n_16042),
+	.B(n_36093),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [30]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g719455 (
+	.A(n_29347),
+	.Y(n_16091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719456 (
+	.A(n_16016),
+	.B(\soc_top_u_top_u_core_fp_operands[0] [16]),
+	.Y(n_16090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719466 (
+	.A(n_16016),
+	.B(n_61246),
+	.Y(n_16080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719467 (
+	.A(n_16016),
+	.B(n_58169),
+	.Y(n_16079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719468 (
+	.A(n_16016),
+	.B(n_61199),
+	.Y(n_16078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719470 (
+	.A(n_16016),
+	.B(n_87514),
+	.Y(n_16076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719472 (
+	.A(n_16018),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [13]),
+	.Y(n_29897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g719473 (
+	.A_N(n_29342),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [22]),
+	.Y(n_29906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g719474 (
+	.A_N(n_29340),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [17]),
+	.Y(n_29901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g719475 (
+	.A_N(n_29340),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [16]),
+	.Y(n_29900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g719476 (
+	.A_N(n_29340),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [15]),
+	.Y(n_29899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719477 (
+	.A(n_16018),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [14]),
+	.Y(n_29898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g719478 (
+	.A_N(n_29342),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [21]),
+	.Y(n_29905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719479 (
+	.A(n_16018),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [12]),
+	.Y(n_30593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g719480 (
+	.A_N(n_29342),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [23]),
+	.Y(n_29907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g719481 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
+	.B(n_29732),
+	.Y(n_16093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g719482 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
+	.B(n_29724),
+	.Y(n_16092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719483 (
+	.A(n_16046),
+	.B(n_15883),
+	.Y(n_29347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g719487 (
+	.A(n_29346),
+	.Y(n_16065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g719488 (
+	.A(n_30594),
+	.Y(n_16064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g719490 (
+	.A1(n_15680),
+	.A2(n_15974),
+	.B1(n_15984),
+	.X(n_16062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g719491 (
+	.A1(n_15680),
+	.A2(n_15977),
+	.B1(n_16041),
+	.Y(n_16061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g719493 (
+	.A1(n_15990),
+	.A2(n_29378),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [2]),
+	.Y(n_16059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g719499 (
+	.A(n_29790),
+	.B(n_35127),
+	.Y(n_16053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g719501 (
+	.A(n_29790),
+	.B(n_35128),
+	.Y(n_16051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g719505 (
+	.A1(n_15885),
+	.A2(n_29730),
+	.B1(n_15997),
+	.C1(n_15992),
+	.Y(n_29693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g719506 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [4]),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [2]),
+	.B1(n_29378),
+	.C1(n_16017),
+	.Y(n_29786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g719507 (
+	.A1(n_15963),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [1]),
+	.B1(n_15961),
+	.Y(n_16072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g719509 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [1]),
+	.A2(n_15694),
+	.B1_N(n_29732),
+	.Y(n_29719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g719510 (
+	.A(n_15824),
+	.B(n_29342),
+	.Y(n_16069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g719511 (
+	.A(n_15824),
+	.B(n_29340),
+	.Y(n_16068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g719512 (
+	.A(n_15824),
+	.B(n_35122),
+	.Y(n_16067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g719513 (
+	.A(n_15824),
+	.B(n_29787),
+	.Y(n_16066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g719514 (
+	.A(n_16046),
+	.B(n_15919),
+	.C(n_15952),
+	.Y(n_29345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g719515 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [2]),
+	.A2(n_15979),
+	.B1(n_15883),
+	.Y(n_29346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g719516 (
+	.A_N(n_15882),
+	.B(n_15995),
+	.Y(n_30594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g719518 (
+	.A(n_15950),
+	.B(n_15813),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [46]),
+	.Y(n_16044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719519 (
+	.A(n_31398),
+	.B(n_71922),
+	.Y(n_16043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719520 (
+	.A(n_31399),
+	.B(n_71922),
+	.Y(n_16042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g719521 (
+	.A(n_15950),
+	.B(n_15817),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [45]),
+	.Y(n_16041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g719524 (
+	.A(n_15950),
+	.B(n_15813),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [47]),
+	.Y(n_16038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g719526 (
+	.A(n_31396),
+	.B(n_71922),
+	.Y(n_16036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g719532 (
+	.A(n_31393),
+	.B(n_71922),
+	.Y(n_16030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g719541 (
+	.A(n_31389),
+	.B(n_71922),
+	.Y(n_16024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g719542 (
+	.A(n_31391),
+	.B(n_71922),
+	.Y(n_16023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g719543 (
+	.A(n_31392),
+	.B(n_71922),
+	.Y(n_16022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g719546 (
+	.A(n_31400),
+	.B(n_71922),
+	.Y(n_16047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g719547 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [2]),
+	.B(n_15990),
+	.X(n_16046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g719549 (
+	.A(n_35122),
+	.Y(n_16018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g719550 (
+	.A(n_29342),
+	.Y(n_16017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719556 (
+	.A(n_31376),
+	.B(n_71922),
+	.Y(n_16010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719557 (
+	.A(n_31375),
+	.B(n_71922),
+	.Y(n_16009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719559 (
+	.A(n_31373),
+	.B(n_71922),
+	.Y(n_16007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g719563 (
+	.A_N(n_61467),
+	.B(n_15989),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g719565 (
+	.A(n_15950),
+	.B(n_15817),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [46]),
+	.Y(n_16002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g719566 (
+	.A1(n_34809),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [32]),
+	.B1(n_29796),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [31]),
+	.C1(n_15986),
+	.X(n_31739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719567 (
+	.A(n_75899),
+	.B(n_71922),
+	.Y(n_16001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719568 (
+	.A(n_12943),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [33]),
+	.Y(n_16000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719570 (
+	.A(n_12250),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [35]),
+	.Y(n_15998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g719571 (
+	.A(n_15694),
+	.B(n_15962),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [1]),
+	.Y(n_15997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g719574 (
+	.A(n_15980),
+	.B(n_15694),
+	.Y(n_15995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g719575 (
+	.A1(n_15947),
+	.A2(n_15694),
+	.B1(n_15993),
+	.Y(n_29787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g719576 (
+	.A_N(n_15958),
+	.B(n_15694),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.Y(n_29724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719577 (
+	.A(n_15992),
+	.B(n_15993),
+	.Y(n_29732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719578 (
+	.A(n_15951),
+	.B(n_15979),
+	.Y(n_29340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719580 (
+	.A(n_15990),
+	.B(n_15831),
+	.Y(n_29342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g719581 (
+	.A(n_15969),
+	.B(n_29354),
+	.Y(n_16016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g719582 (
+	.A(n_15989),
+	.Y(n_29790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g719585 (
+	.A(n_34952),
+	.B_N(n_29863),
+	.Y(n_15986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g719587 (
+	.A_N(n_15953),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [41]),
+	.Y(n_15984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719589 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mid_pipe_info_q[0][is_inf] ),
+	.B(n_39238),
+	.Y(n_15994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719590 (
+	.A(n_15958),
+	.B(n_15694),
+	.Y(n_15993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g719591 (
+	.A(n_15952),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_15992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719592 (
+	.A(n_15713),
+	.B(n_39238),
+	.Y(n_29354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g719593 (
+	.A(n_29788),
+	.B(n_15824),
+	.Y(n_15991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719594 (
+	.A(n_15950),
+	.B(n_15813),
+	.Y(n_29357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g719595 (
+	.A(n_15825),
+	.B(n_15957),
+	.Y(n_15990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g719596 (
+	.A(n_15713),
+	.B(n_15948),
+	.X(n_15989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g719599 (
+	.A(n_15978),
+	.Y(soc_top_u_top_u_core_alu_operand_b_ex[31]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g719601 (
+	.A(n_12250),
+	.Y(n_15977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32a_1 g719609 (
+	.A1(n_29615),
+	.A2(n_15830),
+	.A3(n_19601),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [31]),
+	.B2(n_29774),
+	.X(n_15966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g719610 (
+	.A1_N(n_29776),
+	.A2_N(n_29678),
+	.B1(n_15830),
+	.B2(n_15837),
+	.Y(n_15965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g719612 (
+	.A1(n_15827),
+	.A2(n_15659),
+	.B1(n_15715),
+	.C1(n_15943),
+	.Y(n_15963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g719613 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [4]),
+	.A2(n_15884),
+	.B1(n_15945),
+	.Y(n_15962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g719614 (
+	.A1(n_15886),
+	.A2(n_29725),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [1]),
+	.Y(n_15961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g719615 (
+	.A(n_15956),
+	.B(n_15944),
+	.Y(n_15980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g719616 (
+	.A1(n_15658),
+	.A2(n_15825),
+	.B1(n_15957),
+	.Y(n_15979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g719617 (
+	.A1(n_15835),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[31]),
+	.B1(n_29678),
+	.B2(n_23967),
+	.Y(n_15978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719618 (
+	.A(n_15950),
+	.B(n_15817),
+	.Y(n_29356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g719619 (
+	.A(n_15820),
+	.B(n_15949),
+	.Y(n_12250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g719620 (
+	.A(n_15950),
+	.B(n_15753),
+	.Y(n_15974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g719621 (
+	.A(n_15832),
+	.B(n_15948),
+	.Y(n_15969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g719623 (
+	.A(n_15959),
+	.Y(n_15960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g719624 (
+	.A(n_15956),
+	.Y(n_15957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g719627 (
+	.A(n_15953),
+	.Y(n_12312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 g719628 (
+	.A(n_15949),
+	.Y(n_15950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g719632 (
+	.A(n_15809),
+	.B_N(n_15884),
+	.Y(n_15947), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g719634 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[9]),
+	.B(soc_top_main_swith_host_lsu_num_req_outstanding[10]),
+	.C(soc_top_main_swith_host_lsu_num_req_outstanding[3]),
+	.D(n_29549),
+	.Y(n_15946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 g719635 (
+	.A1(n_75106),
+	.A2(n_59252),
+	.B1(n_59687),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [20]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g719636 (
+	.A(n_57104),
+	.B(n_48531),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [38]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g719637 (
+	.A(n_48501),
+	.B(n_15911),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [21]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 g719640 (
+	.A1(n_59252),
+	.A2(n_57784),
+	.B1(n_48530),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [23]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g719641 (
+	.A1(n_15827),
+	.A2(n_15715),
+	.B1(n_15659),
+	.X(n_15945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g719645 (
+	.A(n_15934),
+	.B(n_41790),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719646 (
+	.A(n_15941),
+	.B(n_15658),
+	.Y(n_15944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g719651 (
+	.A(n_64239),
+	.B(n_48534),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [26]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719652 (
+	.A(n_15888),
+	.B(n_59627),
+	.Y(n_15959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_4 g719653 (
+	.A1(n_29866),
+	.A2(n_15679),
+	.B1(n_28905),
+	.B2(n_29865),
+	.C1(n_15823),
+	.Y(n_29863), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719654 (
+	.A(n_15885),
+	.B(n_15828),
+	.Y(n_15958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g719655 (
+	.A(n_15659),
+	.B(n_15658),
+	.C(n_15939),
+	.X(n_29788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_4 g719656 (
+	.A1(n_15659),
+	.A2(n_15828),
+	.B1(n_15886),
+	.X(n_15956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719657 (
+	.A(n_15940),
+	.B(n_15839),
+	.Y(n_29377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719658 (
+	.A(n_15940),
+	.B(n_15840),
+	.Y(n_15953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31o_2 g719659 (
+	.A1(n_15659),
+	.A2(n_15715),
+	.A3(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [3]),
+	.B1(n_15694),
+	.X(n_15952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g719660 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [2]),
+	.A2(n_29725),
+	.B1(n_15831),
+	.X(n_15951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g719661 (
+	.A(n_15870),
+	.B(n_15825),
+	.Y(n_15949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4bb_4 g719662 (
+	.A_N(soc_top_u_top_u_core_fp_alu_operator[0]),
+	.B_N(soc_top_u_top_u_core_fp_alu_operator[1]),
+	.C(soc_top_u_top_u_core_fp_alu_operator[3]),
+	.D(soc_top_u_top_u_core_fp_alu_operator[2]),
+	.X(n_15948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g719670 (
+	.A1(n_75102),
+	.A2(FE_DBTN46_n_64232),
+	.B1(n_43363),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [16]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g719678 (
+	.A(n_38388),
+	.B(n_64236),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [13]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g719680 (
+	.A(n_43372),
+	.B(n_38382),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [28]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g719681 (
+	.A(n_59684),
+	.B(n_55710),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [33]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g719684 (
+	.A(n_59678),
+	.B(n_48511),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [10]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g719687 (
+	.A(n_15868),
+	.B(n_48507),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [9]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 g719688 (
+	.A1(n_86434),
+	.A2(n_59252),
+	.B1(n_15878),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [8]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g719690 (
+	.A(n_55470),
+	.B(n_55249),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [31]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g719691 (
+	.A1(n_86433),
+	.A2(n_59252),
+	.B1(n_15848),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [7]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g719693 (
+	.A(n_48502),
+	.B(n_59685),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [30]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 g719695 (
+	.A1(n_75103),
+	.A2(n_59252),
+	.B1(n_15938),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g719696 (
+	.A(n_15941),
+	.Y(n_15943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g719698 (
+	.A(n_15939),
+	.Y(n_15940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g719699 (
+	.A(n_59252),
+	.B(n_30900),
+	.Y(n_15938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719701 (
+	.A(n_59252),
+	.B(n_30899),
+	.Y(n_15936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719703 (
+	.A(n_59252),
+	.B(n_79477),
+	.Y(n_15934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g719718 (
+	.A_N(n_29730),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [3]),
+	.Y(n_15919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719723 (
+	.A(n_86613),
+	.B(n_55529),
+	.Y(n_15914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719726 (
+	.A(n_59252),
+	.B(n_30917),
+	.Y(n_15911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g719749 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [4]),
+	.B(n_29727),
+	.X(n_29378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g719750 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [4]),
+	.B(n_15826),
+	.Y(n_15941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g719751 (
+	.A(n_15694),
+	.B(n_15827),
+	.C(n_15715),
+	.Y(n_15939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g719752 (
+	.A(n_15834),
+	.Y(n_15886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g719753 (
+	.A(n_15882),
+	.Y(n_15883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g719755 (
+	.A(n_15880),
+	.Y(n_15879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719756 (
+	.A(n_59252),
+	.B(n_30904),
+	.Y(n_15878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g719758 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [51]),
+	.A2(n_15714),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [52]),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [0]),
+	.X(n_15876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_2 g719764 (
+	.A(n_15726),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [5]),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [2]),
+	.Y(n_15870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g719766 (
+	.A(n_59252),
+	.B(n_30905),
+	.Y(n_15868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g719773 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[0]),
+	.B(soc_top_main_swith_host_lsu_num_req_outstanding[5]),
+	.C(soc_top_main_swith_host_lsu_num_req_outstanding[6]),
+	.D(soc_top_main_swith_host_lsu_num_req_outstanding[2]),
+	.Y(n_15861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719786 (
+	.A(n_59252),
+	.B(n_30903),
+	.Y(n_15848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g719794 (
+	.A(n_15714),
+	.B(n_15809),
+	.Y(n_15840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g719795 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [0]),
+	.B(n_15809),
+	.Y(n_15839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g719796 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[13]),
+	.B(soc_top_main_swith_host_lsu_num_req_outstanding[15]),
+	.C(soc_top_main_swith_host_lsu_num_req_outstanding[16]),
+	.D(soc_top_main_swith_host_lsu_num_req_outstanding[14]),
+	.Y(n_15838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g719797 (
+	.A1(n_15683),
+	.A2(n_19601),
+	.B1(n_29798),
+	.Y(n_15837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g719798 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[1]),
+	.B(soc_top_main_swith_host_lsu_num_req_outstanding[4]),
+	.C(n_29550),
+	.Y(n_15836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g719799 (
+	.A(n_29512),
+	.B(n_29513),
+	.C(n_29613),
+	.Y(n_15835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719800 (
+	.A(n_15806),
+	.B(FE_DBTN56_n_59622),
+	.Y(n_15888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g719802 (
+	.A(n_15715),
+	.B(n_15819),
+	.Y(n_15834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g719803 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [3]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [5]),
+	.C(n_15659),
+	.Y(n_15885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719804 (
+	.A(n_29553),
+	.B(n_15713),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mid_pipe_info_q[0][is_inf] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g719805 (
+	.A(n_15715),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [3]),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [0]),
+	.X(n_15884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g719806 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [5]),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [4]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [2]),
+	.X(n_15882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_4 g719807 (
+	.A(soc_top_u_top_u_core_fp_alu_operator[2]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_n_32 ),
+	.C_N(soc_top_u_top_u_core_fp_alu_operator[3]),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g719808 (
+	.A(soc_top_u_top_u_core_fp_src_fmt[0]),
+	.B(n_29382),
+	.Y(n_15880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g719812 (
+	.A(n_15826),
+	.Y(n_15827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g719813 (
+	.A(n_15825),
+	.Y(n_29725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g719814 (
+	.A_N(n_29864),
+	.B(soc_top_u_top_u_core_pc_id[31]),
+	.Y(n_15823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719815 (
+	.A(FE_DBTN122_n_59102),
+	.B(n_15713),
+	.Y(n_15822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g719816 (
+	.A_N(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [31]),
+	.B(n_29796),
+	.Y(n_15821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719817 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [1]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [0]),
+	.Y(n_15820), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719818 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [4]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [3]),
+	.Y(n_15819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g719819 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Div_enable_S ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.Y(n_29544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g719820 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[11]),
+	.B(soc_top_main_swith_host_lsu_num_req_outstanding[12]),
+	.X(n_29550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g719821 (
+	.A(n_54294),
+	.B(n_108),
+	.Y(n_15832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719822 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [2]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [4]),
+	.Y(n_15831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719823 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [32]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.Y(n_15830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719824 (
+	.A(n_15694),
+	.B(n_15658),
+	.Y(n_29730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g719825 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [1]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [0]),
+	.Y(n_15828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g719826 (
+	.A(n_15726),
+	.B(n_15677),
+	.Y(n_15826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g719827 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [3]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [4]),
+	.Y(n_15825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g719828 (
+	.A(FE_DBTN139_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_0),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.Y(n_15824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g719833 (
+	.A(n_15811),
+	.Y(n_15810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g719834 (
+	.A(n_15808),
+	.Y(n_15807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g719835 (
+	.A(n_15805),
+	.Y(n_15806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719885 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [2]),
+	.B(n_15715),
+	.Y(n_15755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719886 (
+	.A(n_40737),
+	.B(n_15713),
+	.Y(n_15754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g719887 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [0]),
+	.B(n_15658),
+	.Y(n_15753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g719888 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [0]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [1]),
+	.Y(n_15817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g719889 (
+	.A(n_15714),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [1]),
+	.Y(n_15813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g719890 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[7]),
+	.B(soc_top_main_swith_host_lsu_num_req_outstanding[8]),
+	.X(n_29549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g719891 (
+	.A(n_38079),
+	.B(n_35476),
+	.X(n_29553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719892 (
+	.A(n_34990),
+	.B(FE_DBTN98_n_26214),
+	.Y(n_29382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g719893 (
+	.A(soc_top_u_top_u_core_fp_src_fmt[0]),
+	.B(\soc_top_u_top_u_core_fp_operands[0] [28]),
+	.Y(n_15812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719894 (
+	.A(n_15658),
+	.B(n_15726),
+	.Y(n_29727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g719895 (
+	.A(soc_top_u_top_u_core_fp_src_fmt[0]),
+	.B(n_91432),
+	.Y(n_15811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719896 (
+	.A(n_15659),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [1]),
+	.Y(n_15809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719897 (
+	.A(n_40712),
+	.B(n_15713),
+	.Y(n_15808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g719898 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_84 ),
+	.B(n_15713),
+	.Y(n_15805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g719914 (
+	.A(soc_top_u_top_u_core_fp_alu_op_mod),
+	.Y(n_108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g719926 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [0]),
+	.Y(n_15726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 g719935 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [5]),
+	.Y(n_15715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g719938 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [0]),
+	.Y(n_15714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g719939 (
+	.A(soc_top_u_top_u_core_fp_src_fmt[0]),
+	.Y(n_15713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 g719958 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [2]),
+	.Y(n_15694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g719971 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [4]),
+	.Y(n_40), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g719972 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [34]),
+	.Y(n_15680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g719973 (
+	.A(n_29679),
+	.Y(n_15679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g719975 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [3]),
+	.Y(n_15677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g719991 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [4]),
+	.Y(n_15659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g719994 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [1]),
+	.Y(n_15658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[0]  (
+	.CLK(CTS_78),
+	.D(soc_top_GPIO_data_in_d[0]),
+	.Q(soc_top_GPIO_data_in_q[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[1]  (
+	.CLK(CTS_80),
+	.D(soc_top_GPIO_data_in_d[1]),
+	.Q(soc_top_GPIO_data_in_q[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[2]  (
+	.CLK(CTS_80),
+	.D(soc_top_GPIO_data_in_d[2]),
+	.Q(soc_top_GPIO_data_in_q[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[3]  (
+	.CLK(CTS_80),
+	.D(soc_top_GPIO_data_in_d[3]),
+	.Q(soc_top_GPIO_data_in_q[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[4]  (
+	.CLK(CTS_139),
+	.D(soc_top_GPIO_data_in_d[4]),
+	.Q(soc_top_GPIO_data_in_q[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[5]  (
+	.CLK(CTS_139),
+	.D(soc_top_GPIO_data_in_d[5]),
+	.Q(soc_top_GPIO_data_in_q[5]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[6]  (
+	.CLK(CTS_139),
+	.D(soc_top_GPIO_data_in_d[6]),
+	.Q(soc_top_GPIO_data_in_q[6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[7]  (
+	.CLK(CTS_80),
+	.D(soc_top_GPIO_data_in_d[7]),
+	.Q(soc_top_GPIO_data_in_q[7]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[8]  (
+	.CLK(CTS_80),
+	.D(soc_top_GPIO_data_in_d[8]),
+	.Q(soc_top_GPIO_data_in_q[8]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[9]  (
+	.CLK(CTS_139),
+	.D(soc_top_GPIO_data_in_d[9]),
+	.Q(soc_top_GPIO_data_in_q[9]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[10]  (
+	.CLK(CTS_139),
+	.D(soc_top_GPIO_data_in_d[10]),
+	.Q(soc_top_GPIO_data_in_q[10]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[11]  (
+	.CLK(CTS_141),
+	.D(soc_top_GPIO_data_in_d[11]),
+	.Q(soc_top_GPIO_data_in_q[11]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[12]  (
+	.CLK(CTS_141),
+	.D(soc_top_GPIO_data_in_d[12]),
+	.Q(soc_top_GPIO_data_in_q[12]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[13]  (
+	.CLK(CTS_153),
+	.D(soc_top_GPIO_data_in_d[13]),
+	.Q(soc_top_GPIO_data_in_q[13]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[14]  (
+	.CLK(CTS_157),
+	.D(soc_top_GPIO_data_in_d[14]),
+	.Q(soc_top_GPIO_data_in_q[14]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[15]  (
+	.CLK(CTS_157),
+	.D(soc_top_GPIO_data_in_d[15]),
+	.Q(soc_top_GPIO_data_in_q[15]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[16]  (
+	.CLK(CTS_141),
+	.D(soc_top_GPIO_data_in_d[16]),
+	.Q(soc_top_GPIO_data_in_q[16]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[17]  (
+	.CLK(CTS_141),
+	.D(soc_top_GPIO_data_in_d[17]),
+	.Q(soc_top_GPIO_data_in_q[17]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[19]  (
+	.CLK(CTS_141),
+	.D(soc_top_GPIO_data_in_d[19]),
+	.Q(soc_top_GPIO_data_in_q[19]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[20]  (
+	.CLK(CTS_142),
+	.D(soc_top_GPIO_data_in_d[20]),
+	.Q(soc_top_GPIO_data_in_q[20]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[21]  (
+	.CLK(CTS_153),
+	.D(soc_top_GPIO_data_in_d[21]),
+	.Q(soc_top_GPIO_data_in_q[21]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[22]  (
+	.CLK(CTS_138),
+	.D(soc_top_GPIO_data_in_d[22]),
+	.Q(soc_top_GPIO_data_in_q[22]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[23]  (
+	.CLK(CTS_138),
+	.D(soc_top_GPIO_data_in_d[23]),
+	.Q(soc_top_GPIO_data_in_q[23]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[24]  (
+	.CLK(CTS_138),
+	.D(soc_top_GPIO_data_in_d[24]),
+	.Q(soc_top_GPIO_data_in_q[24]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[25]  (
+	.CLK(CTS_138),
+	.D(soc_top_GPIO_data_in_d[25]),
+	.Q(soc_top_GPIO_data_in_q[25]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[26]  (
+	.CLK(CTS_138),
+	.D(soc_top_GPIO_data_in_d[26]),
+	.Q(soc_top_GPIO_data_in_q[26]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[27]  (
+	.CLK(CTS_138),
+	.D(soc_top_GPIO_data_in_d[27]),
+	.Q(soc_top_GPIO_data_in_q[27]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[28]  (
+	.CLK(CTS_138),
+	.D(soc_top_GPIO_data_in_d[28]),
+	.Q(soc_top_GPIO_data_in_q[28]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[29]  (
+	.CLK(CTS_138),
+	.D(soc_top_GPIO_data_in_d[29]),
+	.Q(soc_top_GPIO_data_in_q[29]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[30]  (
+	.CLK(CTS_133),
+	.D(soc_top_GPIO_data_in_d[30]),
+	.Q(soc_top_GPIO_data_in_q[30]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[31]  (
+	.CLK(CTS_143),
+	.D(soc_top_GPIO_data_in_d[31]),
+	.Q(soc_top_GPIO_data_in_q[31]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[0].filter_filter_q_reg  (
+	.CLK(CTS_78),
+	.D(io_in[8]),
+	.Q(\soc_top_GPIO_gen_filter[0].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[1].filter_filter_q_reg  (
+	.CLK(CTS_80),
+	.D(io_in[9]),
+	.Q(\soc_top_GPIO_gen_filter[1].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[2].filter_filter_q_reg  (
+	.CLK(CTS_80),
+	.D(io_in[10]),
+	.Q(\soc_top_GPIO_gen_filter[2].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[3].filter_filter_q_reg  (
+	.CLK(CTS_80),
+	.D(io_in[11]),
+	.Q(\soc_top_GPIO_gen_filter[3].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[4].filter_filter_q_reg  (
+	.CLK(CTS_139),
+	.D(io_in[12]),
+	.Q(\soc_top_GPIO_gen_filter[4].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[5].filter_filter_q_reg  (
+	.CLK(CTS_80),
+	.D(io_in[13]),
+	.Q(\soc_top_GPIO_gen_filter[5].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[6].filter_filter_q_reg  (
+	.CLK(CTS_139),
+	.D(io_in[14]),
+	.Q(\soc_top_GPIO_gen_filter[6].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[7].filter_filter_q_reg  (
+	.CLK(CTS_80),
+	.D(io_in[15]),
+	.Q(\soc_top_GPIO_gen_filter[7].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[8].filter_filter_q_reg  (
+	.CLK(CTS_80),
+	.D(io_in[16]),
+	.Q(\soc_top_GPIO_gen_filter[8].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[9].filter_filter_q_reg  (
+	.CLK(CTS_139),
+	.D(io_in[17]),
+	.Q(\soc_top_GPIO_gen_filter[9].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[10].filter_filter_q_reg  (
+	.CLK(CTS_139),
+	.D(io_in[18]),
+	.Q(\soc_top_GPIO_gen_filter[10].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[11].filter_filter_q_reg  (
+	.CLK(CTS_139),
+	.D(io_in[19]),
+	.Q(\soc_top_GPIO_gen_filter[11].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[12].filter_filter_q_reg  (
+	.CLK(CTS_139),
+	.D(io_in[20]),
+	.Q(\soc_top_GPIO_gen_filter[12].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[13].filter_filter_q_reg  (
+	.CLK(CTS_147),
+	.D(io_in[21]),
+	.Q(\soc_top_GPIO_gen_filter[13].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[14].filter_filter_q_reg  (
+	.CLK(CTS_147),
+	.D(io_in[22]),
+	.Q(\soc_top_GPIO_gen_filter[14].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[15].filter_filter_q_reg  (
+	.CLK(CTS_145),
+	.D(io_in[23]),
+	.Q(\soc_top_GPIO_gen_filter[15].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[16].filter_filter_q_reg  (
+	.CLK(CTS_145),
+	.D(io_in[24]),
+	.Q(\soc_top_GPIO_gen_filter[16].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[17].filter_filter_q_reg  (
+	.CLK(CTS_145),
+	.D(io_in[25]),
+	.Q(\soc_top_GPIO_gen_filter[17].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[19].filter_filter_q_reg  (
+	.CLK(CTS_145),
+	.D(io_in[27]),
+	.Q(\soc_top_GPIO_gen_filter[19].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[20].filter_filter_q_reg  (
+	.CLK(CTS_157),
+	.D(io_in[28]),
+	.Q(\soc_top_GPIO_gen_filter[20].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[21].filter_filter_q_reg  (
+	.CLK(CTS_120),
+	.D(io_in[29]),
+	.Q(\soc_top_GPIO_gen_filter[21].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[22].filter_filter_q_reg  (
+	.CLK(CTS_120),
+	.D(io_in[30]),
+	.Q(\soc_top_GPIO_gen_filter[22].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[23].filter_filter_q_reg  (
+	.CLK(CTS_124),
+	.D(io_in[31]),
+	.Q(\soc_top_GPIO_gen_filter[23].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[24].filter_filter_q_reg  (
+	.CLK(CTS_132),
+	.D(io_in[32]),
+	.Q(\soc_top_GPIO_gen_filter[24].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[25].filter_filter_q_reg  (
+	.CLK(CTS_120),
+	.D(io_in[33]),
+	.Q(\soc_top_GPIO_gen_filter[25].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[26].filter_filter_q_reg  (
+	.CLK(CTS_132),
+	.D(io_in[34]),
+	.Q(\soc_top_GPIO_gen_filter[26].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[27].filter_filter_q_reg  (
+	.CLK(CTS_123),
+	.D(io_in[35]),
+	.Q(\soc_top_GPIO_gen_filter[27].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[28].filter_filter_q_reg  (
+	.CLK(CTS_132),
+	.D(io_in[36]),
+	.Q(\soc_top_GPIO_gen_filter[28].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[29].filter_filter_q_reg  (
+	.CLK(CTS_120),
+	.D(io_in[37]),
+	.Q(\soc_top_GPIO_gen_filter[29].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[30].filter_filter_q_reg  (
+	.CLK(CTS_12),
+	.D(io_in[1]),
+	.Q(\soc_top_GPIO_gen_filter[30].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[31].filter_filter_q_reg  (
+	.CLK(CTS_78),
+	.D(io_in[3]),
+	.Q(\soc_top_GPIO_gen_filter[31].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[0]  (
+	.CLK(CTS_143),
+	.D(n_13254),
+	.Q(soc_top_intr_gpio[0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[1]  (
+	.CLK(CTS_133),
+	.D(n_13103),
+	.Q(soc_top_intr_gpio[1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[2]  (
+	.CLK(CTS_133),
+	.D(n_13253),
+	.Q(soc_top_intr_gpio[2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[3]  (
+	.CLK(CTS_143),
+	.D(n_13102),
+	.Q(soc_top_intr_gpio[3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[4]  (
+	.CLK(CTS_80),
+	.D(n_13261),
+	.Q(soc_top_intr_gpio[4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[5]  (
+	.CLK(CTS_143),
+	.D(n_13101),
+	.Q(soc_top_intr_gpio[5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[6]  (
+	.CLK(CTS_78),
+	.D(n_13256),
+	.Q(soc_top_intr_gpio[6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[7]  (
+	.CLK(CTS_143),
+	.D(n_13100),
+	.Q(soc_top_intr_gpio[7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[9]  (
+	.CLK(CTS_143),
+	.D(n_13099),
+	.Q(soc_top_intr_gpio[9]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[11]  (
+	.CLK(CTS_143),
+	.D(n_13098),
+	.Q(soc_top_intr_gpio[11]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[13]  (
+	.CLK(CTS_142),
+	.D(n_13232),
+	.Q(soc_top_intr_gpio[13]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[14]  (
+	.CLK(CTS_142),
+	.D(n_13258),
+	.Q(soc_top_intr_gpio[14]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[15]  (
+	.CLK(CTS_142),
+	.D(n_13097),
+	.Q(soc_top_intr_gpio[15]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[17]  (
+	.CLK(CTS_142),
+	.D(n_13096),
+	.Q(soc_top_intr_gpio[17]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[19]  (
+	.CLK(CTS_142),
+	.D(n_13094),
+	.Q(soc_top_intr_gpio[19]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[21]  (
+	.CLK(CTS_138),
+	.D(n_13093),
+	.Q(soc_top_intr_gpio[21]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[22]  (
+	.CLK(CTS_138),
+	.D(n_13092),
+	.Q(soc_top_intr_gpio[22]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[29]  (
+	.CLK(CTS_138),
+	.D(n_13086),
+	.Q(soc_top_intr_gpio[29]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[0]  (
+	.CLK(CTS_143),
+	.D(soc_top_GPIO_data_in_d[0]),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[1]  (
+	.CLK(CTS_143),
+	.D(soc_top_GPIO_data_in_d[1]),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[2]  (
+	.CLK(CTS_143),
+	.D(soc_top_GPIO_data_in_d[2]),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[3]  (
+	.CLK(CTS_80),
+	.D(soc_top_GPIO_data_in_d[3]),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[4]  (
+	.CLK(CTS_139),
+	.D(soc_top_GPIO_data_in_d[4]),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[5]  (
+	.CLK(CTS_139),
+	.D(soc_top_GPIO_data_in_d[5]),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[6]  (
+	.CLK(CTS_139),
+	.D(soc_top_GPIO_data_in_d[6]),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[7]  (
+	.CLK(CTS_143),
+	.D(soc_top_GPIO_data_in_d[7]),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[8]  (
+	.CLK(CTS_143),
+	.D(soc_top_GPIO_data_in_d[8]),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[9]  (
+	.CLK(CTS_143),
+	.D(soc_top_GPIO_data_in_d[9]),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[9]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[10]  (
+	.CLK(CTS_139),
+	.D(soc_top_GPIO_data_in_d[10]),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[10]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[11]  (
+	.CLK(CTS_142),
+	.D(soc_top_GPIO_data_in_d[11]),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[11]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[12]  (
+	.CLK(CTS_141),
+	.D(soc_top_GPIO_data_in_d[12]),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[12]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[13]  (
+	.CLK(CTS_153),
+	.D(soc_top_GPIO_data_in_d[13]),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[13]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[14]  (
+	.CLK(CTS_157),
+	.D(soc_top_GPIO_data_in_d[14]),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[14]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[15]  (
+	.CLK(CTS_153),
+	.D(soc_top_GPIO_data_in_d[15]),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[15]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[16]  (
+	.CLK(CTS_141),
+	.D(soc_top_GPIO_data_in_d[16]),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[16]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[17]  (
+	.CLK(CTS_141),
+	.D(soc_top_GPIO_data_in_d[17]),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[17]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[19]  (
+	.CLK(CTS_141),
+	.D(soc_top_GPIO_data_in_d[19]),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[19]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[20]  (
+	.CLK(CTS_157),
+	.D(soc_top_GPIO_data_in_d[20]),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[20]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[21]  (
+	.CLK(CTS_123),
+	.D(soc_top_GPIO_data_in_d[21]),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[21]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[22]  (
+	.CLK(CTS_123),
+	.D(soc_top_GPIO_data_in_d[22]),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[22]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[23]  (
+	.CLK(CTS_123),
+	.D(soc_top_GPIO_data_in_d[23]),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[23]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[24]  (
+	.CLK(CTS_138),
+	.D(soc_top_GPIO_data_in_d[24]),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[24]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[25]  (
+	.CLK(CTS_123),
+	.D(soc_top_GPIO_data_in_d[25]),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[25]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[26]  (
+	.CLK(CTS_123),
+	.D(soc_top_GPIO_data_in_d[26]),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[26]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[27]  (
+	.CLK(CTS_123),
+	.D(soc_top_GPIO_data_in_d[27]),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[27]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[28]  (
+	.CLK(CTS_123),
+	.D(soc_top_GPIO_data_in_d[28]),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[28]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[29]  (
+	.CLK(CTS_123),
+	.D(soc_top_GPIO_data_in_d[29]),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[29]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[30]  (
+	.CLK(CTS_133),
+	.D(soc_top_GPIO_data_in_d[30]),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[30]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[31]  (
+	.CLK(CTS_133),
+	.D(soc_top_GPIO_data_in_d[31]),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[31]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_dccm_adapter_addr_o_reg[0]  (
+	.CLK_N(CTS_151),
+	.D(n_74164),
+	.Q(soc_top_data_addr[0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_dccm_adapter_addr_o_reg[1]  (
+	.CLK_N(CTS_151),
+	.D(n_74172),
+	.Q(soc_top_data_addr[1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_dccm_adapter_addr_o_reg[2]  (
+	.CLK_N(CTS_151),
+	.D(n_74177),
+	.Q(soc_top_data_addr[2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_dccm_adapter_addr_o_reg[3]  (
+	.CLK_N(CTS_151),
+	.D(n_74165),
+	.Q(soc_top_data_addr[3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_dccm_adapter_addr_o_reg[4]  (
+	.CLK_N(CTS_151),
+	.D(n_74173),
+	.Q(soc_top_data_addr[4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_dccm_adapter_addr_o_reg[5]  (
+	.CLK_N(CTS_151),
+	.D(n_74179),
+	.Q(soc_top_data_addr[5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_dccm_adapter_addr_o_reg[6]  (
+	.CLK_N(CTS_151),
+	.D(n_74174),
+	.Q(soc_top_data_addr[6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_dccm_adapter_addr_o_reg[7]  (
+	.CLK_N(CTS_151),
+	.D(n_74175),
+	.Q(soc_top_data_addr[7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_dccm_adapter_addr_o_reg[8]  (
+	.CLK_N(CTS_12),
+	.D(n_74178),
+	.Q(soc_top_data_addr[8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_dccm_adapter_addr_o_reg[9]  (
+	.CLK_N(CTS_12),
+	.D(n_74176),
+	.Q(soc_top_data_addr[9]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfbbn_1 soc_top_dccm_adapter_csb_reg (
+	.CLK_N(CTS_12),
+	.D(soc_top_dccm_adapter_data_csbD),
+	.Q(UNCONNECTED494),
+	.Q_N(soc_top_data_csb),
+	.RESET_B(io_oeb[0]),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_dccm_adapter_wdata_o_reg[0]  (
+	.CLK_N(CTS_118),
+	.D(n_84860),
+	.Q(soc_top_data_wdata[0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_dccm_adapter_wdata_o_reg[1]  (
+	.CLK_N(CTS_152),
+	.D(n_85561),
+	.Q(soc_top_data_wdata[1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_dccm_adapter_wdata_o_reg[2]  (
+	.CLK_N(CTS_118),
+	.D(n_84859),
+	.Q(soc_top_data_wdata[2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_dccm_adapter_wdata_o_reg[3]  (
+	.CLK_N(CTS_118),
+	.D(n_84858),
+	.Q(soc_top_data_wdata[3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_dccm_adapter_wdata_o_reg[4]  (
+	.CLK_N(CTS_118),
+	.D(n_84861),
+	.Q(soc_top_data_wdata[4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_dccm_adapter_wdata_o_reg[5]  (
+	.CLK_N(CTS_118),
+	.D(n_85560),
+	.Q(soc_top_data_wdata[5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_dccm_adapter_wdata_o_reg[6]  (
+	.CLK_N(CTS_118),
+	.D(n_85559),
+	.Q(soc_top_data_wdata[6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_dccm_adapter_wdata_o_reg[7]  (
+	.CLK_N(CTS_118),
+	.D(n_85562),
+	.Q(soc_top_data_wdata[7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_dccm_adapter_wdata_o_reg[8]  (
+	.CLK_N(CTS_118),
+	.D(n_74610),
+	.Q(soc_top_data_wdata[8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_dccm_adapter_wdata_o_reg[9]  (
+	.CLK_N(CTS_118),
+	.D(n_73544),
+	.Q(soc_top_data_wdata[9]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_dccm_adapter_wdata_o_reg[10]  (
+	.CLK_N(CTS_152),
+	.D(n_74776),
+	.Q(soc_top_data_wdata[10]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_dccm_adapter_wdata_o_reg[11]  (
+	.CLK_N(CTS_118),
+	.D(n_73545),
+	.Q(soc_top_data_wdata[11]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_dccm_adapter_wdata_o_reg[12]  (
+	.CLK_N(CTS_118),
+	.D(n_74614),
+	.Q(soc_top_data_wdata[12]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_dccm_adapter_wdata_o_reg[13]  (
+	.CLK_N(CTS_118),
+	.D(n_73541),
+	.Q(soc_top_data_wdata[13]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_dccm_adapter_wdata_o_reg[14]  (
+	.CLK_N(CTS_118),
+	.D(n_73542),
+	.Q(soc_top_data_wdata[14]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_dccm_adapter_wdata_o_reg[15]  (
+	.CLK_N(CTS_118),
+	.D(n_73543),
+	.Q(soc_top_data_wdata[15]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_dccm_adapter_wdata_o_reg[16]  (
+	.CLK_N(CTS_138),
+	.D(n_73183),
+	.Q(soc_top_data_wdata[16]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_dccm_adapter_wdata_o_reg[17]  (
+	.CLK_N(CTS_138),
+	.D(n_73181),
+	.Q(soc_top_data_wdata[17]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_dccm_adapter_wdata_o_reg[18]  (
+	.CLK_N(CTS_152),
+	.D(n_74389),
+	.Q(soc_top_data_wdata[18]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_dccm_adapter_wdata_o_reg[19]  (
+	.CLK_N(CTS_152),
+	.D(n_85184),
+	.Q(soc_top_data_wdata[19]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_dccm_adapter_wdata_o_reg[20]  (
+	.CLK_N(CTS_140),
+	.D(n_73185),
+	.Q(soc_top_data_wdata[20]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_dccm_adapter_wdata_o_reg[21]  (
+	.CLK_N(CTS_138),
+	.D(n_85125),
+	.Q(soc_top_data_wdata[21]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_dccm_adapter_wdata_o_reg[22]  (
+	.CLK_N(CTS_140),
+	.D(n_73182),
+	.Q(soc_top_data_wdata[22]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_dccm_adapter_wdata_o_reg[23]  (
+	.CLK_N(CTS_138),
+	.D(n_73184),
+	.Q(soc_top_data_wdata[23]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_dccm_adapter_wdata_o_reg[24]  (
+	.CLK_N(CTS_118),
+	.D(n_74773),
+	.Q(soc_top_data_wdata[24]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_dccm_adapter_wdata_o_reg[25]  (
+	.CLK_N(CTS_118),
+	.D(n_85208),
+	.Q(soc_top_data_wdata[25]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_dccm_adapter_wdata_o_reg[26]  (
+	.CLK_N(CTS_140),
+	.D(n_72165),
+	.Q(soc_top_data_wdata[26]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_dccm_adapter_wdata_o_reg[27]  (
+	.CLK_N(CTS_118),
+	.D(n_73933),
+	.Q(soc_top_data_wdata[27]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_dccm_adapter_wdata_o_reg[28]  (
+	.CLK_N(CTS_138),
+	.D(n_73689),
+	.Q(soc_top_data_wdata[28]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_dccm_adapter_wdata_o_reg[29]  (
+	.CLK_N(CTS_138),
+	.D(n_14339),
+	.Q(soc_top_data_wdata[29]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_dccm_adapter_wdata_o_reg[30]  (
+	.CLK_N(CTS_140),
+	.D(n_14336),
+	.Q(soc_top_data_wdata[30]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_dccm_adapter_wdata_o_reg[31]  (
+	.CLK_N(CTS_142),
+	.D(n_14337),
+	.Q(soc_top_data_wdata[31]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 soc_top_dccm_adapter_we_o_reg (
+	.CLK_N(CTS_12),
+	.D(soc_top_dccm_adapter_data_weD),
+	.Q(soc_top_data_we),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_dccm_adapter_wmask_o_reg[0]  (
+	.CLK_N(CTS_151),
+	.D(n_74170),
+	.Q(soc_top_data_wmask[0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_dccm_adapter_wmask_o_reg[1]  (
+	.CLK_N(CTS_151),
+	.D(n_74169),
+	.Q(soc_top_data_wmask[1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_dccm_adapter_wmask_o_reg[2]  (
+	.CLK_N(CTS_151),
+	.D(n_74168),
+	.Q(soc_top_data_wmask[2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_dccm_adapter_wmask_o_reg[3]  (
+	.CLK_N(CTS_12),
+	.D(n_74171),
+	.Q(soc_top_data_wmask[3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_iccm_adapter_instr_addrD_reg[0]  (
+	.CLK_N(CTS_79),
+	.D(n_14769),
+	.Q(soc_top_instr_addr[0]),
+	.RESET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_iccm_adapter_instr_addrD_reg[1]  (
+	.CLK_N(CTS_80),
+	.D(n_73955),
+	.Q(soc_top_instr_addr[1]),
+	.RESET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_iccm_adapter_instr_addrD_reg[2]  (
+	.CLK_N(CTS_80),
+	.D(n_70416),
+	.Q(soc_top_instr_addr[2]),
+	.RESET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_iccm_adapter_instr_addrD_reg[3]  (
+	.CLK_N(CTS_80),
+	.D(n_70440),
+	.Q(soc_top_instr_addr[3]),
+	.RESET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_iccm_adapter_instr_addrD_reg[4]  (
+	.CLK_N(CTS_80),
+	.D(n_70410),
+	.Q(soc_top_instr_addr[4]),
+	.RESET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_iccm_adapter_instr_addrD_reg[5]  (
+	.CLK_N(CTS_80),
+	.D(n_73808),
+	.Q(soc_top_instr_addr[5]),
+	.RESET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_iccm_adapter_instr_addrD_reg[6]  (
+	.CLK_N(CTS_80),
+	.D(n_70422),
+	.Q(soc_top_instr_addr[6]),
+	.RESET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_iccm_adapter_instr_addrD_reg[7]  (
+	.CLK_N(CTS_79),
+	.D(n_70377),
+	.Q(soc_top_instr_addr[7]),
+	.RESET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_iccm_adapter_instr_addrD_reg[8]  (
+	.CLK_N(CTS_79),
+	.D(n_70404),
+	.Q(soc_top_instr_addr[8]),
+	.RESET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_iccm_adapter_instr_addrD_reg[9]  (
+	.CLK_N(CTS_79),
+	.D(n_70428),
+	.Q(soc_top_instr_addr[9]),
+	.RESET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 soc_top_iccm_adapter_instr_csbD_reg (
+	.CLK_N(CTS_79),
+	.D(n_14564),
+	.Q(soc_top_iccm_adapter_instr_csbD),
+	.RESET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_iccm_adapter_instr_wdataD_reg[0]  (
+	.CLK_N(CTS_139),
+	.D(n_13074),
+	.Q(soc_top_instr_wdata[0]),
+	.RESET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_iccm_adapter_instr_wdataD_reg[1]  (
+	.CLK_N(CTS_139),
+	.D(n_13073),
+	.Q(soc_top_instr_wdata[1]),
+	.RESET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_iccm_adapter_instr_wdataD_reg[2]  (
+	.CLK_N(CTS_141),
+	.D(n_13072),
+	.Q(soc_top_instr_wdata[2]),
+	.RESET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_iccm_adapter_instr_wdataD_reg[3]  (
+	.CLK_N(CTS_139),
+	.D(n_13235),
+	.Q(soc_top_instr_wdata[3]),
+	.RESET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_iccm_adapter_instr_wdataD_reg[4]  (
+	.CLK_N(CTS_139),
+	.D(n_13071),
+	.Q(soc_top_instr_wdata[4]),
+	.RESET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_iccm_adapter_instr_wdataD_reg[5]  (
+	.CLK_N(CTS_141),
+	.D(n_13221),
+	.Q(soc_top_instr_wdata[5]),
+	.RESET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_iccm_adapter_instr_wdataD_reg[6]  (
+	.CLK_N(CTS_139),
+	.D(n_13070),
+	.Q(soc_top_instr_wdata[6]),
+	.RESET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_iccm_adapter_instr_wdataD_reg[7]  (
+	.CLK_N(CTS_139),
+	.D(n_13069),
+	.Q(soc_top_instr_wdata[7]),
+	.RESET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_iccm_adapter_instr_wdataD_reg[8]  (
+	.CLK_N(CTS_141),
+	.D(n_13068),
+	.Q(soc_top_instr_wdata[8]),
+	.RESET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_iccm_adapter_instr_wdataD_reg[9]  (
+	.CLK_N(CTS_141),
+	.D(n_13231),
+	.Q(soc_top_instr_wdata[9]),
+	.RESET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_iccm_adapter_instr_wdataD_reg[10]  (
+	.CLK_N(CTS_141),
+	.D(n_13067),
+	.Q(soc_top_instr_wdata[10]),
+	.RESET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_iccm_adapter_instr_wdataD_reg[11]  (
+	.CLK_N(CTS_141),
+	.D(n_13230),
+	.Q(soc_top_instr_wdata[11]),
+	.RESET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_iccm_adapter_instr_wdataD_reg[12]  (
+	.CLK_N(CTS_141),
+	.D(n_13066),
+	.Q(soc_top_instr_wdata[12]),
+	.RESET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_iccm_adapter_instr_wdataD_reg[13]  (
+	.CLK_N(CTS_141),
+	.D(n_13224),
+	.Q(soc_top_instr_wdata[13]),
+	.RESET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_iccm_adapter_instr_wdataD_reg[14]  (
+	.CLK_N(CTS_141),
+	.D(n_13065),
+	.Q(soc_top_instr_wdata[14]),
+	.RESET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_iccm_adapter_instr_wdataD_reg[15]  (
+	.CLK_N(CTS_141),
+	.D(n_13064),
+	.Q(soc_top_instr_wdata[15]),
+	.RESET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_iccm_adapter_instr_wdataD_reg[16]  (
+	.CLK_N(CTS_141),
+	.D(n_13063),
+	.Q(soc_top_instr_wdata[16]),
+	.RESET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_iccm_adapter_instr_wdataD_reg[17]  (
+	.CLK_N(CTS_141),
+	.D(n_13223),
+	.Q(soc_top_instr_wdata[17]),
+	.RESET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_iccm_adapter_instr_wdataD_reg[18]  (
+	.CLK_N(CTS_141),
+	.D(n_13062),
+	.Q(soc_top_instr_wdata[18]),
+	.RESET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_iccm_adapter_instr_wdataD_reg[19]  (
+	.CLK_N(CTS_156),
+	.D(n_13217),
+	.Q(soc_top_instr_wdata[19]),
+	.RESET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_iccm_adapter_instr_wdataD_reg[20]  (
+	.CLK_N(CTS_156),
+	.D(n_13061),
+	.Q(soc_top_instr_wdata[20]),
+	.RESET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_iccm_adapter_instr_wdataD_reg[21]  (
+	.CLK_N(CTS_156),
+	.D(n_13220),
+	.Q(soc_top_instr_wdata[21]),
+	.RESET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_iccm_adapter_instr_wdataD_reg[22]  (
+	.CLK_N(CTS_141),
+	.D(n_13060),
+	.Q(soc_top_instr_wdata[22]),
+	.RESET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_iccm_adapter_instr_wdataD_reg[23]  (
+	.CLK_N(CTS_141),
+	.D(n_13059),
+	.Q(soc_top_instr_wdata[23]),
+	.RESET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_iccm_adapter_instr_wdataD_reg[24]  (
+	.CLK_N(CTS_156),
+	.D(n_13058),
+	.Q(soc_top_instr_wdata[24]),
+	.RESET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_iccm_adapter_instr_wdataD_reg[25]  (
+	.CLK_N(CTS_156),
+	.D(n_13218),
+	.Q(soc_top_instr_wdata[25]),
+	.RESET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_iccm_adapter_instr_wdataD_reg[26]  (
+	.CLK_N(CTS_156),
+	.D(n_13057),
+	.Q(soc_top_instr_wdata[26]),
+	.RESET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_iccm_adapter_instr_wdataD_reg[27]  (
+	.CLK_N(CTS_156),
+	.D(n_13219),
+	.Q(soc_top_instr_wdata[27]),
+	.RESET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_iccm_adapter_instr_wdataD_reg[28]  (
+	.CLK_N(CTS_156),
+	.D(n_13056),
+	.Q(soc_top_instr_wdata[28]),
+	.RESET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_iccm_adapter_instr_wdataD_reg[29]  (
+	.CLK_N(CTS_156),
+	.D(n_13222),
+	.Q(soc_top_instr_wdata[29]),
+	.RESET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_iccm_adapter_instr_wdataD_reg[30]  (
+	.CLK_N(CTS_156),
+	.D(n_13055),
+	.Q(soc_top_instr_wdata[30]),
+	.RESET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_iccm_adapter_instr_wdataD_reg[31]  (
+	.CLK_N(CTS_141),
+	.D(n_13239),
+	.Q(soc_top_instr_wdata[31]),
+	.RESET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 soc_top_iccm_adapter_instr_weD_reg (
+	.CLK_N(CTS_79),
+	.D(n_13211),
+	.Q(soc_top_iccm_adapter_instr_weD),
+	.RESET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfbbn_1 \soc_top_iccm_adapter_instr_wmaskD_reg[0]  (
+	.CLK_N(CTS_80),
+	.D(n_14329),
+	.Q(soc_top_instr_wmask[0]),
+	.Q_N(n_83563),
+	.RESET_B(io_oeb[0]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[1]  (
+	.CLK(CTS_133),
+	.D(soc_top_intr_gpio[0]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[2]  (
+	.CLK(CTS_133),
+	.D(soc_top_intr_gpio[1]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[3]  (
+	.CLK(CTS_133),
+	.D(soc_top_intr_gpio[2]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[4]  (
+	.CLK(CTS_78),
+	.D(soc_top_intr_gpio[3]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[5]  (
+	.CLK(CTS_78),
+	.D(soc_top_intr_gpio[4]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[6]  (
+	.CLK(CTS_133),
+	.D(soc_top_intr_gpio[5]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[7]  (
+	.CLK(CTS_78),
+	.D(soc_top_intr_gpio[6]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[8]  (
+	.CLK(CTS_143),
+	.D(soc_top_intr_gpio[7]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[9]  (
+	.CLK(CTS_133),
+	.D(soc_top_intr_gpio[8]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[9]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[10]  (
+	.CLK(CTS_133),
+	.D(soc_top_intr_gpio[9]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[10]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[11]  (
+	.CLK(CTS_133),
+	.D(soc_top_intr_gpio[10]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[11]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[12]  (
+	.CLK(CTS_133),
+	.D(soc_top_intr_gpio[11]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[12]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[13]  (
+	.CLK(CTS_140),
+	.D(soc_top_intr_gpio[12]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[13]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[14]  (
+	.CLK(CTS_140),
+	.D(soc_top_intr_gpio[13]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[14]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[15]  (
+	.CLK(CTS_133),
+	.D(soc_top_intr_gpio[14]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[15]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[16]  (
+	.CLK(CTS_140),
+	.D(soc_top_intr_gpio[15]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[16]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[17]  (
+	.CLK(CTS_140),
+	.D(soc_top_intr_gpio[16]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[17]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[18]  (
+	.CLK(CTS_140),
+	.D(soc_top_intr_gpio[17]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[18]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[19]  (
+	.CLK(CTS_140),
+	.D(soc_top_intr_gpio[18]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[19]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[20]  (
+	.CLK(CTS_140),
+	.D(soc_top_intr_gpio[19]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[20]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[21]  (
+	.CLK(CTS_140),
+	.D(soc_top_intr_gpio[20]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[21]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[22]  (
+	.CLK(CTS_140),
+	.D(soc_top_intr_gpio[21]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[22]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[23]  (
+	.CLK(CTS_140),
+	.D(soc_top_intr_gpio[22]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[23]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[24]  (
+	.CLK(CTS_131),
+	.D(soc_top_intr_gpio[23]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[24]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[25]  (
+	.CLK(CTS_131),
+	.D(soc_top_intr_gpio[24]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[25]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[26]  (
+	.CLK(CTS_140),
+	.D(soc_top_intr_gpio[25]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[26]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[27]  (
+	.CLK(CTS_131),
+	.D(soc_top_intr_gpio[26]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[27]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[28]  (
+	.CLK(CTS_131),
+	.D(soc_top_intr_gpio[27]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[28]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[29]  (
+	.CLK(CTS_131),
+	.D(soc_top_intr_gpio[28]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[29]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[30]  (
+	.CLK(CTS_131),
+	.D(soc_top_intr_gpio[29]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[30]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[31]  (
+	.CLK(CTS_133),
+	.D(soc_top_intr_gpio[30]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[31]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[32]  (
+	.CLK(CTS_129),
+	.D(soc_top_intr_gpio[31]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[32]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[33]  (
+	.CLK(CTS_132),
+	.D(soc_top_intr_u_rx),
+	.Q(soc_top_intr_controller_u_gateway_src_q[33]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[34]  (
+	.CLK(CTS_118),
+	.D(soc_top_intr_u_tx),
+	.Q(soc_top_intr_controller_u_gateway_src_q[34]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[35]  (
+	.CLK(CTS_118),
+	.D(soc_top_intr_stx),
+	.Q(soc_top_intr_controller_u_gateway_src_q[35]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[36]  (
+	.CLK(CTS_118),
+	.D(soc_top_intr_srx),
+	.Q(soc_top_intr_controller_u_gateway_src_q[36]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_1_q_reg[0]  (
+	.CLK(CTS_129),
+	.D(soc_top_intr_controller_ip[1]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_1_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_2_q_reg[0]  (
+	.CLK(CTS_129),
+	.D(soc_top_intr_controller_ip[2]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_2_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_3_q_reg[0]  (
+	.CLK(CTS_129),
+	.D(soc_top_intr_controller_ip[3]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_3_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_4_q_reg[0]  (
+	.CLK(CTS_78),
+	.D(soc_top_intr_controller_ip[4]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_4_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_5_q_reg[0]  (
+	.CLK(CTS_78),
+	.D(soc_top_intr_controller_ip[5]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_5_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_6_q_reg[0]  (
+	.CLK(CTS_78),
+	.D(soc_top_intr_controller_ip[6]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_6_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_7_q_reg[0]  (
+	.CLK(CTS_78),
+	.D(soc_top_intr_controller_ip[7]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_7_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_8_q_reg[0]  (
+	.CLK(CTS_133),
+	.D(soc_top_intr_controller_ip[8]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_8_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_9_q_reg[0]  (
+	.CLK(CTS_133),
+	.D(soc_top_intr_controller_ip[9]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_9_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_10_q_reg[0]  (
+	.CLK(CTS_133),
+	.D(soc_top_intr_controller_ip[10]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_10_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_11_q_reg[0]  (
+	.CLK(CTS_133),
+	.D(soc_top_intr_controller_ip[11]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_11_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_12_q_reg[0]  (
+	.CLK(CTS_133),
+	.D(soc_top_intr_controller_ip[12]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_12_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_13_q_reg[0]  (
+	.CLK(CTS_133),
+	.D(soc_top_intr_controller_ip[13]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_13_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_14_q_reg[0]  (
+	.CLK(CTS_140),
+	.D(soc_top_intr_controller_ip[14]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_14_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_15_q_reg[0]  (
+	.CLK(CTS_133),
+	.D(soc_top_intr_controller_ip[15]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_15_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_16_q_reg[0]  (
+	.CLK(CTS_140),
+	.D(soc_top_intr_controller_ip[16]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_16_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_17_q_reg[0]  (
+	.CLK(CTS_140),
+	.D(soc_top_intr_controller_ip[17]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_17_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_18_q_reg[0]  (
+	.CLK(CTS_140),
+	.D(soc_top_intr_controller_ip[18]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_18_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_19_q_reg[0]  (
+	.CLK(CTS_140),
+	.D(soc_top_intr_controller_ip[19]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_19_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_20_q_reg[0]  (
+	.CLK(CTS_140),
+	.D(soc_top_intr_controller_ip[20]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_20_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_21_q_reg[0]  (
+	.CLK(CTS_140),
+	.D(soc_top_intr_controller_ip[21]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_21_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_22_q_reg[0]  (
+	.CLK(CTS_140),
+	.D(soc_top_intr_controller_ip[22]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_22_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_23_q_reg[0]  (
+	.CLK(CTS_131),
+	.D(soc_top_intr_controller_ip[23]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_23_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_24_q_reg[0]  (
+	.CLK(CTS_131),
+	.D(soc_top_intr_controller_ip[24]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_24_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_25_q_reg[0]  (
+	.CLK(CTS_131),
+	.D(soc_top_intr_controller_ip[25]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_25_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_26_q_reg[0]  (
+	.CLK(CTS_131),
+	.D(soc_top_intr_controller_ip[26]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_26_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_27_q_reg[0]  (
+	.CLK(CTS_131),
+	.D(soc_top_intr_controller_ip[27]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_27_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_28_q_reg[0]  (
+	.CLK(CTS_131),
+	.D(soc_top_intr_controller_ip[28]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_28_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_29_q_reg[0]  (
+	.CLK(CTS_131),
+	.D(soc_top_intr_controller_ip[29]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_29_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_30_q_reg[0]  (
+	.CLK(CTS_131),
+	.D(soc_top_intr_controller_ip[30]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_30_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_31_q_reg[0]  (
+	.CLK(CTS_140),
+	.D(soc_top_intr_controller_ip[31]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_31_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_1_p_32_q_reg[0]  (
+	.CLK(CTS_151),
+	.D(soc_top_intr_controller_ip[32]),
+	.Q(soc_top_intr_controller_u_reg_reg_rdata_next[0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_1_p_33_q_reg[0]  (
+	.CLK(CTS_129),
+	.D(soc_top_intr_controller_ip[33]),
+	.Q(soc_top_intr_controller_u_reg_reg_rdata_next[1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_1_p_34_q_reg[0]  (
+	.CLK(CTS_129),
+	.D(soc_top_intr_controller_ip[34]),
+	.Q(soc_top_intr_controller_u_reg_reg_rdata_next[2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_1_p_35_q_reg[0]  (
+	.CLK(CTS_129),
+	.D(soc_top_intr_controller_ip[35]),
+	.Q(soc_top_intr_controller_u_reg_reg_rdata_next[3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_1_p_36_q_reg[0]  (
+	.CLK(CTS_129),
+	.D(soc_top_intr_controller_ip[36]),
+	.Q(soc_top_intr_controller_u_reg_reg_rdata_next[4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 soc_top_timer0_u_reg_u_compare_lower0_0_qe_reg (
+	.CLK(CTS_118),
+	.D(n_14368),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][qe] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 soc_top_timer0_u_reg_u_compare_upper0_0_qe_reg (
+	.CLK(CTS_118),
+	.D(n_14364),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][qe] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 soc_top_u_spi_host_spi_host_clgen_neg_edge_reg (
+	.CLK(CTS_152),
+	.D(n_14957),
+	.Q(soc_top_u_spi_host_spi_host_neg_edge),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 soc_top_u_spi_host_spi_host_intr_rx_o_reg (
+	.CLK(CTS_118),
+	.D(n_13949),
+	.Q(soc_top_intr_srx), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[0]  (
+	.CLK(CTS_51),
+	.D(n_14301),
+	.Q(soc_top_u_spi_host_rdata[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[1]  (
+	.CLK(CTS_51),
+	.D(n_14300),
+	.Q(soc_top_u_spi_host_rdata[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[2]  (
+	.CLK(CTS_51),
+	.D(n_14299),
+	.Q(soc_top_u_spi_host_rdata[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[3]  (
+	.CLK(CTS_51),
+	.D(n_14298),
+	.Q(soc_top_u_spi_host_rdata[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[4]  (
+	.CLK(CTS_51),
+	.D(n_14297),
+	.Q(soc_top_u_spi_host_rdata[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[5]  (
+	.CLK(CTS_51),
+	.D(n_14296),
+	.Q(soc_top_u_spi_host_rdata[5]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[6]  (
+	.CLK(CTS_12),
+	.D(n_14295),
+	.Q(soc_top_u_spi_host_rdata[6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[7]  (
+	.CLK(CTS_12),
+	.D(n_14294),
+	.Q(soc_top_u_spi_host_rdata[7]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[8]  (
+	.CLK(CTS_51),
+	.D(n_14293),
+	.Q(soc_top_u_spi_host_rdata[8]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[9]  (
+	.CLK(CTS_51),
+	.D(n_14292),
+	.Q(soc_top_u_spi_host_rdata[9]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[10]  (
+	.CLK(CTS_51),
+	.D(n_14291),
+	.Q(soc_top_u_spi_host_rdata[10]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[11]  (
+	.CLK(CTS_51),
+	.D(n_14290),
+	.Q(soc_top_u_spi_host_rdata[11]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[12]  (
+	.CLK(CTS_51),
+	.D(n_14289),
+	.Q(soc_top_u_spi_host_rdata[12]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[13]  (
+	.CLK(CTS_37),
+	.D(n_14288),
+	.Q(soc_top_u_spi_host_rdata[13]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[14]  (
+	.CLK(CTS_37),
+	.D(n_14287),
+	.Q(soc_top_u_spi_host_rdata[14]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[15]  (
+	.CLK(CTS_37),
+	.D(n_14286),
+	.Q(soc_top_u_spi_host_rdata[15]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[16]  (
+	.CLK(CTS_51),
+	.D(n_14285),
+	.Q(soc_top_u_spi_host_rdata[16]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[17]  (
+	.CLK(CTS_51),
+	.D(n_14284),
+	.Q(soc_top_u_spi_host_rdata[17]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[18]  (
+	.CLK(CTS_51),
+	.D(n_14283),
+	.Q(soc_top_u_spi_host_rdata[18]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[19]  (
+	.CLK(CTS_51),
+	.D(n_14282),
+	.Q(soc_top_u_spi_host_rdata[19]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[20]  (
+	.CLK(CTS_51),
+	.D(n_14281),
+	.Q(soc_top_u_spi_host_rdata[20]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[21]  (
+	.CLK(CTS_51),
+	.D(n_14280),
+	.Q(soc_top_u_spi_host_rdata[21]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[22]  (
+	.CLK(CTS_51),
+	.D(n_14279),
+	.Q(soc_top_u_spi_host_rdata[22]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[23]  (
+	.CLK(CTS_51),
+	.D(n_14278),
+	.Q(soc_top_u_spi_host_rdata[23]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[24]  (
+	.CLK(CTS_51),
+	.D(n_14277),
+	.Q(soc_top_u_spi_host_rdata[24]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[25]  (
+	.CLK(CTS_51),
+	.D(n_14276),
+	.Q(soc_top_u_spi_host_rdata[25]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[26]  (
+	.CLK(CTS_51),
+	.D(n_14275),
+	.Q(soc_top_u_spi_host_rdata[26]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[27]  (
+	.CLK(CTS_51),
+	.D(n_14274),
+	.Q(soc_top_u_spi_host_rdata[27]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[28]  (
+	.CLK(CTS_51),
+	.D(n_14273),
+	.Q(soc_top_u_spi_host_rdata[28]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[29]  (
+	.CLK(CTS_47),
+	.D(n_14272),
+	.Q(soc_top_u_spi_host_rdata[29]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[30]  (
+	.CLK(CTS_51),
+	.D(n_14271),
+	.Q(soc_top_u_spi_host_rdata[30]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[31]  (
+	.CLK(CTS_51),
+	.D(n_14270),
+	.Q(soc_top_u_spi_host_rdata[31]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 soc_top_u_spi_host_spi_host_sd_oe_reg (
+	.CLK(CTS_128),
+	.D(n_13053),
+	.Q(sd_oe),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 soc_top_u_top_u_core_core_busy_q_reg (
+	.CLK(CTS_151),
+	.D(n_14960),
+	.Q(UNCONNECTED495),
+	.Q_N(soc_top_u_top_u_core_core_busy_q),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 soc_top_u_top_u_core_fetch_enable_q_reg (
+	.CLK(CTS_151),
+	.D(io_oeb[0]),
+	.Q(soc_top_u_top_u_core_fetch_enable_q),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlxtn_1 \soc_top_u_uart_u_uart_core_read_fifo_rdata_o_reg[0]  (
+	.D(n_15387),
+	.GATE_N(n_15432),
+	.Q(soc_top_u_uart_u_uart_core_rx_val[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlxtn_1 \soc_top_u_uart_u_uart_core_read_fifo_rdata_o_reg[1]  (
+	.D(n_15386),
+	.GATE_N(n_15432),
+	.Q(soc_top_u_uart_u_uart_core_rx_val[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlxtn_1 \soc_top_u_uart_u_uart_core_read_fifo_rdata_o_reg[2]  (
+	.D(n_15385),
+	.GATE_N(n_15432),
+	.Q(soc_top_u_uart_u_uart_core_rx_val[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlxtn_1 \soc_top_u_uart_u_uart_core_read_fifo_rdata_o_reg[3]  (
+	.D(n_15384),
+	.GATE_N(n_15432),
+	.Q(soc_top_u_uart_u_uart_core_rx_val[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlxtn_1 \soc_top_u_uart_u_uart_core_read_fifo_rdata_o_reg[4]  (
+	.D(n_15417),
+	.GATE_N(n_15432),
+	.Q(soc_top_u_uart_u_uart_core_rx_val[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlxtn_1 \soc_top_u_uart_u_uart_core_read_fifo_rdata_o_reg[5]  (
+	.D(n_15383),
+	.GATE_N(n_15432),
+	.Q(soc_top_u_uart_u_uart_core_rx_val[5]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlxtn_1 \soc_top_u_uart_u_uart_core_read_fifo_rdata_o_reg[6]  (
+	.D(n_15382),
+	.GATE_N(n_15432),
+	.Q(soc_top_u_uart_u_uart_core_rx_val[6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlxtn_1 \soc_top_u_uart_u_uart_core_read_fifo_rdata_o_reg[7]  (
+	.D(n_15415),
+	.GATE_N(n_15432),
+	.Q(soc_top_u_uart_u_uart_core_rx_val[7]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlxtn_1 \soc_top_u_uart_u_uart_core_read_fifo_rdata_o_reg[8]  (
+	.D(n_15381),
+	.GATE_N(n_15432),
+	.Q(soc_top_u_uart_u_uart_core_rx_val[8]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlxtn_1 \soc_top_u_uart_u_uart_core_read_fifo_rdata_o_reg[9]  (
+	.D(n_15380),
+	.GATE_N(n_15432),
+	.Q(soc_top_u_uart_u_uart_core_rx_val[9]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlxtn_1 \soc_top_u_uart_u_uart_core_read_fifo_rdata_o_reg[10]  (
+	.D(n_15379),
+	.GATE_N(n_15432),
+	.Q(soc_top_u_uart_u_uart_core_rx_val[10]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlxtn_1 \soc_top_u_uart_u_uart_core_read_fifo_rdata_o_reg[11]  (
+	.D(n_15378),
+	.GATE_N(n_15432),
+	.Q(soc_top_u_uart_u_uart_core_rx_val[11]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlxtn_1 \soc_top_u_uart_u_uart_core_read_fifo_rdata_o_reg[12]  (
+	.D(n_15377),
+	.GATE_N(n_15432),
+	.Q(soc_top_u_uart_u_uart_core_rx_val[12]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlxtn_1 \soc_top_u_uart_u_uart_core_read_fifo_rdata_o_reg[13]  (
+	.D(n_15376),
+	.GATE_N(n_15432),
+	.Q(soc_top_u_uart_u_uart_core_rx_val[13]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlxtn_1 \soc_top_u_uart_u_uart_core_read_fifo_rdata_o_reg[14]  (
+	.D(n_15375),
+	.GATE_N(n_15432),
+	.Q(soc_top_u_uart_u_uart_core_rx_val[14]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlxtn_1 \soc_top_u_uart_u_uart_core_read_fifo_rdata_o_reg[15]  (
+	.D(n_15408),
+	.GATE_N(n_15432),
+	.Q(soc_top_u_uart_u_uart_core_rx_val[15]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlxtn_1 \soc_top_u_uart_u_uart_core_read_fifo_rdata_o_reg[16]  (
+	.D(n_15409),
+	.GATE_N(n_15432),
+	.Q(soc_top_u_uart_u_uart_core_rx_val[16]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlxtn_1 \soc_top_u_uart_u_uart_core_read_fifo_rdata_o_reg[17]  (
+	.D(n_15407),
+	.GATE_N(n_15432),
+	.Q(soc_top_u_uart_u_uart_core_rx_val[17]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlxtn_1 \soc_top_u_uart_u_uart_core_read_fifo_rdata_o_reg[18]  (
+	.D(n_15416),
+	.GATE_N(n_15432),
+	.Q(soc_top_u_uart_u_uart_core_rx_val[18]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlxtn_1 \soc_top_u_uart_u_uart_core_read_fifo_rdata_o_reg[19]  (
+	.D(n_15406),
+	.GATE_N(n_15432),
+	.Q(soc_top_u_uart_u_uart_core_rx_val[19]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlxtn_1 \soc_top_u_uart_u_uart_core_read_fifo_rdata_o_reg[20]  (
+	.D(n_15405),
+	.GATE_N(n_15432),
+	.Q(soc_top_u_uart_u_uart_core_rx_val[20]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlxtn_1 \soc_top_u_uart_u_uart_core_read_fifo_rdata_o_reg[21]  (
+	.D(n_15404),
+	.GATE_N(n_15432),
+	.Q(soc_top_u_uart_u_uart_core_rx_val[21]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlxtn_1 \soc_top_u_uart_u_uart_core_read_fifo_rdata_o_reg[22]  (
+	.D(n_15403),
+	.GATE_N(n_15432),
+	.Q(soc_top_u_uart_u_uart_core_rx_val[22]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlxtn_1 \soc_top_u_uart_u_uart_core_read_fifo_rdata_o_reg[23]  (
+	.D(n_15402),
+	.GATE_N(n_15432),
+	.Q(soc_top_u_uart_u_uart_core_rx_val[23]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlxtn_1 \soc_top_u_uart_u_uart_core_read_fifo_rdata_o_reg[24]  (
+	.D(n_15401),
+	.GATE_N(n_15432),
+	.Q(soc_top_u_uart_u_uart_core_rx_val[24]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlxtn_1 \soc_top_u_uart_u_uart_core_read_fifo_rdata_o_reg[25]  (
+	.D(n_15400),
+	.GATE_N(n_15432),
+	.Q(soc_top_u_uart_u_uart_core_rx_val[25]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlxtn_1 \soc_top_u_uart_u_uart_core_read_fifo_rdata_o_reg[26]  (
+	.D(n_15399),
+	.GATE_N(n_15432),
+	.Q(soc_top_u_uart_u_uart_core_rx_val[26]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlxtn_1 \soc_top_u_uart_u_uart_core_read_fifo_rdata_o_reg[27]  (
+	.D(n_15398),
+	.GATE_N(n_15432),
+	.Q(soc_top_u_uart_u_uart_core_rx_val[27]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlxtn_1 \soc_top_u_uart_u_uart_core_read_fifo_rdata_o_reg[28]  (
+	.D(n_15397),
+	.GATE_N(n_15432),
+	.Q(soc_top_u_uart_u_uart_core_rx_val[28]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlxtn_1 \soc_top_u_uart_u_uart_core_read_fifo_rdata_o_reg[29]  (
+	.D(n_15396),
+	.GATE_N(n_15432),
+	.Q(soc_top_u_uart_u_uart_core_rx_val[29]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlxtn_1 \soc_top_u_uart_u_uart_core_read_fifo_rdata_o_reg[30]  (
+	.D(n_15395),
+	.GATE_N(n_15432),
+	.Q(soc_top_u_uart_u_uart_core_rx_val[30]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlxtn_1 \soc_top_u_uart_u_uart_core_read_fifo_rdata_o_reg[31]  (
+	.D(n_15394),
+	.GATE_N(n_15432),
+	.Q(soc_top_u_uart_u_uart_core_rx_val[31]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlxtp_1 \soc_top_u_uart_u_uart_core_write_fifo_rdata_o_reg[1]  (
+	.D(n_15410),
+	.GATE(soc_top_u_uart_u_uart_core_write_fifo_n_1309),
+	.Q(soc_top_u_uart_u_uart_core_tx_fifo_data[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlxtp_1 \soc_top_u_uart_u_uart_core_write_fifo_rdata_o_reg[2]  (
+	.D(n_15374),
+	.GATE(soc_top_u_uart_u_uart_core_write_fifo_n_1309),
+	.Q(soc_top_u_uart_u_uart_core_tx_fifo_data[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlxtp_1 \soc_top_u_uart_u_uart_core_write_fifo_rdata_o_reg[3]  (
+	.D(n_15392),
+	.GATE(soc_top_u_uart_u_uart_core_write_fifo_n_1309),
+	.Q(soc_top_u_uart_u_uart_core_tx_fifo_data[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlxtp_1 \soc_top_u_uart_u_uart_core_write_fifo_rdata_o_reg[4]  (
+	.D(n_15429),
+	.GATE(soc_top_u_uart_u_uart_core_write_fifo_n_1309),
+	.Q(soc_top_u_uart_u_uart_core_tx_fifo_data[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlxtp_1 \soc_top_u_uart_u_uart_core_write_fifo_rdata_o_reg[5]  (
+	.D(n_15391),
+	.GATE(soc_top_u_uart_u_uart_core_write_fifo_n_1309),
+	.Q(soc_top_u_uart_u_uart_core_tx_fifo_data[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlxtp_1 \soc_top_u_uart_u_uart_core_write_fifo_rdata_o_reg[6]  (
+	.D(n_15390),
+	.GATE(soc_top_u_uart_u_uart_core_write_fifo_n_1309),
+	.Q(soc_top_u_uart_u_uart_core_tx_fifo_data[5]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlxtp_1 \soc_top_u_uart_u_uart_core_write_fifo_rdata_o_reg[7]  (
+	.D(n_15389),
+	.GATE(soc_top_u_uart_u_uart_core_write_fifo_n_1309),
+	.Q(soc_top_u_uart_u_uart_core_tx_fifo_data[6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlxtp_1 \soc_top_u_uart_u_uart_core_write_fifo_rdata_o_reg[8]  (
+	.D(n_15388),
+	.GATE(soc_top_u_uart_u_uart_core_write_fifo_n_1309),
+	.Q(soc_top_u_uart_u_uart_core_tx_fifo_data[8]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g780962 (
+	.A(n_87783),
+	.B(n_55491),
+	.Y(n_15653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g780963 (
+	.A(n_62760),
+	.B(n_31409),
+	.Y(n_15652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g781005 (
+	.A(n_15643),
+	.Y(n_15644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g781006 (
+	.A1(n_15639),
+	.A2(n_13215),
+	.B1(n_15632),
+	.Y(n_15643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g781008 (
+	.A(n_15633),
+	.B(n_15502),
+	.Y(n_15641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g781009 (
+	.A(n_15586),
+	.B(n_42719),
+	.Y(n_15640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g781010 (
+	.A(n_15605),
+	.B(n_15503),
+	.Y(n_15639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g781011 (
+	.A(n_62295),
+	.B(n_53557),
+	.Y(n_15638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g781016 (
+	.A(n_13216),
+	.B(n_15605),
+	.Y(n_15633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g781017 (
+	.A(n_37413),
+	.B(n_53557),
+	.C(n_15520),
+	.Y(n_15632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g781020 (
+	.A(n_86033),
+	.B(n_41105),
+	.Y(n_15629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g781040 (
+	.A(n_90655),
+	.B(n_59479),
+	.C(n_13125),
+	.Y(n_15609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g781044 (
+	.A(n_53555),
+	.Y(n_15605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g781049 (
+	.A(n_90661),
+	.B(n_13268),
+	.Y(n_15598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g781050 (
+	.A(n_15568),
+	.B(n_15474),
+	.Y(n_15597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g781061 (
+	.A(n_90650),
+	.B(n_13052),
+	.Y(n_15601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g781063 (
+	.A(n_13136),
+	.B(n_75598),
+	.Y(n_15585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g781071 (
+	.A(n_90652),
+	.B(n_47068),
+	.Y(n_15577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g781077 (
+	.A(n_90663),
+	.B(n_13117),
+	.Y(n_15586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g781081 (
+	.A(n_90664),
+	.Y(n_15568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g781121 (
+	.A(n_59470),
+	.B(n_14191),
+	.Y(n_15529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g781127 (
+	.A(n_15494),
+	.B(n_43541),
+	.Y(n_15522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g781130 (
+	.A(n_15515),
+	.Y(n_15520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g781133 (
+	.A(n_15514),
+	.B(n_64809),
+	.Y(n_15515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g781136 (
+	.A(n_15509),
+	.Y(n_15514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g781137 (
+	.A(n_43541),
+	.Y(n_15513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_8 g781140 (
+	.A(n_15493),
+	.B(n_90556),
+	.Y(n_15510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g781141 (
+	.A(n_43541),
+	.B(n_15508),
+	.Y(n_15509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g781142 (
+	.A(n_43540),
+	.B(n_15486),
+	.Y(n_15508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g781143 (
+	.A(n_62295),
+	.B(n_15499),
+	.Y(n_15507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g781147 (
+	.A(n_15502),
+	.Y(n_15503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g781148 (
+	.A(n_15499),
+	.B(n_15462),
+	.Y(n_15500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 g781149 (
+	.A1(n_74938),
+	.A2(n_36398),
+	.B1(n_86825),
+	.Y(n_15502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g781151 (
+	.A(n_15491),
+	.Y(n_15499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g781152 (
+	.A(n_15486),
+	.Y(n_15498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g781155 (
+	.A(n_15493),
+	.Y(n_15494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g781157 (
+	.A(n_15492),
+	.B(n_13077),
+	.Y(n_15493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g781158 (
+	.A(n_15479),
+	.B(n_15482),
+	.Y(n_15492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g781159 (
+	.A(n_15486),
+	.B(n_15485),
+	.Y(n_15491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g781164 (
+	.A(n_15474),
+	.B(n_15457),
+	.Y(n_15485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g781166 (
+	.A(n_15458),
+	.B(n_15473),
+	.Y(n_15486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g781168 (
+	.A1(n_15425),
+	.A2(n_74885),
+	.B1(FE_DBTN28_n_74938),
+	.X(n_15482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g781171 (
+	.A(n_74885),
+	.B(n_15425),
+	.Y(n_15479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g781176 (
+	.A(n_15473),
+	.Y(n_15474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g781178 (
+	.A(n_15467),
+	.B(n_42644),
+	.Y(n_15473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g781182 (
+	.A(n_15442),
+	.B(FE_DBTN28_n_74938),
+	.Y(n_15467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g781184 (
+	.A(n_62077),
+	.B(n_15324),
+	.C(n_62059),
+	.Y(n_15468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g781188 (
+	.A(n_36108),
+	.B(n_90583),
+	.Y(n_15462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g781189 (
+	.A1_N(n_15452),
+	.A2_N(n_62295),
+	.B1(n_15420),
+	.B2(n_59460),
+	.Y(n_15461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g781191 (
+	.A(n_15457),
+	.Y(n_15458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g781193 (
+	.A(n_41606),
+	.B(n_15445),
+	.Y(n_15459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g781194 (
+	.A(n_59699),
+	.B(n_15443),
+	.Y(n_15457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g781197 (
+	.A(n_15428),
+	.B(n_15443),
+	.Y(n_15453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g781198 (
+	.A(n_90583),
+	.Y(n_15452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g781205 (
+	.A(n_15443),
+	.Y(n_15445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g781207 (
+	.A(n_41665),
+	.B(n_13133),
+	.Y(n_15443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g781208 (
+	.A(n_62074),
+	.B(n_15440),
+	.Y(n_15442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g781211 (
+	.A(n_62076),
+	.B(n_62059),
+	.Y(n_15440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g781223 (
+	.A1(n_13177),
+	.A2(n_14856),
+	.B1(n_29423),
+	.B2(n_15207),
+	.C1(n_15393),
+	.Y(n_15429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g781224 (
+	.A(n_15420),
+	.B(n_15366),
+	.Y(n_15428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g781253 (
+	.A(n_59697),
+	.Y(n_15420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g781271 (
+	.A1(n_13431),
+	.A2(n_15311),
+	.B1(n_13429),
+	.B2(n_15315),
+	.C1(n_15338),
+	.Y(n_15417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g781272 (
+	.A1(n_13431),
+	.A2(n_15313),
+	.B1(n_13432),
+	.B2(n_15316),
+	.C1(n_15362),
+	.Y(n_15416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g781273 (
+	.A1(n_13430),
+	.A2(n_15310),
+	.B1(n_13432),
+	.B2(n_15314),
+	.C1(n_15335),
+	.Y(n_15415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g781275 (
+	.A1_N(n_57519),
+	.A2_N(n_15305),
+	.B1(n_57519),
+	.B2(n_15305),
+	.Y(n_15425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g781278 (
+	.A(n_37459),
+	.B(FE_DBTN28_n_74938),
+	.Y(n_15411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g781279 (
+	.A1(n_13177),
+	.A2(n_15296),
+	.B1(n_13291),
+	.B2(n_15295),
+	.C1(n_15369),
+	.Y(n_15410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g781280 (
+	.A1(n_13432),
+	.A2(n_15275),
+	.B1(n_13430),
+	.B2(n_15276),
+	.C1(n_15364),
+	.Y(n_15409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g781281 (
+	.A1(n_13429),
+	.A2(n_15279),
+	.B1(n_13430),
+	.B2(n_15280),
+	.C1(n_15365),
+	.Y(n_15408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g781282 (
+	.A1(n_13430),
+	.A2(n_15269),
+	.B1(n_13431),
+	.B2(n_15270),
+	.C1(n_15363),
+	.Y(n_15407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g781283 (
+	.A1(n_13430),
+	.A2(n_15265),
+	.B1(n_13431),
+	.B2(n_15266),
+	.C1(n_15361),
+	.Y(n_15406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g781284 (
+	.A1(n_13432),
+	.A2(n_15259),
+	.B1(n_13430),
+	.B2(n_15260),
+	.C1(n_15360),
+	.Y(n_15405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g781285 (
+	.A1(n_13432),
+	.A2(n_15255),
+	.B1(n_13430),
+	.B2(n_15256),
+	.C1(n_15359),
+	.Y(n_15404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g781286 (
+	.A1(n_13430),
+	.A2(n_15253),
+	.B1(n_13431),
+	.B2(n_15254),
+	.C1(n_15358),
+	.Y(n_15403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g781287 (
+	.A1(n_13430),
+	.A2(n_15249),
+	.B1(n_13431),
+	.B2(n_15250),
+	.C1(n_15357),
+	.Y(n_15402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g781288 (
+	.A1(n_13430),
+	.A2(n_15245),
+	.B1(n_13431),
+	.B2(n_15246),
+	.C1(n_15356),
+	.Y(n_15401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g781289 (
+	.A1(n_13432),
+	.A2(n_15239),
+	.B1(n_13430),
+	.B2(n_15240),
+	.C1(n_15355),
+	.Y(n_15400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g781290 (
+	.A1(n_13430),
+	.A2(n_15237),
+	.B1(n_13431),
+	.B2(n_15238),
+	.C1(n_15354),
+	.Y(n_15399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g781291 (
+	.A1(n_13429),
+	.A2(n_15233),
+	.B1(n_13430),
+	.B2(n_15234),
+	.C1(n_15353),
+	.Y(n_15398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g781292 (
+	.A1(n_13431),
+	.A2(n_15229),
+	.B1(n_13430),
+	.B2(n_15230),
+	.C1(n_15352),
+	.Y(n_15397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g781293 (
+	.A1(n_13432),
+	.A2(n_15223),
+	.B1(n_13430),
+	.B2(n_15224),
+	.C1(n_15351),
+	.Y(n_15396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g781294 (
+	.A1(n_13430),
+	.A2(n_15221),
+	.B1(n_13431),
+	.B2(n_15222),
+	.C1(n_15367),
+	.Y(n_15395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g781295 (
+	.A1(n_13429),
+	.A2(n_15287),
+	.B1(n_13430),
+	.B2(n_15286),
+	.C1(n_15368),
+	.Y(n_15394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g781297 (
+	.A1(n_13175),
+	.A2(n_15206),
+	.B1(n_13291),
+	.B2(n_15312),
+	.X(n_15393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g781298 (
+	.A1(n_13175),
+	.A2(n_15208),
+	.B1(n_13177),
+	.B2(n_15209),
+	.C1(n_15336),
+	.Y(n_15392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g781299 (
+	.A1(n_13175),
+	.A2(n_15202),
+	.B1(n_13177),
+	.B2(n_15203),
+	.C1(n_15346),
+	.Y(n_15391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g781300 (
+	.A1(n_13175),
+	.A2(n_15198),
+	.B1(n_13177),
+	.B2(n_15199),
+	.C1(n_15345),
+	.Y(n_15390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g781301 (
+	.A1(n_13177),
+	.A2(n_15196),
+	.B1(n_13291),
+	.B2(n_15197),
+	.C1(n_15344),
+	.Y(n_15389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g781302 (
+	.A1(n_13175),
+	.A2(n_15190),
+	.B1(n_13291),
+	.B2(n_15191),
+	.C1(n_15343),
+	.Y(n_15388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g781303 (
+	.A1(n_13430),
+	.A2(n_15185),
+	.B1(n_13431),
+	.B2(n_15186),
+	.C1(n_15342),
+	.Y(n_15387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g781304 (
+	.A1(n_13429),
+	.A2(n_15183),
+	.B1(n_13430),
+	.B2(n_15184),
+	.C1(n_15341),
+	.Y(n_15386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g781305 (
+	.A1(n_13430),
+	.A2(n_15177),
+	.B1(n_13431),
+	.B2(n_15178),
+	.C1(n_15340),
+	.Y(n_15385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g781306 (
+	.A1(n_13432),
+	.A2(n_15175),
+	.B1(n_13430),
+	.B2(n_15176),
+	.C1(n_15339),
+	.Y(n_15384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g781307 (
+	.A1(n_13429),
+	.A2(n_15169),
+	.B1(n_13430),
+	.B2(n_15170),
+	.C1(n_15337),
+	.Y(n_15383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g781308 (
+	.A1(n_13430),
+	.A2(n_15165),
+	.B1(n_13431),
+	.B2(n_15166),
+	.C1(n_15347),
+	.Y(n_15382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g781309 (
+	.A1(n_13430),
+	.A2(n_15159),
+	.B1(n_13431),
+	.B2(n_15160),
+	.C1(n_15334),
+	.Y(n_15381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g781310 (
+	.A1(n_13431),
+	.A2(n_15153),
+	.B1(n_13430),
+	.B2(n_15154),
+	.C1(n_15333),
+	.Y(n_15380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g781311 (
+	.A1(n_13432),
+	.A2(n_15149),
+	.B1(n_13430),
+	.B2(n_15150),
+	.C1(n_15332),
+	.Y(n_15379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g781312 (
+	.A1(n_13430),
+	.A2(n_15145),
+	.B1(n_13431),
+	.B2(n_15146),
+	.C1(n_15331),
+	.Y(n_15378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g781313 (
+	.A1(n_13432),
+	.A2(n_15141),
+	.B1(n_13430),
+	.B2(n_15142),
+	.C1(n_15330),
+	.Y(n_15377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g781314 (
+	.A1(n_13430),
+	.A2(n_15139),
+	.B1(n_13431),
+	.B2(n_15140),
+	.C1(n_15329),
+	.Y(n_15376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g781315 (
+	.A1(n_13432),
+	.A2(n_15281),
+	.B1(n_13430),
+	.B2(n_15282),
+	.C1(n_15328),
+	.Y(n_15375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g781316 (
+	.A1(n_13175),
+	.A2(n_15212),
+	.B1(n_13291),
+	.B2(n_15213),
+	.C1(n_15326),
+	.Y(n_15374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_2 g781317 (
+	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata[1]),
+	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata[0]),
+	.B1(soc_top_u_top_u_core_pc_if[1]),
+	.X(n_29464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g781320 (
+	.A1(n_13175),
+	.A2(n_15172),
+	.B1(n_29423),
+	.B2(n_15297),
+	.X(n_15369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g781321 (
+	.A1(n_13432),
+	.A2(n_15294),
+	.B1(n_13431),
+	.B2(n_15293),
+	.X(n_15368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g781322 (
+	.A1(n_13432),
+	.A2(n_15219),
+	.B1(n_13429),
+	.B2(n_15220),
+	.X(n_15367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g781323 (
+	.A(n_14857),
+	.B(n_15306),
+	.Y(n_15366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g781324 (
+	.A1(n_29681),
+	.A2(soc_top_u_top_u_core_core_busy_q),
+	.B1_N(soc_top_u_top_u_core_fetch_enable_q),
+	.Y(soc_top_u_top_u_core_clock_en), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g781325 (
+	.A1(n_13432),
+	.A2(n_15277),
+	.B1(n_13431),
+	.B2(n_15278),
+	.X(n_15365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g781326 (
+	.A1(n_13429),
+	.A2(n_15273),
+	.B1(n_13431),
+	.B2(n_15274),
+	.X(n_15364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g781327 (
+	.A1(n_13432),
+	.A2(n_15271),
+	.B1(n_13429),
+	.B2(n_15272),
+	.X(n_15363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g781328 (
+	.A1(n_13430),
+	.A2(n_15267),
+	.B1(n_13429),
+	.B2(n_15268),
+	.X(n_15362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g781329 (
+	.A1(n_13432),
+	.A2(n_15263),
+	.B1(n_13429),
+	.B2(n_15264),
+	.X(n_15361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g781330 (
+	.A1(n_13429),
+	.A2(n_15261),
+	.B1(n_13431),
+	.B2(n_15262),
+	.X(n_15360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g781331 (
+	.A1(n_13429),
+	.A2(n_15257),
+	.B1(n_13431),
+	.B2(n_15258),
+	.X(n_15359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g781332 (
+	.A1(n_13432),
+	.A2(n_15251),
+	.B1(n_13429),
+	.B2(n_15252),
+	.X(n_15358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g781333 (
+	.A1(n_13432),
+	.A2(n_15247),
+	.B1(n_13429),
+	.B2(n_15248),
+	.X(n_15357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g781334 (
+	.A1(n_13432),
+	.A2(n_15243),
+	.B1(n_13429),
+	.B2(n_15244),
+	.X(n_15356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g781335 (
+	.A1(n_13429),
+	.A2(n_15241),
+	.B1(n_13431),
+	.B2(n_15242),
+	.X(n_15355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g781336 (
+	.A1(n_13432),
+	.A2(n_15235),
+	.B1(n_13429),
+	.B2(n_15236),
+	.X(n_15354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g781337 (
+	.A1(n_13432),
+	.A2(n_15231),
+	.B1(n_13431),
+	.B2(n_15232),
+	.X(n_15353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g781338 (
+	.A1(n_13432),
+	.A2(n_15227),
+	.B1(n_13429),
+	.B2(n_15228),
+	.X(n_15352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g781339 (
+	.A1(n_13429),
+	.A2(n_15225),
+	.B1(n_13431),
+	.B2(n_15226),
+	.X(n_15351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g781340 (
+	.A(n_15125),
+	.B(n_90579),
+	.Y(n_15372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g781341 (
+	.A(n_29969),
+	.B(n_29968),
+	.Y(n_29966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g781345 (
+	.A1(n_13432),
+	.A2(n_15163),
+	.B1(n_13429),
+	.B2(n_15164),
+	.X(n_15347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g781346 (
+	.A1(n_13291),
+	.A2(n_15204),
+	.B1(n_29423),
+	.B2(n_15205),
+	.X(n_15346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g781347 (
+	.A1(n_13291),
+	.A2(n_15200),
+	.B1(n_29423),
+	.B2(n_15201),
+	.X(n_15345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g781348 (
+	.A1(n_13175),
+	.A2(n_15194),
+	.B1(n_29423),
+	.B2(n_15195),
+	.X(n_15344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g781349 (
+	.A1(n_13177),
+	.A2(n_15192),
+	.B1(n_29423),
+	.B2(n_15193),
+	.X(n_15343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g781350 (
+	.A1(n_13432),
+	.A2(n_15187),
+	.B1(n_13429),
+	.B2(n_15188),
+	.X(n_15342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g781351 (
+	.A1(n_13431),
+	.A2(n_15181),
+	.B1(n_13432),
+	.B2(n_15182),
+	.X(n_15341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g781352 (
+	.A1(n_13429),
+	.A2(n_15179),
+	.B1(n_13432),
+	.B2(n_15180),
+	.X(n_15340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g781353 (
+	.A1(n_13429),
+	.A2(n_15173),
+	.B1(n_13431),
+	.B2(n_15174),
+	.X(n_15339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g781354 (
+	.A1(n_13432),
+	.A2(n_15171),
+	.B1(n_13430),
+	.B2(n_15216),
+	.X(n_15338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g781355 (
+	.A1(n_13432),
+	.A2(n_15167),
+	.B1(n_13431),
+	.B2(n_15168),
+	.X(n_15337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g781356 (
+	.A1(n_13291),
+	.A2(n_15210),
+	.B1(n_29423),
+	.B2(n_15211),
+	.X(n_15336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g781357 (
+	.A1(n_13429),
+	.A2(n_15161),
+	.B1(n_13431),
+	.B2(n_15162),
+	.X(n_15335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g781358 (
+	.A1(n_13432),
+	.A2(n_15157),
+	.B1(n_13429),
+	.B2(n_15158),
+	.X(n_15334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g781359 (
+	.A1(n_13432),
+	.A2(n_15155),
+	.B1(n_13429),
+	.B2(n_15156),
+	.X(n_15333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g781360 (
+	.A1(n_13429),
+	.A2(n_15151),
+	.B1(n_13431),
+	.B2(n_15152),
+	.X(n_15332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g781361 (
+	.A1(n_13432),
+	.A2(n_15147),
+	.B1(n_13429),
+	.B2(n_15148),
+	.X(n_15331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g781362 (
+	.A1(n_13429),
+	.A2(n_15143),
+	.B1(n_13431),
+	.B2(n_15144),
+	.X(n_15330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g781363 (
+	.A1(n_13432),
+	.A2(n_15137),
+	.B1(n_13429),
+	.B2(n_15138),
+	.X(n_15329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g781364 (
+	.A1(n_13429),
+	.A2(n_15135),
+	.B1(n_13431),
+	.B2(n_15136),
+	.X(n_15328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g781366 (
+	.A1(n_13177),
+	.A2(n_15214),
+	.B1(n_29423),
+	.B2(n_15215),
+	.X(n_15326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g781367 (
+	.A(n_80769),
+	.B(n_15218),
+	.Y(n_15348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g781368 (
+	.A(n_15298),
+	.B(soc_top_iccm_adapter_instr_csbD),
+	.Y(soc_top_u_iccm_csb1), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g781371 (
+	.A(n_15302),
+	.B_N(soc_top_instr_addr[7]),
+	.Y(soc_top_u_iccm_addr3[7]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g781372 (
+	.A(n_15302),
+	.B_N(soc_top_instr_addr[6]),
+	.Y(soc_top_u_iccm_addr3[6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g781373 (
+	.A(n_15303),
+	.B(soc_top_iccm_adapter_instr_csbD),
+	.Y(soc_top_u_iccm_csb4), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g781374 (
+	.A(n_15302),
+	.B_N(soc_top_instr_addr[4]),
+	.Y(soc_top_u_iccm_addr3[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g781375 (
+	.A(n_15302),
+	.B_N(soc_top_instr_addr[5]),
+	.Y(soc_top_u_iccm_addr3[5]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g781376 (
+	.A(n_15302),
+	.B_N(soc_top_instr_addr[3]),
+	.Y(soc_top_u_iccm_addr3[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g781377 (
+	.A(n_15302),
+	.B_N(soc_top_instr_addr[2]),
+	.Y(soc_top_u_iccm_addr3[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g781378 (
+	.A(n_15302),
+	.B_N(soc_top_instr_addr[1]),
+	.Y(soc_top_u_iccm_addr3[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g781379 (
+	.A(n_15302),
+	.B_N(soc_top_instr_addr[0]),
+	.Y(soc_top_u_iccm_addr3[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g781380 (
+	.A(n_15300),
+	.B_N(soc_top_instr_addr[6]),
+	.Y(soc_top_u_iccm_addr2[6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g781381 (
+	.A(n_15300),
+	.B_N(soc_top_instr_addr[5]),
+	.Y(soc_top_u_iccm_addr2[5]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g781382 (
+	.A(n_15300),
+	.B_N(soc_top_instr_addr[4]),
+	.Y(soc_top_u_iccm_addr2[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g781383 (
+	.A(n_15300),
+	.B_N(soc_top_instr_addr[2]),
+	.Y(soc_top_u_iccm_addr2[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g781384 (
+	.A(n_15300),
+	.B_N(soc_top_instr_addr[1]),
+	.Y(soc_top_u_iccm_addr2[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g781385 (
+	.A(n_15300),
+	.B_N(soc_top_instr_addr[0]),
+	.Y(soc_top_u_iccm_addr2[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g781386 (
+	.A(n_15300),
+	.B_N(soc_top_instr_addr[7]),
+	.Y(soc_top_u_iccm_addr2[7]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g781387 (
+	.A(n_15300),
+	.B_N(soc_top_instr_addr[3]),
+	.Y(soc_top_u_iccm_addr2[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g781388 (
+	.A(n_15298),
+	.B(soc_top_instr_addr[7]),
+	.X(soc_top_u_iccm_addr1[7]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g781389 (
+	.A(n_15298),
+	.B(soc_top_instr_addr[5]),
+	.X(soc_top_u_iccm_addr1[5]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g781390 (
+	.A(n_15298),
+	.B(soc_top_instr_addr[4]),
+	.X(soc_top_u_iccm_addr1[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g781391 (
+	.A(n_15298),
+	.B(soc_top_instr_addr[6]),
+	.X(soc_top_u_iccm_addr1[6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g781392 (
+	.A(n_15298),
+	.B(soc_top_instr_addr[3]),
+	.X(soc_top_u_iccm_addr1[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g781393 (
+	.A(n_15298),
+	.B(soc_top_instr_addr[2]),
+	.X(soc_top_u_iccm_addr1[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g781394 (
+	.A(n_15298),
+	.B(soc_top_instr_addr[1]),
+	.X(soc_top_u_iccm_addr1[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g781403 (
+	.A(n_15298),
+	.B(soc_top_instr_addr[0]),
+	.X(soc_top_u_iccm_addr1[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g781404 (
+	.A(n_15303),
+	.B(soc_top_instr_addr[7]),
+	.X(soc_top_u_iccm_addr4[7]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g781405 (
+	.A(n_15303),
+	.B(soc_top_instr_addr[6]),
+	.X(soc_top_u_iccm_addr4[6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g781406 (
+	.A(n_15303),
+	.B(soc_top_instr_addr[5]),
+	.X(soc_top_u_iccm_addr4[5]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g781407 (
+	.A(n_15303),
+	.B(soc_top_instr_addr[4]),
+	.X(soc_top_u_iccm_addr4[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g781408 (
+	.A(n_15303),
+	.B(soc_top_instr_addr[3]),
+	.X(soc_top_u_iccm_addr4[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g781409 (
+	.A(n_15303),
+	.B(soc_top_instr_addr[2]),
+	.X(soc_top_u_iccm_addr4[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g781410 (
+	.A(n_15303),
+	.B(soc_top_instr_addr[1]),
+	.X(soc_top_u_iccm_addr4[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g781411 (
+	.A(n_15303),
+	.B(soc_top_instr_addr[0]),
+	.X(soc_top_u_iccm_addr4[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781412 (
+	.A1(n_13438),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[82] [3]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[178] [3]),
+	.C1(n_15285),
+	.Y(n_15316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781413 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[132] [5]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[196] [5]),
+	.C1(n_15284),
+	.Y(n_15315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781414 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[176] [8]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[240] [8]),
+	.C1(n_15283),
+	.Y(n_15314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781415 (
+	.A1(n_13427),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[78] [3]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[254] [3]),
+	.C1(n_15132),
+	.Y(n_15313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781416 (
+	.A1(n_13440),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[48] [4]),
+	.B1(n_13434),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[37] [4]),
+	.C1(n_15131),
+	.Y(n_15312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781417 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[12] [5]),
+	.B1(n_13426),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[140] [5]),
+	.C1(n_15130),
+	.Y(n_15311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781419 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[56] [8]),
+	.B1(n_13438),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[88] [8]),
+	.C1(n_15129),
+	.Y(n_15310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_2 g781420 (
+	.A1(n_70046),
+	.A2(n_14573),
+	.B1(n_15105),
+	.C1(n_75907),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_638 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g781424 (
+	.A0(n_69167),
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [16]),
+	.S(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_valid_q[0]),
+	.X(n_29968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g781425 (
+	.A0(\soc_top_iccm_to_xbar[d_data] [1]),
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [1]),
+	.S(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_valid_q[0]),
+	.X(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g781426 (
+	.A0(n_68939),
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [0]),
+	.S(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_valid_q[0]),
+	.X(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g781427 (
+	.A(n_15305),
+	.B(n_15292),
+	.Y(n_15324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g781428 (
+	.A0(n_69047),
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [17]),
+	.S(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_valid_q[0]),
+	.X(n_29969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g781429 (
+	.A(n_74942),
+	.B(n_13234),
+	.Y(n_15306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781433 (
+	.A1(n_13435),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[55] [1]),
+	.B1(n_13428),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[63] [1]),
+	.C1(n_14998),
+	.Y(n_15297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781434 (
+	.A1(n_13435),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[23] [1]),
+	.B1(n_13428),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[31] [1]),
+	.C1(n_15119),
+	.Y(n_15296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781435 (
+	.A1(n_13440),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[48] [1]),
+	.B1(n_13443),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[56] [1]),
+	.C1(n_15118),
+	.Y(n_15295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781436 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[115] [8]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[243] [8]),
+	.C1(n_15117),
+	.Y(n_15294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781437 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[15] [8]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[79] [8]),
+	.C1(n_15116),
+	.Y(n_15293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g781438 (
+	.A(n_15126),
+	.B(n_12286),
+	.Y(n_15292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g781441 (
+	.A(n_62295),
+	.B(n_90580),
+	.Y(n_15289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g781442 (
+	.A(n_15125),
+	.B_N(n_90580),
+	.Y(n_15288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781443 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[119] [8]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[247] [8]),
+	.C1(n_15115),
+	.Y(n_15287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781444 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[123] [8]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[251] [8]),
+	.C1(n_15114),
+	.Y(n_15286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g781445 (
+	.A(n_14725),
+	.B(n_13863),
+	.C(n_13837),
+	.D(n_13838),
+	.Y(n_15285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g781446 (
+	.A(n_14606),
+	.B(n_13866),
+	.C(n_13803),
+	.D(n_13840),
+	.Y(n_15284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g781447 (
+	.A(n_14605),
+	.B(n_13867),
+	.C(n_13801),
+	.D(n_13800),
+	.Y(n_15283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781448 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[121] [7]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[249] [7]),
+	.C1(n_15103),
+	.Y(n_15282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781449 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[113] [7]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[241] [7]),
+	.C1(n_15102),
+	.Y(n_15281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781450 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[9] [8]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[73] [8]),
+	.C1(n_15101),
+	.Y(n_15280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781451 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[133] [8]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[197] [8]),
+	.C1(n_15100),
+	.Y(n_15279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781452 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[141] [8]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[205] [8]),
+	.C1(n_15099),
+	.Y(n_15278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781453 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[1] [8]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[65] [8]),
+	.C1(n_15098),
+	.Y(n_15277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781454 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[58] [1]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[186] [1]),
+	.C1(n_15097),
+	.Y(n_15276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781455 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[130] [1]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[194] [1]),
+	.C1(n_15096),
+	.Y(n_15275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781456 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[126] [1]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[254] [1]),
+	.C1(n_15095),
+	.Y(n_15274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781457 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[118] [1]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[246] [1]),
+	.C1(n_15094),
+	.Y(n_15273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781458 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[54] [2]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[182] [2]),
+	.C1(n_15093),
+	.Y(n_15272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781459 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[2] [2]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[66] [2]),
+	.C1(n_15092),
+	.Y(n_15271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781460 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[126] [2]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[254] [2]),
+	.C1(n_15091),
+	.Y(n_15270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781461 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[122] [2]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[250] [2]),
+	.C1(n_15090),
+	.Y(n_15269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781462 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[54] [3]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[182] [3]),
+	.C1(n_15089),
+	.Y(n_15268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781463 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[58] [3]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[186] [3]),
+	.C1(n_15088),
+	.Y(n_15267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781464 (
+	.A1(n_13427),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[78] [4]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[206] [4]),
+	.C1(n_15087),
+	.Y(n_15266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781465 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[58] [4]),
+	.B1(n_13422),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[122] [4]),
+	.C1(n_15086),
+	.Y(n_15265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781466 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[54] [4]),
+	.B1(n_13422),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[118] [4]),
+	.C1(n_15085),
+	.Y(n_15264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781467 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[50] [4]),
+	.B1(n_13422),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[114] [4]),
+	.C1(n_15084),
+	.Y(n_15263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781468 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[126] [5]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[254] [5]),
+	.C1(n_15083),
+	.Y(n_15262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781469 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[6] [5]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[70] [5]),
+	.C1(n_15082),
+	.Y(n_15261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781470 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[138] [5]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[202] [5]),
+	.C1(n_15081),
+	.Y(n_15260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781471 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[2] [5]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[66] [5]),
+	.C1(n_15080),
+	.Y(n_15259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781472 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[126] [6]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[254] [6]),
+	.C1(n_15079),
+	.Y(n_15258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781473 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[134] [6]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[198] [6]),
+	.C1(n_15078),
+	.Y(n_15257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781474 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[138] [6]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[202] [6]),
+	.C1(n_15120),
+	.Y(n_15256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781475 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[114] [6]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[242] [6]),
+	.C1(n_15076),
+	.Y(n_15255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781476 (
+	.A1(n_13452),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[110] [7]),
+	.B1(n_13450),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[238] [7]),
+	.C1(n_15075),
+	.Y(n_15254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781477 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[58] [7]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[186] [7]),
+	.C1(n_15074),
+	.Y(n_15253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781478 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[118] [7]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[246] [7]),
+	.C1(n_15073),
+	.Y(n_15252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781479 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[2] [7]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[66] [7]),
+	.C1(n_15072),
+	.Y(n_15251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781480 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[142] [8]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[206] [8]),
+	.C1(n_15071),
+	.Y(n_15250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781481 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[122] [8]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[250] [8]),
+	.C1(n_15070),
+	.Y(n_15249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781482 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[6] [8]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[70] [8]),
+	.C1(n_15069),
+	.Y(n_15248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781483 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[130] [8]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[194] [8]),
+	.C1(n_15068),
+	.Y(n_15247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781484 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[127] [1]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[255] [1]),
+	.C1(n_15067),
+	.Y(n_15246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781485 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[139] [1]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[203] [1]),
+	.C1(n_15066),
+	.Y(n_15245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781486 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[119] [1]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[247] [1]),
+	.C1(n_15065),
+	.Y(n_15244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781487 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[131] [1]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[195] [1]),
+	.C1(n_15064),
+	.Y(n_15243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781488 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[127] [2]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[255] [2]),
+	.C1(n_15063),
+	.Y(n_15242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781489 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[7] [2]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[71] [2]),
+	.C1(n_15062),
+	.Y(n_15241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781490 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[11] [2]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[75] [2]),
+	.C1(n_15061),
+	.Y(n_15240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781491 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[131] [2]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[195] [2]),
+	.C1(n_15060),
+	.Y(n_15239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781492 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[127] [3]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[255] [3]),
+	.C1(n_15059),
+	.Y(n_15238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781493 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[139] [3]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[203] [3]),
+	.C1(n_15058),
+	.Y(n_15237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781494 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[135] [3]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[199] [3]),
+	.C1(n_15057),
+	.Y(n_15236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781495 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[115] [3]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[243] [3]),
+	.C1(n_15056),
+	.Y(n_15235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781496 (
+	.A1(n_13438),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[91] [4]),
+	.B1(n_13439),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[219] [4]),
+	.C1(n_15055),
+	.Y(n_15234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781497 (
+	.A1(n_13438),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[87] [4]),
+	.B1(n_13439),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[215] [4]),
+	.C1(n_15054),
+	.Y(n_15233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781498 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[63] [4]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[191] [4]),
+	.C1(n_15053),
+	.Y(n_15232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781499 (
+	.A1(n_13438),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[83] [4]),
+	.B1(n_13439),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[211] [4]),
+	.C1(n_15052),
+	.Y(n_15231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781500 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[59] [5]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[187] [5]),
+	.C1(n_15051),
+	.Y(n_15230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781501 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[63] [5]),
+	.B1(n_13422),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[127] [5]),
+	.C1(n_15050),
+	.Y(n_15229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781502 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[55] [5]),
+	.B1(n_13422),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[119] [5]),
+	.C1(n_15049),
+	.Y(n_15228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781503 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[3] [5]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[67] [5]),
+	.C1(n_15048),
+	.Y(n_15227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781504 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[15] [6]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[79] [6]),
+	.C1(n_15047),
+	.Y(n_15226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781505 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[7] [6]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[71] [6]),
+	.C1(n_15046),
+	.Y(n_15225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781506 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[11] [6]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[75] [6]),
+	.C1(n_15077),
+	.Y(n_15224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781507 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[131] [6]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[195] [6]),
+	.C1(n_15106),
+	.Y(n_15223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781508 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[63] [7]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[191] [7]),
+	.C1(n_15107),
+	.Y(n_15222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781509 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[123] [7]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[251] [7]),
+	.C1(n_15109),
+	.Y(n_15221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781510 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[135] [7]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[199] [7]),
+	.C1(n_15112),
+	.Y(n_15220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781511 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[131] [7]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[195] [7]),
+	.C1(n_15113),
+	.Y(n_15219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g781512 (
+	.A(n_15127),
+	.B(n_349109_BAR),
+	.Y(n_15218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g781513 (
+	.A(n_15127),
+	.B(n_79425),
+	.Y(n_15305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g781514 (
+	.A(n_62055),
+	.B(n_62073),
+	.Y(n_15304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g781515 (
+	.A(soc_top_instr_addr[8]),
+	.B(soc_top_instr_addr[9]),
+	.X(n_15303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g781516 (
+	.A_N(soc_top_instr_addr[8]),
+	.B(soc_top_instr_addr[9]),
+	.Y(n_15302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g781517 (
+	.A_N(soc_top_instr_addr[9]),
+	.B(soc_top_instr_addr[8]),
+	.Y(n_15300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g781518 (
+	.A(soc_top_instr_addr[9]),
+	.B(soc_top_instr_addr[8]),
+	.Y(n_15298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g781519 (
+	.A(n_71731),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_534 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781521 (
+	.A1(n_13452),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[104] [5]),
+	.B1(n_13450),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[232] [5]),
+	.C1(n_15042),
+	.Y(n_15216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781522 (
+	.A1(n_13434),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[39] [2]),
+	.B1(n_13433),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[47] [2]),
+	.C1(n_15040),
+	.Y(n_15215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781523 (
+	.A1(n_13434),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[7] [2]),
+	.B1(n_13433),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[15] [2]),
+	.C1(n_15039),
+	.Y(n_15214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781524 (
+	.A1(n_13434),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[37] [2]),
+	.B1(n_13433),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[45] [2]),
+	.C1(n_15038),
+	.Y(n_15213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781525 (
+	.A1(n_13457),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[1] [2]),
+	.B1(n_35157),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[17] [2]),
+	.C1(n_15037),
+	.Y(n_15212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781526 (
+	.A1(n_13440),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[50] [3]),
+	.B1(n_13443),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[58] [3]),
+	.C1(n_15036),
+	.Y(n_15211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781527 (
+	.A1(n_13440),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[48] [3]),
+	.B1(n_13443),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[56] [3]),
+	.C1(n_15035),
+	.Y(n_15210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781528 (
+	.A1(n_13440),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[18] [3]),
+	.B1(n_13443),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[26] [3]),
+	.C1(n_15034),
+	.Y(n_15209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781529 (
+	.A1(n_13435),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[21] [3]),
+	.B1(n_13428),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[29] [3]),
+	.C1(n_15033),
+	.Y(n_15208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781530 (
+	.A1(n_13435),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[55] [4]),
+	.B1(n_13428),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[63] [4]),
+	.C1(n_15032),
+	.Y(n_15207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781531 (
+	.A1(n_35159),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[9] [4]),
+	.B1(n_13456),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[25] [4]),
+	.C1(n_15031),
+	.Y(n_15206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781532 (
+	.A1(n_13440),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[50] [5]),
+	.B1(n_13443),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[58] [5]),
+	.C1(n_15030),
+	.Y(n_15205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781533 (
+	.A1(n_13440),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[48] [5]),
+	.B1(n_13443),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[56] [5]),
+	.C1(n_15029),
+	.Y(n_15204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781534 (
+	.A1(n_13435),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[23] [5]),
+	.B1(n_13428),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[31] [5]),
+	.C1(n_15028),
+	.Y(n_15203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781535 (
+	.A1(n_35159),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[9] [5]),
+	.B1(n_13456),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[25] [5]),
+	.C1(n_15027),
+	.Y(n_15202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781536 (
+	.A1(n_13435),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[55] [6]),
+	.B1(n_13428),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[63] [6]),
+	.C1(n_15026),
+	.Y(n_15201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781537 (
+	.A1(n_13435),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[53] [6]),
+	.B1(n_13428),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[61] [6]),
+	.C1(n_15025),
+	.Y(n_15200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781538 (
+	.A1(n_13440),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[18] [6]),
+	.B1(n_13443),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[26] [6]),
+	.C1(n_15024),
+	.Y(n_15199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781539 (
+	.A1(n_13457),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[1] [6]),
+	.B1(n_35157),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[17] [6]),
+	.C1(n_15023),
+	.Y(n_15198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781540 (
+	.A1(n_13440),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[48] [7]),
+	.B1(n_13443),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[56] [7]),
+	.C1(n_15022),
+	.Y(n_15197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781541 (
+	.A1(n_13434),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[7] [7]),
+	.B1(n_13433),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[15] [7]),
+	.C1(n_15021),
+	.Y(n_15196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781542 (
+	.A1(n_13434),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[39] [7]),
+	.B1(n_13433),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[47] [7]),
+	.C1(n_15020),
+	.Y(n_15195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781543 (
+	.A1(n_13457),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[1] [7]),
+	.B1(n_35157),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[17] [7]),
+	.C1(n_15019),
+	.Y(n_15194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781544 (
+	.A1(n_13434),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[39] [8]),
+	.B1(n_13433),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[47] [8]),
+	.C1(n_15018),
+	.Y(n_15193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781545 (
+	.A1(n_13435),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[23] [8]),
+	.B1(n_13428),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[31] [8]),
+	.C1(n_15017),
+	.Y(n_15192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781546 (
+	.A1(n_13440),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[48] [8]),
+	.B1(n_13443),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[56] [8]),
+	.C1(n_15016),
+	.Y(n_15191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781547 (
+	.A1(n_13457),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[1] [8]),
+	.B1(n_35157),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[17] [8]),
+	.C1(n_15015),
+	.Y(n_15190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781549 (
+	.A1(n_13452),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[100] [1]),
+	.B1(n_13450),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[228] [1]),
+	.C1(n_15014),
+	.Y(n_15188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781550 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[48] [1]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[176] [1]),
+	.C1(n_15013),
+	.Y(n_15187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781551 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[12] [1]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[76] [1]),
+	.C1(n_15012),
+	.Y(n_15186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781552 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[8] [1]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[72] [1]),
+	.C1(n_15011),
+	.Y(n_15185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781553 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[136] [2]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[200] [2]),
+	.C1(n_15010),
+	.Y(n_15184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781554 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[132] [2]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[196] [2]),
+	.C1(n_15009),
+	.Y(n_15183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781555 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[128] [2]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[192] [2]),
+	.C1(n_15008),
+	.Y(n_15182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781556 (
+	.A1(n_13436),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[28] [2]),
+	.B1(n_13437),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[156] [2]),
+	.C1(n_15007),
+	.Y(n_15181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781557 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[48] [3]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[176] [3]),
+	.C1(n_15006),
+	.Y(n_15180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781558 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[116] [3]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[244] [3]),
+	.C1(n_15005),
+	.Y(n_15179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781559 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[140] [3]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[204] [3]),
+	.C1(n_15004),
+	.Y(n_15178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781560 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[136] [3]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[200] [3]),
+	.C1(n_15003),
+	.Y(n_15177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781561 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[56] [4]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[184] [4]),
+	.C1(n_15002),
+	.Y(n_15176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781562 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[112] [4]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[240] [4]),
+	.C1(n_15001),
+	.Y(n_15175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781563 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[140] [4]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[204] [4]),
+	.C1(n_15000),
+	.Y(n_15174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781564 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[4] [4]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[68] [4]),
+	.C1(n_14999),
+	.Y(n_15173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781565 (
+	.A1(n_13457),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[1] [1]),
+	.B1(n_35157),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[17] [1]),
+	.C1(n_15041),
+	.Y(n_15172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781566 (
+	.A1(n_13438),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[80] [5]),
+	.B1(n_13439),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[208] [5]),
+	.C1(n_14997),
+	.Y(n_15171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781567 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[56] [6]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[184] [6]),
+	.C1(n_14996),
+	.Y(n_15170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781568 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[52] [6]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[180] [6]),
+	.C1(n_14995),
+	.Y(n_15169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781569 (
+	.A1(n_13438),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[92] [6]),
+	.B1(n_13439),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[220] [6]),
+	.C1(n_14994),
+	.Y(n_15168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781570 (
+	.A1(n_13438),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[80] [6]),
+	.B1(n_13439),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[208] [6]),
+	.C1(n_14993),
+	.Y(n_15167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781571 (
+	.A1(n_13438),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[92] [7]),
+	.B1(n_13439),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[220] [7]),
+	.C1(n_14992),
+	.Y(n_15166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781572 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[56] [7]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[184] [7]),
+	.C1(n_14991),
+	.Y(n_15165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781573 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[52] [7]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[180] [7]),
+	.C1(n_14990),
+	.Y(n_15164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781574 (
+	.A1(n_13452),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[96] [7]),
+	.B1(n_13450),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[224] [7]),
+	.C1(n_14989),
+	.Y(n_15163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781575 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[60] [8]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[188] [8]),
+	.C1(n_14988),
+	.Y(n_15162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781576 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[52] [8]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[180] [8]),
+	.C1(n_14987),
+	.Y(n_15161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781577 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[13] [1]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[77] [1]),
+	.C1(n_14986),
+	.Y(n_15160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781578 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[137] [1]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[201] [1]),
+	.C1(n_14985),
+	.Y(n_15159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781579 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[117] [1]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[245] [1]),
+	.C1(n_14984),
+	.Y(n_15158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781580 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[129] [1]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[193] [1]),
+	.C1(n_14983),
+	.Y(n_15157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781581 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[133] [2]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[197] [2]),
+	.C1(n_14982),
+	.Y(n_15156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781582 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[1] [2]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[65] [2]),
+	.C1(n_14981),
+	.Y(n_15155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781583 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[121] [2]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[249] [2]),
+	.C1(n_14980),
+	.Y(n_15154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781584 (
+	.A1(n_13436),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[29] [2]),
+	.B1(n_13437),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[157] [2]),
+	.C1(n_14979),
+	.Y(n_15153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781585 (
+	.A1(n_13438),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[93] [3]),
+	.B1(n_13439),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[221] [3]),
+	.C1(n_14978),
+	.Y(n_15152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781586 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[53] [3]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[181] [3]),
+	.C1(n_14977),
+	.Y(n_15151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781587 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[57] [3]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[185] [3]),
+	.C1(n_14976),
+	.Y(n_15150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781588 (
+	.A1(n_13438),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[81] [3]),
+	.B1(n_13439),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[209] [3]),
+	.C1(n_14975),
+	.Y(n_15149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781589 (
+	.A1(n_13427),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[69] [4]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[197] [4]),
+	.C1(n_14974),
+	.Y(n_15148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781590 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[49] [4]),
+	.B1(n_13422),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[113] [4]),
+	.C1(n_14973),
+	.Y(n_15147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781591 (
+	.A1(n_13427),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[77] [4]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[205] [4]),
+	.C1(n_14972),
+	.Y(n_15146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781592 (
+	.A1(n_13453),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[41] [4]),
+	.B1(n_13451),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[169] [4]),
+	.C1(n_14971),
+	.Y(n_15145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781593 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[125] [5]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[253] [5]),
+	.C1(n_14970),
+	.Y(n_15144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781594 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[117] [5]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[245] [5]),
+	.C1(n_14969),
+	.Y(n_15143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781595 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[137] [5]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[201] [5]),
+	.C1(n_14968),
+	.Y(n_15142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781596 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[1] [5]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[65] [5]),
+	.C1(n_14967),
+	.Y(n_15141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781597 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[125] [6]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[253] [6]),
+	.C1(n_14966),
+	.Y(n_15140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781598 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[9] [6]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[73] [6]),
+	.C1(n_14965),
+	.Y(n_15139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781599 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[133] [6]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[197] [6]),
+	.C1(n_14964),
+	.Y(n_15138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781600 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[113] [6]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[241] [6]),
+	.C1(n_14963),
+	.Y(n_15137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781601 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[125] [7]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[253] [7]),
+	.C1(n_14962),
+	.Y(n_15136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g781602 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[133] [7]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[197] [7]),
+	.C1(n_14961),
+	.Y(n_15135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g781604 (
+	.A(n_62295),
+	.B(n_15108),
+	.Y(n_15133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781605 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[126] [3]),
+	.B1(n_13423),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[14] [3]),
+	.C1(n_14942),
+	.X(n_15132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781606 (
+	.A1(n_13443),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[56] [4]),
+	.B1(n_13433),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[45] [4]),
+	.C1(n_14854),
+	.X(n_15131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781607 (
+	.A1(n_13427),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[76] [5]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[204] [5]),
+	.C1(n_14820),
+	.X(n_15130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781608 (
+	.A1(n_13439),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[216] [8]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[184] [8]),
+	.C1(n_14808),
+	.X(n_15129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g781610 (
+	.A(n_15126),
+	.Y(n_15127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g781611 (
+	.A(n_15124),
+	.Y(n_15125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781614 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[122] [6]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[250] [6]),
+	.C1(n_14928),
+	.X(n_15120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781615 (
+	.A1(n_13440),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[18] [1]),
+	.B1(n_13443),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[26] [1]),
+	.C1(n_14885),
+	.X(n_15119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781616 (
+	.A1(n_13435),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[53] [1]),
+	.B1(n_13428),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[61] [1]),
+	.C1(n_14886),
+	.X(n_15118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781617 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[3] [8]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[67] [8]),
+	.C1(n_14887),
+	.X(n_15117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781618 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[127] [8]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[255] [8]),
+	.C1(n_14888),
+	.X(n_15116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781619 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[135] [8]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[199] [8]),
+	.C1(n_14889),
+	.X(n_15115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781620 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[139] [8]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[203] [8]),
+	.C1(n_14890),
+	.X(n_15114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781621 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[3] [7]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[67] [7]),
+	.C1(n_14891),
+	.X(n_15113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781622 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[119] [7]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[247] [7]),
+	.C1(n_14892),
+	.X(n_15112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781626 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[11] [7]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[75] [7]),
+	.C1(n_14893),
+	.X(n_15109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g781627 (
+	.A(n_14857),
+	.B(n_14191),
+	.Y(n_15108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781628 (
+	.A1(n_13452),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[111] [7]),
+	.B1(n_13450),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[239] [7]),
+	.C1(n_14894),
+	.X(n_15107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781629 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[115] [6]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[243] [6]),
+	.C1(n_14895),
+	.X(n_15106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g781630 (
+	.A(n_14959),
+	.B(n_14089),
+	.Y(n_15105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781632 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[9] [7]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[73] [7]),
+	.C1(n_14956),
+	.X(n_15103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781633 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[1] [7]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[65] [7]),
+	.C1(n_14955),
+	.X(n_15102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781634 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[121] [8]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[249] [8]),
+	.C1(n_14954),
+	.X(n_15101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781635 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[5] [8]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[69] [8]),
+	.C1(n_14953),
+	.X(n_15100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781636 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[125] [8]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[253] [8]),
+	.C1(n_14952),
+	.X(n_15099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781637 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[129] [8]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[193] [8]),
+	.C1(n_14951),
+	.X(n_15098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781638 (
+	.A1(n_13452),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[106] [1]),
+	.B1(n_13450),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[234] [1]),
+	.C1(n_14950),
+	.X(n_15097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781639 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[2] [1]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[66] [1]),
+	.C1(n_14949),
+	.X(n_15096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781640 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[14] [1]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[78] [1]),
+	.C1(n_14948),
+	.X(n_15095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781641 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[6] [1]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[70] [1]),
+	.C1(n_14947),
+	.X(n_15094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781642 (
+	.A1(n_13438),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[86] [2]),
+	.B1(n_13439),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[214] [2]),
+	.C1(n_14946),
+	.X(n_15093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781643 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[130] [2]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[194] [2]),
+	.C1(n_14945),
+	.X(n_15092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781644 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[142] [2]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[206] [2]),
+	.C1(n_14944),
+	.X(n_15091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781645 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[138] [2]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[202] [2]),
+	.C1(n_14943),
+	.X(n_15090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781646 (
+	.A1(n_13438),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[86] [3]),
+	.B1(n_13439),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[214] [3]),
+	.C1(n_14940),
+	.X(n_15089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781647 (
+	.A1(n_13438),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[90] [3]),
+	.B1(n_13439),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[218] [3]),
+	.C1(n_14939),
+	.X(n_15088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781648 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[62] [4]),
+	.B1(n_13422),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[126] [4]),
+	.C1(n_14938),
+	.X(n_15087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781649 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[186] [4]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[250] [4]),
+	.C1(n_14937),
+	.X(n_15086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781650 (
+	.A1(n_13427),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[70] [4]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[198] [4]),
+	.C1(n_14936),
+	.X(n_15085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781651 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[178] [4]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[242] [4]),
+	.C1(n_14935),
+	.X(n_15084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781652 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[142] [5]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[206] [5]),
+	.C1(n_14934),
+	.X(n_15083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781653 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[118] [5]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[246] [5]),
+	.C1(n_14933),
+	.X(n_15082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781654 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[122] [5]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[250] [5]),
+	.C1(n_14932),
+	.X(n_15081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781655 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[130] [5]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[194] [5]),
+	.C1(n_14931),
+	.X(n_15080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781656 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[142] [6]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[206] [6]),
+	.C1(n_14930),
+	.X(n_15079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781657 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[6] [6]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[70] [6]),
+	.C1(n_14929),
+	.X(n_15078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781658 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[139] [6]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[203] [6]),
+	.C1(n_14896),
+	.X(n_15077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781659 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[2] [6]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[66] [6]),
+	.C1(n_14927),
+	.X(n_15076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781660 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[62] [7]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[190] [7]),
+	.C1(n_14926),
+	.X(n_15075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781661 (
+	.A1(n_13438),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[90] [7]),
+	.B1(n_13439),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[218] [7]),
+	.C1(n_14925),
+	.X(n_15074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781662 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[6] [7]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[70] [7]),
+	.C1(n_14924),
+	.X(n_15073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781663 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[114] [7]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[242] [7]),
+	.C1(n_14923),
+	.X(n_15072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781664 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[14] [8]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[78] [8]),
+	.C1(n_14922),
+	.X(n_15071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781665 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[138] [8]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[202] [8]),
+	.C1(n_14921),
+	.X(n_15070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781666 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[134] [8]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[198] [8]),
+	.C1(n_14920),
+	.X(n_15069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781667 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[2] [8]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[66] [8]),
+	.C1(n_14919),
+	.X(n_15068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781668 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[143] [1]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[207] [1]),
+	.C1(n_14918),
+	.X(n_15067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781669 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[123] [1]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[251] [1]),
+	.C1(n_14917),
+	.X(n_15066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781670 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[135] [1]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[199] [1]),
+	.C1(n_14916),
+	.X(n_15065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781671 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[3] [1]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[67] [1]),
+	.C1(n_14915),
+	.X(n_15064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781672 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[15] [2]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[79] [2]),
+	.C1(n_14914),
+	.X(n_15063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781673 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[119] [2]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[247] [2]),
+	.C1(n_14913),
+	.X(n_15062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781674 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[123] [2]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[251] [2]),
+	.C1(n_14912),
+	.X(n_15061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781675 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[115] [2]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[243] [2]),
+	.C1(n_14911),
+	.X(n_15060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781676 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[143] [3]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[207] [3]),
+	.C1(n_14910),
+	.X(n_15059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781677 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[11] [3]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[75] [3]),
+	.C1(n_14909),
+	.X(n_15058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781678 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[7] [3]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[71] [3]),
+	.C1(n_14908),
+	.X(n_15057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781679 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[131] [3]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[195] [3]),
+	.C1(n_14907),
+	.X(n_15056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781680 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[59] [4]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[187] [4]),
+	.C1(n_14906),
+	.X(n_15055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781681 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[55] [4]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[183] [4]),
+	.C1(n_14905),
+	.X(n_15054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781682 (
+	.A1(n_13438),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[95] [4]),
+	.B1(n_13439),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[223] [4]),
+	.C1(n_14904),
+	.X(n_15053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781683 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[51] [4]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[179] [4]),
+	.C1(n_14903),
+	.X(n_15052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781684 (
+	.A1(n_13438),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[91] [5]),
+	.B1(n_13439),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[219] [5]),
+	.C1(n_14902),
+	.X(n_15051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781685 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[191] [5]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[255] [5]),
+	.C1(n_14901),
+	.X(n_15050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781686 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[183] [5]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[247] [5]),
+	.C1(n_14900),
+	.X(n_15049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781687 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[131] [5]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[195] [5]),
+	.C1(n_14899),
+	.X(n_15048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781688 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[143] [6]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[207] [6]),
+	.C1(n_14898),
+	.X(n_15047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781689 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[135] [6]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[199] [6]),
+	.C1(n_14897),
+	.X(n_15046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g781690 (
+	.A(n_58285),
+	.B(n_58717),
+	.Y(n_15126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g781691 (
+	.A(n_14191),
+	.B(n_46639),
+	.Y(n_15124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781703 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[56] [5]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[184] [5]),
+	.C1(n_14819),
+	.X(n_15042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781704 (
+	.A1(n_13435),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[21] [1]),
+	.B1(n_13428),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[29] [1]),
+	.C1(n_14883),
+	.X(n_15041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781705 (
+	.A1(n_13435),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[55] [2]),
+	.B1(n_13428),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[63] [2]),
+	.C1(n_14882),
+	.X(n_15040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781706 (
+	.A1(n_13440),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[18] [2]),
+	.B1(n_13443),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[26] [2]),
+	.C1(n_14881),
+	.X(n_15039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781707 (
+	.A1(n_13435),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[53] [2]),
+	.B1(n_13428),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[61] [2]),
+	.C1(n_14880),
+	.X(n_15038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781708 (
+	.A1(n_35159),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[9] [2]),
+	.B1(n_13456),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[25] [2]),
+	.C1(n_14879),
+	.X(n_15037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781709 (
+	.A1(n_13434),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[39] [3]),
+	.B1(n_13433),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[47] [3]),
+	.C1(n_14878),
+	.X(n_15036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781710 (
+	.A1(n_13434),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[37] [3]),
+	.B1(n_13433),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[45] [3]),
+	.C1(n_14877),
+	.X(n_15035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781711 (
+	.A1(n_13435),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[23] [3]),
+	.B1(n_13428),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[31] [3]),
+	.C1(n_14941),
+	.X(n_15034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781712 (
+	.A1(n_35159),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[9] [3]),
+	.B1(n_13456),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[25] [3]),
+	.C1(n_14958),
+	.X(n_15033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781713 (
+	.A1(n_13434),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[39] [4]),
+	.B1(n_13433),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[47] [4]),
+	.C1(n_14855),
+	.X(n_15032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781714 (
+	.A1(n_13457),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[1] [4]),
+	.B1(n_35157),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[17] [4]),
+	.C1(n_14853),
+	.X(n_15031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781715 (
+	.A1(n_13435),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[55] [5]),
+	.B1(n_13428),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[63] [5]),
+	.C1(n_14852),
+	.X(n_15030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781716 (
+	.A1(n_13435),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[53] [5]),
+	.B1(n_13428),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[61] [5]),
+	.C1(n_14851),
+	.X(n_15029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781717 (
+	.A1(n_13440),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[18] [5]),
+	.B1(n_13443),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[26] [5]),
+	.C1(n_14850),
+	.X(n_15028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781718 (
+	.A1(n_13457),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[1] [5]),
+	.B1(n_35157),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[17] [5]),
+	.C1(n_14849),
+	.X(n_15027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781719 (
+	.A1(n_13440),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[50] [6]),
+	.B1(n_13443),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[58] [6]),
+	.C1(n_14848),
+	.X(n_15026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781720 (
+	.A1(n_13434),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[37] [6]),
+	.B1(n_13433),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[45] [6]),
+	.C1(n_14847),
+	.X(n_15025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781721 (
+	.A1(n_13434),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[7] [6]),
+	.B1(n_13433),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[15] [6]),
+	.C1(n_14846),
+	.X(n_15024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781722 (
+	.A1(n_13435),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[21] [6]),
+	.B1(n_13428),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[29] [6]),
+	.C1(n_14845),
+	.X(n_15023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781723 (
+	.A1(n_13435),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[53] [7]),
+	.B1(n_13428),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[61] [7]),
+	.C1(n_14844),
+	.X(n_15022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781724 (
+	.A1(n_13440),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[18] [7]),
+	.B1(n_13443),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[26] [7]),
+	.C1(n_14843),
+	.X(n_15021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781725 (
+	.A1(n_13435),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[55] [7]),
+	.B1(n_13428),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[63] [7]),
+	.C1(n_14842),
+	.X(n_15020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781726 (
+	.A1(n_35159),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[9] [7]),
+	.B1(n_13456),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[25] [7]),
+	.C1(n_14841),
+	.X(n_15019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781727 (
+	.A1(n_13435),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[55] [8]),
+	.B1(n_13428),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[63] [8]),
+	.C1(n_14840),
+	.X(n_15018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781728 (
+	.A1(n_13434),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[7] [8]),
+	.B1(n_13433),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[15] [8]),
+	.C1(n_14839),
+	.X(n_15017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781729 (
+	.A1(n_13435),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[53] [8]),
+	.B1(n_13428),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[61] [8]),
+	.C1(n_14838),
+	.X(n_15016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781730 (
+	.A1(n_35159),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[9] [8]),
+	.B1(n_13456),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[25] [8]),
+	.C1(n_14837),
+	.X(n_15015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781731 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[52] [1]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[180] [1]),
+	.C1(n_14836),
+	.X(n_15014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781732 (
+	.A1(n_13452),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[96] [1]),
+	.B1(n_13450),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[224] [1]),
+	.C1(n_14835),
+	.X(n_15013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781733 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[124] [1]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[252] [1]),
+	.C1(n_14834),
+	.X(n_15012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781734 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[120] [1]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[248] [1]),
+	.C1(n_14833),
+	.X(n_15011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781735 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[8] [2]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[72] [2]),
+	.C1(n_14832),
+	.X(n_15010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781736 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[116] [2]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[244] [2]),
+	.C1(n_14831),
+	.X(n_15009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781737 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[0] [2]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[64] [2]),
+	.C1(n_14830),
+	.X(n_15008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781738 (
+	.A1(n_13427),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[76] [2]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[204] [2]),
+	.C1(n_14829),
+	.X(n_15007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781739 (
+	.A1(n_13452),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[96] [3]),
+	.B1(n_13450),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[224] [3]),
+	.C1(n_14828),
+	.X(n_15006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781740 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[4] [3]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[68] [3]),
+	.C1(n_14827),
+	.X(n_15005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781741 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[12] [3]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[76] [3]),
+	.C1(n_14826),
+	.X(n_15004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781742 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[8] [3]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[72] [3]),
+	.C1(n_14825),
+	.X(n_15003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781743 (
+	.A1(n_13438),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[88] [4]),
+	.B1(n_13439),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[216] [4]),
+	.C1(n_14824),
+	.X(n_15002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781744 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[128] [4]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[192] [4]),
+	.C1(n_14823),
+	.X(n_15001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781745 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[124] [4]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[252] [4]),
+	.C1(n_14822),
+	.X(n_15000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781746 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[116] [4]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[244] [4]),
+	.C1(n_14821),
+	.X(n_14999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781747 (
+	.A1(n_13440),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[50] [1]),
+	.B1(n_13443),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[58] [1]),
+	.C1(n_14884),
+	.X(n_14998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781748 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[48] [5]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[176] [5]),
+	.C1(n_14818),
+	.X(n_14997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781749 (
+	.A1(n_13438),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[88] [6]),
+	.B1(n_13439),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[216] [6]),
+	.C1(n_14817),
+	.X(n_14996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781750 (
+	.A1(n_13438),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[84] [6]),
+	.B1(n_13439),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[212] [6]),
+	.C1(n_14816),
+	.X(n_14995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781751 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[60] [6]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[188] [6]),
+	.C1(n_14815),
+	.X(n_14994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781752 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[48] [6]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[176] [6]),
+	.C1(n_14814),
+	.X(n_14993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781753 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[60] [7]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[188] [7]),
+	.C1(n_14813),
+	.X(n_14992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781754 (
+	.A1(n_13438),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[88] [7]),
+	.B1(n_13439),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[216] [7]),
+	.C1(n_14812),
+	.X(n_14991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781755 (
+	.A1(n_13438),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[84] [7]),
+	.B1(n_13439),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[212] [7]),
+	.C1(n_14811),
+	.X(n_14990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781756 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[48] [7]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[176] [7]),
+	.C1(n_14810),
+	.X(n_14989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781757 (
+	.A1(n_13438),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[92] [8]),
+	.B1(n_13439),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[220] [8]),
+	.C1(n_14807),
+	.X(n_14988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781758 (
+	.A1(n_13438),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[84] [8]),
+	.B1(n_13439),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[212] [8]),
+	.C1(n_14806),
+	.X(n_14987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781759 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[141] [1]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[205] [1]),
+	.C1(n_14805),
+	.X(n_14986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781760 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[121] [1]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[249] [1]),
+	.C1(n_14804),
+	.X(n_14985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781761 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[133] [1]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[197] [1]),
+	.C1(n_14803),
+	.X(n_14984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781762 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[1] [1]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[65] [1]),
+	.C1(n_14802),
+	.X(n_14983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781763 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[5] [2]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[69] [2]),
+	.C1(n_14801),
+	.X(n_14982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781764 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[113] [2]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[241] [2]),
+	.C1(n_14800),
+	.X(n_14981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781765 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[137] [2]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[201] [2]),
+	.C1(n_14799),
+	.X(n_14980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781766 (
+	.A1(n_13427),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[77] [2]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[205] [2]),
+	.C1(n_14798),
+	.X(n_14979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781767 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[61] [3]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[189] [3]),
+	.C1(n_14797),
+	.X(n_14978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781768 (
+	.A1(n_13438),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[85] [3]),
+	.B1(n_13439),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[213] [3]),
+	.C1(n_14796),
+	.X(n_14977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781769 (
+	.A1(n_13438),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[89] [3]),
+	.B1(n_13439),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[217] [3]),
+	.C1(n_14795),
+	.X(n_14976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781770 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[49] [3]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[177] [3]),
+	.C1(n_14794),
+	.X(n_14975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781771 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[181] [4]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[245] [4]),
+	.C1(n_14793),
+	.X(n_14974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781772 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[177] [4]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[241] [4]),
+	.C1(n_14792),
+	.X(n_14973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781773 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[61] [4]),
+	.B1(n_13422),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[125] [4]),
+	.C1(n_14791),
+	.X(n_14972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781774 (
+	.A1(n_13427),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[73] [4]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[201] [4]),
+	.C1(n_14790),
+	.X(n_14971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781775 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[13] [5]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[77] [5]),
+	.C1(n_14789),
+	.X(n_14970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781776 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[5] [5]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[69] [5]),
+	.C1(n_14788),
+	.X(n_14969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781777 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[9] [5]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[73] [5]),
+	.C1(n_14787),
+	.X(n_14968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781778 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[113] [5]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[241] [5]),
+	.C1(n_14786),
+	.X(n_14967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781779 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[141] [6]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[205] [6]),
+	.C1(n_14785),
+	.X(n_14966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781780 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[121] [6]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[249] [6]),
+	.C1(n_14784),
+	.X(n_14965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781781 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[5] [6]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[69] [6]),
+	.C1(n_14783),
+	.X(n_14964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781782 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[1] [6]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[65] [6]),
+	.C1(n_14782),
+	.X(n_14963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781783 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[13] [7]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[77] [7]),
+	.C1(n_14780),
+	.X(n_14962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781784 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[5] [7]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[69] [7]),
+	.C1(n_14779),
+	.X(n_14961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g781785 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_rdata_outstanding_q[0]),
+	.C(n_14560),
+	.D(n_70352),
+	.X(n_14960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g781787 (
+	.A1(n_14572),
+	.A2(\soc_top_iccm_adapter_inst_mem_rdata[0] [1]),
+	.B1(n_14579),
+	.X(\soc_top_iccm_to_xbar[d_data] [1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781792 (
+	.A1(n_13457),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[1] [3]),
+	.B1(n_35157),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[17] [3]),
+	.C1(n_14734),
+	.X(n_14958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g781801 (
+	.A(n_14728),
+	.B_N(soc_top_u_spi_host_spi_host_tip),
+	.Y(n_14957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g781802 (
+	.A(n_72264),
+	.B(n_70048),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781803 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[137] [7]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[201] [7]),
+	.C1(n_14692),
+	.X(n_14956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781804 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[129] [7]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[193] [7]),
+	.C1(n_14691),
+	.X(n_14955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781805 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[137] [8]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[201] [8]),
+	.C1(n_14690),
+	.X(n_14954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781806 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[117] [8]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[245] [8]),
+	.C1(n_14689),
+	.X(n_14953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781807 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[13] [8]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[77] [8]),
+	.C1(n_14688),
+	.X(n_14952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781808 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[113] [8]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[241] [8]),
+	.C1(n_14687),
+	.X(n_14951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781809 (
+	.A1(n_13451),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[170] [1]),
+	.B1(n_13422),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[122] [1]),
+	.C1(n_14727),
+	.X(n_14950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781810 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[114] [1]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[242] [1]),
+	.C1(n_14686),
+	.X(n_14949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781811 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[142] [1]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[206] [1]),
+	.C1(n_14685),
+	.X(n_14948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781812 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[134] [1]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[198] [1]),
+	.C1(n_14684),
+	.X(n_14947), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781813 (
+	.A1(n_13436),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[22] [2]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[246] [2]),
+	.C1(n_14726),
+	.X(n_14946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781814 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[114] [2]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[242] [2]),
+	.C1(n_14683),
+	.X(n_14945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781815 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[14] [2]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[78] [2]),
+	.C1(n_14682),
+	.X(n_14944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781816 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[10] [2]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[74] [2]),
+	.C1(n_14681),
+	.X(n_14943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781817 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[142] [3]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[206] [3]),
+	.C1(n_14680),
+	.X(n_14942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781818 (
+	.A1(n_13434),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[7] [3]),
+	.B1(n_13433),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[15] [3]),
+	.C1(n_14633),
+	.X(n_14941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781819 (
+	.A1(n_13437),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[150] [3]),
+	.B1(n_13422),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[118] [3]),
+	.C1(n_14724),
+	.X(n_14940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781820 (
+	.A1(n_13437),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[154] [3]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[250] [3]),
+	.C1(n_14723),
+	.X(n_14939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781821 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[190] [4]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[254] [4]),
+	.C1(n_14679),
+	.X(n_14938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781822 (
+	.A1(n_13427),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[74] [4]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[202] [4]),
+	.C1(n_14678),
+	.X(n_14937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781823 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[182] [4]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[246] [4]),
+	.C1(n_14677),
+	.X(n_14936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781824 (
+	.A1(n_13427),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[66] [4]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[194] [4]),
+	.C1(n_14676),
+	.X(n_14935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781825 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[14] [5]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[78] [5]),
+	.C1(n_14675),
+	.X(n_14934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781826 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[134] [5]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[198] [5]),
+	.C1(n_14674),
+	.X(n_14933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781827 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[10] [5]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[74] [5]),
+	.C1(n_14713),
+	.X(n_14932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781828 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[114] [5]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[242] [5]),
+	.C1(n_14737),
+	.X(n_14931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781829 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[14] [6]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[78] [6]),
+	.C1(n_14738),
+	.X(n_14930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781830 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[118] [6]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[246] [6]),
+	.C1(n_14739),
+	.X(n_14929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781831 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[10] [6]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[74] [6]),
+	.C1(n_14740),
+	.X(n_14928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781832 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[130] [6]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[194] [6]),
+	.C1(n_14742),
+	.X(n_14927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781833 (
+	.A1(n_13453),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[46] [7]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[254] [7]),
+	.C1(n_14722),
+	.X(n_14926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781834 (
+	.A1(n_13436),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[26] [7]),
+	.B1(n_13422),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[122] [7]),
+	.C1(n_14721),
+	.X(n_14925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781835 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[134] [7]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[198] [7]),
+	.C1(n_14746),
+	.X(n_14924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781836 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[130] [7]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[194] [7]),
+	.C1(n_14758),
+	.X(n_14923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781837 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[126] [8]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[254] [8]),
+	.C1(n_14623),
+	.X(n_14922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781838 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[10] [8]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[74] [8]),
+	.C1(n_14670),
+	.X(n_14921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781839 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[118] [8]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[246] [8]),
+	.C1(n_14669),
+	.X(n_14920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781840 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[114] [8]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[242] [8]),
+	.C1(n_14668),
+	.X(n_14919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781841 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[15] [1]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[79] [1]),
+	.C1(n_14667),
+	.X(n_14918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781842 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[11] [1]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[75] [1]),
+	.C1(n_14666),
+	.X(n_14917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781843 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[7] [1]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[71] [1]),
+	.C1(n_14665),
+	.X(n_14916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781844 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[115] [1]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[243] [1]),
+	.C1(n_14664),
+	.X(n_14915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781845 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[143] [2]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[207] [2]),
+	.C1(n_14663),
+	.X(n_14914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781846 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[135] [2]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[199] [2]),
+	.C1(n_14662),
+	.X(n_14913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781847 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[139] [2]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[203] [2]),
+	.C1(n_14661),
+	.X(n_14912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781848 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[3] [2]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[67] [2]),
+	.C1(n_14660),
+	.X(n_14911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781849 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[15] [3]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[79] [3]),
+	.C1(n_14659),
+	.X(n_14910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781850 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[123] [3]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[251] [3]),
+	.C1(n_14658),
+	.X(n_14909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781851 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[119] [3]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[247] [3]),
+	.C1(n_14657),
+	.X(n_14908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781852 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[3] [3]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[67] [3]),
+	.C1(n_14656),
+	.X(n_14907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781853 (
+	.A1(n_13436),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[27] [4]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[251] [4]),
+	.C1(n_14720),
+	.X(n_14906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781854 (
+	.A1(n_13436),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[23] [4]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[247] [4]),
+	.C1(n_14719),
+	.X(n_14905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781855 (
+	.A1(n_13437),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[159] [4]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[255] [4]),
+	.C1(n_14718),
+	.X(n_14904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781856 (
+	.A1(n_13437),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[147] [4]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[243] [4]),
+	.C1(n_14717),
+	.X(n_14903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781857 (
+	.A1(n_13437),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[155] [5]),
+	.B1(n_13422),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[123] [5]),
+	.C1(n_14716),
+	.X(n_14902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781858 (
+	.A1(n_13427),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[79] [5]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[207] [5]),
+	.C1(n_14655),
+	.X(n_14901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781859 (
+	.A1(n_13427),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[71] [5]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[199] [5]),
+	.C1(n_14654),
+	.X(n_14900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781860 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[115] [5]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[243] [5]),
+	.C1(n_14653),
+	.X(n_14899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781861 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[127] [6]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[255] [6]),
+	.C1(n_14652),
+	.X(n_14898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781862 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[119] [6]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[247] [6]),
+	.C1(n_14651),
+	.X(n_14897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781863 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[123] [6]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[251] [6]),
+	.C1(n_14650),
+	.X(n_14896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781864 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[3] [6]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[67] [6]),
+	.C1(n_14649),
+	.X(n_14895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781865 (
+	.A1(n_13453),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[47] [7]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[255] [7]),
+	.C1(n_14715),
+	.X(n_14894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781866 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[139] [7]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[203] [7]),
+	.C1(n_14648),
+	.X(n_14893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781867 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[7] [7]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[71] [7]),
+	.C1(n_14647),
+	.X(n_14892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781868 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[115] [7]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[243] [7]),
+	.C1(n_14646),
+	.X(n_14891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781869 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[11] [8]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[75] [8]),
+	.C1(n_14645),
+	.X(n_14890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781870 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[7] [8]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[71] [8]),
+	.C1(n_14644),
+	.X(n_14889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781871 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[143] [8]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[207] [8]),
+	.C1(n_14643),
+	.X(n_14888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781872 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[131] [8]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[195] [8]),
+	.C1(n_14642),
+	.X(n_14887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781873 (
+	.A1(n_13434),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[37] [1]),
+	.B1(n_13433),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[45] [1]),
+	.C1(n_14641),
+	.X(n_14886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781874 (
+	.A1(n_13434),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[7] [1]),
+	.B1(n_13433),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[15] [1]),
+	.C1(n_14640),
+	.X(n_14885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781875 (
+	.A1(n_13434),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[39] [1]),
+	.B1(n_13433),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[47] [1]),
+	.C1(n_14639),
+	.X(n_14884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781876 (
+	.A1(n_35159),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[9] [1]),
+	.B1(n_13456),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[25] [1]),
+	.C1(n_14736),
+	.X(n_14883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781877 (
+	.A1(n_13440),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[50] [2]),
+	.B1(n_13443),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[58] [2]),
+	.C1(n_14638),
+	.X(n_14882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781878 (
+	.A1(n_13435),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[23] [2]),
+	.B1(n_13428),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[31] [2]),
+	.C1(n_14637),
+	.X(n_14881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781879 (
+	.A1(n_13440),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[48] [2]),
+	.B1(n_13443),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[56] [2]),
+	.C1(n_14636),
+	.X(n_14880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781880 (
+	.A1(n_13435),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[21] [2]),
+	.B1(n_13428),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[29] [2]),
+	.C1(n_14735),
+	.X(n_14879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781881 (
+	.A1(n_13435),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[55] [3]),
+	.B1(n_13428),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[63] [3]),
+	.C1(n_14635),
+	.X(n_14878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781882 (
+	.A1(n_13435),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[53] [3]),
+	.B1(n_13428),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[61] [3]),
+	.C1(n_14634),
+	.X(n_14877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g781903 (
+	.A1(n_13443),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[26] [4]),
+	.B1(n_14744),
+	.Y(n_14856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781904 (
+	.A1(n_13440),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[50] [4]),
+	.B1(n_13443),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[58] [4]),
+	.C1(n_14632),
+	.X(n_14855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781905 (
+	.A1(n_13435),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[53] [4]),
+	.B1(n_13428),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[61] [4]),
+	.C1(n_14631),
+	.X(n_14854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781906 (
+	.A1(n_13435),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[21] [4]),
+	.B1(n_13428),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[29] [4]),
+	.C1(n_14733),
+	.X(n_14853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781907 (
+	.A1(n_13434),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[39] [5]),
+	.B1(n_13433),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[47] [5]),
+	.C1(n_14630),
+	.X(n_14852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781908 (
+	.A1(n_13434),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[37] [5]),
+	.B1(n_13433),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[45] [5]),
+	.C1(n_14629),
+	.X(n_14851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781909 (
+	.A1(n_13434),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[7] [5]),
+	.B1(n_13433),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[15] [5]),
+	.C1(n_14628),
+	.X(n_14850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781910 (
+	.A1(n_13435),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[21] [5]),
+	.B1(n_13428),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[29] [5]),
+	.C1(n_14732),
+	.X(n_14849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781911 (
+	.A1(n_13434),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[39] [6]),
+	.B1(n_13433),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[47] [6]),
+	.C1(n_14627),
+	.X(n_14848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781912 (
+	.A1(n_13440),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[48] [6]),
+	.B1(n_13443),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[56] [6]),
+	.C1(n_14626),
+	.X(n_14847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781913 (
+	.A1(n_13435),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[23] [6]),
+	.B1(n_13428),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[31] [6]),
+	.C1(n_14625),
+	.X(n_14846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781914 (
+	.A1(n_35159),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[9] [6]),
+	.B1(n_13456),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[25] [6]),
+	.C1(n_14731),
+	.X(n_14845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781915 (
+	.A1(n_13434),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[37] [7]),
+	.B1(n_13433),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[45] [7]),
+	.C1(n_14624),
+	.X(n_14844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781916 (
+	.A1(n_13435),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[23] [7]),
+	.B1(n_13428),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[31] [7]),
+	.C1(n_14671),
+	.X(n_14843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781917 (
+	.A1(n_13440),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[50] [7]),
+	.B1(n_13443),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[58] [7]),
+	.C1(n_14622),
+	.X(n_14842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781918 (
+	.A1(n_13435),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[21] [7]),
+	.B1(n_13428),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[29] [7]),
+	.C1(n_14730),
+	.X(n_14841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781919 (
+	.A1(n_13440),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[50] [8]),
+	.B1(n_13443),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[58] [8]),
+	.C1(n_14621),
+	.X(n_14840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781920 (
+	.A1(n_13440),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[18] [8]),
+	.B1(n_13443),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[26] [8]),
+	.C1(n_14620),
+	.X(n_14839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781921 (
+	.A1(n_13434),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[37] [8]),
+	.B1(n_13433),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[45] [8]),
+	.C1(n_14619),
+	.X(n_14838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781922 (
+	.A1(n_13435),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[21] [8]),
+	.B1(n_13428),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[29] [8]),
+	.C1(n_14729),
+	.X(n_14837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781923 (
+	.A1(n_13451),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[164] [1]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[244] [1]),
+	.C1(n_14714),
+	.X(n_14836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781924 (
+	.A1(n_13451),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[160] [1]),
+	.B1(n_13422),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[112] [1]),
+	.C1(n_14760),
+	.X(n_14835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781925 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[140] [1]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[204] [1]),
+	.C1(n_14618),
+	.X(n_14834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781926 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[136] [1]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[200] [1]),
+	.C1(n_14617),
+	.X(n_14833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781927 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[120] [2]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[248] [2]),
+	.C1(n_14616),
+	.X(n_14832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781928 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[4] [2]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[68] [2]),
+	.C1(n_14615),
+	.X(n_14831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781929 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[112] [2]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[240] [2]),
+	.C1(n_14614),
+	.X(n_14830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781930 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[12] [2]),
+	.B1(n_13439),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[220] [2]),
+	.C1(n_14584),
+	.X(n_14829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781931 (
+	.A1(n_13453),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[32] [3]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[240] [3]),
+	.C1(n_14712),
+	.X(n_14828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781932 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[132] [3]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[196] [3]),
+	.C1(n_14613),
+	.X(n_14827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781933 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[124] [3]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[252] [3]),
+	.C1(n_14612),
+	.X(n_14826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781934 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[120] [3]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[248] [3]),
+	.C1(n_14611),
+	.X(n_14825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781935 (
+	.A1(n_13437),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[152] [4]),
+	.B1(n_13422),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[120] [4]),
+	.C1(n_14711),
+	.X(n_14824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781936 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[0] [4]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[64] [4]),
+	.C1(n_14610),
+	.X(n_14823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781937 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[12] [4]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[76] [4]),
+	.C1(n_14609),
+	.X(n_14822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781938 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[132] [4]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[196] [4]),
+	.C1(n_14608),
+	.X(n_14821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781939 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[124] [5]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[252] [5]),
+	.C1(n_14607),
+	.X(n_14820), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781940 (
+	.A1(n_13451),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[168] [5]),
+	.B1(n_13422),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[120] [5]),
+	.C1(n_14710),
+	.X(n_14819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781941 (
+	.A1(n_13436),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[16] [5]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[240] [5]),
+	.C1(n_14709),
+	.X(n_14818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781942 (
+	.A1(n_13436),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[24] [6]),
+	.B1(n_13422),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[120] [6]),
+	.C1(n_14708),
+	.X(n_14817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781943 (
+	.A1(n_13437),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[148] [6]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[244] [6]),
+	.C1(n_14707),
+	.X(n_14816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781944 (
+	.A1(n_13436),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[28] [6]),
+	.B1(n_13422),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[124] [6]),
+	.C1(n_14706),
+	.X(n_14815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781945 (
+	.A1(n_13437),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[144] [6]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[240] [6]),
+	.C1(n_14705),
+	.X(n_14814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781946 (
+	.A1(n_13436),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[28] [7]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[252] [7]),
+	.C1(n_14704),
+	.X(n_14813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781947 (
+	.A1(n_13437),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[152] [7]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[248] [7]),
+	.C1(n_14703),
+	.X(n_14812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781948 (
+	.A1(n_13437),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[148] [7]),
+	.B1(n_13422),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[116] [7]),
+	.C1(n_14702),
+	.X(n_14811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781949 (
+	.A1(n_13453),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[32] [7]),
+	.B1(n_13422),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[112] [7]),
+	.C1(n_14701),
+	.X(n_14810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781951 (
+	.A1(n_13437),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[152] [8]),
+	.B1(n_13422),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[120] [8]),
+	.C1(n_14700),
+	.X(n_14808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781952 (
+	.A1(n_13436),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[28] [8]),
+	.B1(n_13422),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[124] [8]),
+	.C1(n_14699),
+	.X(n_14807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781953 (
+	.A1(n_13436),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[20] [8]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[244] [8]),
+	.C1(n_14698),
+	.X(n_14806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781954 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[125] [1]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[253] [1]),
+	.C1(n_14604),
+	.X(n_14805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781955 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[9] [1]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[73] [1]),
+	.C1(n_14603),
+	.X(n_14804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781956 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[5] [1]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[69] [1]),
+	.C1(n_14602),
+	.X(n_14803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781957 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[113] [1]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[241] [1]),
+	.C1(n_14601),
+	.X(n_14802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781958 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[117] [2]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[245] [2]),
+	.C1(n_14600),
+	.X(n_14801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781959 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[129] [2]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[193] [2]),
+	.C1(n_14599),
+	.X(n_14800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781960 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[9] [2]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[73] [2]),
+	.C1(n_14598),
+	.X(n_14799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781961 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[13] [2]),
+	.B1(n_13438),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[93] [2]),
+	.C1(n_14583),
+	.X(n_14798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781962 (
+	.A1(n_13436),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[29] [3]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[253] [3]),
+	.C1(n_14697),
+	.X(n_14797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781963 (
+	.A1(n_13437),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[149] [3]),
+	.B1(n_13422),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[117] [3]),
+	.C1(n_14696),
+	.X(n_14796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781964 (
+	.A1(n_13437),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[153] [3]),
+	.B1(n_13422),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[121] [3]),
+	.C1(n_14695),
+	.X(n_14795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781965 (
+	.A1(n_13436),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[17] [3]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[241] [3]),
+	.C1(n_14694),
+	.X(n_14794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781966 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[53] [4]),
+	.B1(n_13422),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[117] [4]),
+	.C1(n_14597),
+	.X(n_14793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781967 (
+	.A1(n_13427),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[65] [4]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[193] [4]),
+	.C1(n_14596),
+	.X(n_14792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781968 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[189] [4]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[253] [4]),
+	.C1(n_14595),
+	.X(n_14791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781969 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[9] [4]),
+	.B1(n_13452),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[105] [4]),
+	.C1(n_14582),
+	.X(n_14790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781970 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[141] [5]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[205] [5]),
+	.C1(n_14594),
+	.X(n_14789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781971 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[133] [5]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[197] [5]),
+	.C1(n_14593),
+	.X(n_14788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781972 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[121] [5]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[249] [5]),
+	.C1(n_14592),
+	.X(n_14787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781973 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[129] [5]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[193] [5]),
+	.C1(n_14591),
+	.X(n_14786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781974 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[13] [6]),
+	.B1(n_13427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[77] [6]),
+	.C1(n_14590),
+	.X(n_14785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781975 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[137] [6]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[201] [6]),
+	.C1(n_14589),
+	.X(n_14784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781976 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[117] [6]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[245] [6]),
+	.C1(n_14588),
+	.X(n_14783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781977 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[129] [6]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[193] [6]),
+	.C1(n_14587),
+	.X(n_14782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781979 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[141] [7]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[205] [7]),
+	.C1(n_14586),
+	.X(n_14780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g781980 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[117] [7]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[245] [7]),
+	.C1(n_14693),
+	.X(n_14779), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g781981 (
+	.A1(n_14573),
+	.A2(n_70047),
+	.B1(n_69395),
+	.X(n_14778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g781992 (
+	.A1(n_14356),
+	.A2(n_29426),
+	.B1(n_13608),
+	.Y(n_14769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g781996 (
+	.A(n_74946),
+	.B(n_34988),
+	.Y(n_14857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782002 (
+	.A1(n_13453),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[32] [1]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[240] [1]),
+	.C1(n_14428),
+	.X(n_14760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782004 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[178] [7]),
+	.B1(n_13421),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[50] [7]),
+	.C1(n_14484),
+	.X(n_14758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g782015 (
+	.A(n_69394),
+	.B(n_14573),
+	.Y(n_14747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782016 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[54] [7]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[182] [7]),
+	.C1(n_14485),
+	.X(n_14746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g782018 (
+	.A1(n_29458),
+	.A2(n_13965),
+	.B1(n_13169),
+	.B2(n_13966),
+	.C1(n_14561),
+	.Y(n_14744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782020 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[50] [6]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[178] [6]),
+	.C1(n_14488),
+	.X(n_14742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782022 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[58] [6]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[186] [6]),
+	.C1(n_14489),
+	.X(n_14740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782023 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[182] [6]),
+	.B1(n_13421),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[54] [6]),
+	.C1(n_14490),
+	.X(n_14739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782024 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[62] [6]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[190] [6]),
+	.C1(n_14491),
+	.X(n_14738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782025 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[50] [5]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[178] [5]),
+	.C1(n_14492),
+	.X(n_14737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782026 (
+	.A1(n_13433),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[13] [1]),
+	.B1(n_13434),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[5] [1]),
+	.C1(n_14457),
+	.X(n_14736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782027 (
+	.A1(n_13434),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[5] [2]),
+	.B1(n_13433),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[13] [2]),
+	.C1(n_14453),
+	.X(n_14735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782028 (
+	.A1(n_13434),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[5] [3]),
+	.B1(n_13433),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[13] [3]),
+	.C1(n_14449),
+	.X(n_14734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782029 (
+	.A1(n_13434),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[5] [4]),
+	.B1(n_13433),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[13] [4]),
+	.C1(n_14446),
+	.X(n_14733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782030 (
+	.A1(n_13434),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[5] [5]),
+	.B1(n_13433),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[13] [5]),
+	.C1(n_14442),
+	.X(n_14732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782031 (
+	.A1(n_13434),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[5] [6]),
+	.B1(n_13433),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[13] [6]),
+	.C1(n_14438),
+	.X(n_14731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782032 (
+	.A1(n_13434),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[5] [7]),
+	.B1(n_13433),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[13] [7]),
+	.C1(n_14434),
+	.X(n_14730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782033 (
+	.A1(n_13433),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[13] [8]),
+	.B1(n_13434),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[5] [8]),
+	.C1(n_14430),
+	.X(n_14729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32a_1 g782034 (
+	.A1(n_12921),
+	.A2(n_29478),
+	.A3(soc_top_u_spi_host_spi_host_clgen_n_172),
+	.B1(io_out[4]),
+	.B2(soc_top_u_spi_host_spi_host_clgen_n_89),
+	.X(n_14728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782035 (
+	.A1(FE_DBTN110_n_13396),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[250] [1]),
+	.B1(n_13453),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[42] [1]),
+	.C1(n_14511),
+	.X(n_14727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782036 (
+	.A1(n_13437),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[150] [2]),
+	.B1(n_13422),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[118] [2]),
+	.C1(n_14507),
+	.X(n_14726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782037 (
+	.A1(n_13437),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[146] [3]),
+	.B1(n_13439),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[210] [3]),
+	.C1(n_14502),
+	.Y(n_14725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782038 (
+	.A1(FE_DBTN110_n_13396),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[246] [3]),
+	.B1(n_13436),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[22] [3]),
+	.C1(n_14501),
+	.X(n_14724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782039 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[122] [3]),
+	.B1(n_13436),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[26] [3]),
+	.C1(n_14500),
+	.X(n_14723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782040 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[126] [7]),
+	.B1(n_13451),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[174] [7]),
+	.C1(n_14487),
+	.X(n_14722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782041 (
+	.A1(n_13437),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[154] [7]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[250] [7]),
+	.C1(n_14486),
+	.X(n_14721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782042 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[123] [4]),
+	.B1(n_13437),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[155] [4]),
+	.C1(n_14536),
+	.X(n_14720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782043 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[119] [4]),
+	.B1(n_13437),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[151] [4]),
+	.C1(n_14543),
+	.X(n_14719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782044 (
+	.A1(n_13436),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[31] [4]),
+	.B1(n_13422),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[127] [4]),
+	.C1(n_14544),
+	.X(n_14718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782045 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[115] [4]),
+	.B1(n_13436),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[19] [4]),
+	.C1(n_14548),
+	.X(n_14717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782046 (
+	.A1(n_13436),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[27] [5]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[251] [5]),
+	.C1(n_14422),
+	.X(n_14716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782047 (
+	.A1(n_13451),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[175] [7]),
+	.B1(n_13422),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[127] [7]),
+	.C1(n_14468),
+	.X(n_14715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782048 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[116] [1]),
+	.B1(n_13453),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[36] [1]),
+	.C1(n_14429),
+	.X(n_14714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782049 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[58] [5]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[186] [5]),
+	.C1(n_14493),
+	.X(n_14713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782050 (
+	.A1(n_13451),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[160] [3]),
+	.B1(n_13422),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[112] [3]),
+	.C1(n_14421),
+	.X(n_14712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782051 (
+	.A1(n_13436),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[24] [4]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[248] [4]),
+	.C1(n_14417),
+	.X(n_14711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782052 (
+	.A1(FE_DBTN110_n_13396),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[248] [5]),
+	.B1(n_13453),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[40] [5]),
+	.C1(n_14411),
+	.X(n_14710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782053 (
+	.A1(n_13437),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[144] [5]),
+	.B1(n_13422),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[112] [5]),
+	.C1(n_14410),
+	.X(n_14709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782054 (
+	.A1(n_13437),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[152] [6]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[248] [6]),
+	.C1(n_14409),
+	.X(n_14708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782055 (
+	.A1(n_13436),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[20] [6]),
+	.B1(n_13422),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[116] [6]),
+	.C1(n_14408),
+	.X(n_14707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782056 (
+	.A1(FE_DBTN110_n_13396),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[252] [6]),
+	.B1(n_13437),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[156] [6]),
+	.C1(n_14407),
+	.X(n_14706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782057 (
+	.A1(n_13436),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[16] [6]),
+	.B1(n_13422),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[112] [6]),
+	.C1(n_14406),
+	.X(n_14705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782058 (
+	.A1(n_13437),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[156] [7]),
+	.B1(n_13422),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[124] [7]),
+	.C1(n_14405),
+	.X(n_14704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782059 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[120] [7]),
+	.B1(n_13436),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[24] [7]),
+	.C1(n_14404),
+	.X(n_14703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782060 (
+	.A1(FE_DBTN110_n_13396),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[244] [7]),
+	.B1(n_13436),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[20] [7]),
+	.C1(n_14403),
+	.X(n_14702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782061 (
+	.A1(n_13451),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[160] [7]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[240] [7]),
+	.C1(n_14402),
+	.X(n_14701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782062 (
+	.A1(FE_DBTN110_n_13396),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[248] [8]),
+	.B1(n_13436),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[24] [8]),
+	.C1(n_14401),
+	.X(n_14700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782063 (
+	.A1(FE_DBTN110_n_13396),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[252] [8]),
+	.B1(n_13437),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[156] [8]),
+	.C1(n_14399),
+	.X(n_14699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782064 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[116] [8]),
+	.B1(n_13437),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[148] [8]),
+	.C1(n_14398),
+	.X(n_14698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782065 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[125] [3]),
+	.B1(n_13437),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[157] [3]),
+	.C1(n_14389),
+	.X(n_14697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782066 (
+	.A1(n_13436),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[21] [3]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[245] [3]),
+	.C1(n_14388),
+	.X(n_14696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782067 (
+	.A1(n_13436),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[25] [3]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[249] [3]),
+	.C1(n_14387),
+	.X(n_14695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782068 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[113] [3]),
+	.B1(n_13437),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[145] [3]),
+	.C1(n_14386),
+	.X(n_14694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782069 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[53] [7]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[181] [7]),
+	.C1(n_14549),
+	.X(n_14693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782070 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[57] [7]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[185] [7]),
+	.C1(n_14517),
+	.X(n_14692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782071 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[49] [7]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[177] [7]),
+	.C1(n_14516),
+	.X(n_14691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782072 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[185] [8]),
+	.B1(n_13421),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[57] [8]),
+	.C1(n_14515),
+	.X(n_14690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782073 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[53] [8]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[181] [8]),
+	.C1(n_14514),
+	.X(n_14689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782074 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[189] [8]),
+	.B1(n_13421),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[61] [8]),
+	.C1(n_14513),
+	.X(n_14688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782075 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[177] [8]),
+	.B1(n_13421),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[49] [8]),
+	.C1(n_14512),
+	.X(n_14687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782076 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[178] [1]),
+	.B1(n_13421),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[50] [1]),
+	.C1(n_14510),
+	.X(n_14686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782077 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[190] [1]),
+	.B1(n_13421),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[62] [1]),
+	.C1(n_14509),
+	.X(n_14685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782078 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[182] [1]),
+	.B1(n_13421),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[54] [1]),
+	.C1(n_14508),
+	.X(n_14684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782079 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[178] [2]),
+	.B1(n_13421),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[50] [2]),
+	.C1(n_14506),
+	.X(n_14683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782080 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[190] [2]),
+	.B1(n_13421),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[62] [2]),
+	.C1(n_14505),
+	.X(n_14682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782081 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[58] [2]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[186] [2]),
+	.C1(n_14504),
+	.X(n_14681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782082 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[190] [3]),
+	.B1(n_13421),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[62] [3]),
+	.C1(n_14503),
+	.X(n_14680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782083 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[14] [4]),
+	.B1(n_13426),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[142] [4]),
+	.C1(n_14499),
+	.X(n_14679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782084 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[10] [4]),
+	.B1(n_13426),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[138] [4]),
+	.C1(n_14498),
+	.X(n_14678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782085 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[134] [4]),
+	.B1(n_13423),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[6] [4]),
+	.C1(n_14497),
+	.X(n_14677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782086 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[130] [4]),
+	.B1(n_13423),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[2] [4]),
+	.C1(n_14496),
+	.X(n_14676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782087 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[62] [5]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[190] [5]),
+	.C1(n_14495),
+	.X(n_14675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782088 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[182] [5]),
+	.B1(n_13421),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[54] [5]),
+	.C1(n_14494),
+	.X(n_14674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g782089 (
+	.A1(n_13563),
+	.A2(n_14189),
+	.B1(n_14563),
+	.Y(\soc_top_iccm_adapter_inst_mem_rdata[0] [17]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g782090 (
+	.A1(n_13535),
+	.A2(n_14189),
+	.B1(n_14569),
+	.Y(\soc_top_iccm_adapter_inst_mem_rdata[0] [0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g782091 (
+	.A1(n_13542),
+	.A2(n_14189),
+	.B1(n_14567),
+	.Y(\soc_top_iccm_adapter_inst_mem_rdata[0] [1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g782092 (
+	.A1(n_13550),
+	.A2(n_14189),
+	.B1(n_14565),
+	.Y(\soc_top_iccm_adapter_inst_mem_rdata[0] [16]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782097 (
+	.A1(n_13442),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[2] [7]),
+	.B1(n_13441),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[10] [7]),
+	.C1(n_14436),
+	.X(n_14671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782098 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[58] [8]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[186] [8]),
+	.C1(n_14482),
+	.X(n_14670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782099 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[54] [8]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[182] [8]),
+	.C1(n_14481),
+	.X(n_14669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782100 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[178] [8]),
+	.B1(n_13421),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[50] [8]),
+	.C1(n_14521),
+	.X(n_14668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782101 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[63] [1]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[191] [1]),
+	.C1(n_14522),
+	.X(n_14667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782102 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[59] [1]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[187] [1]),
+	.C1(n_14523),
+	.X(n_14666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782103 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[55] [1]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[183] [1]),
+	.C1(n_14524),
+	.X(n_14665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782104 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[51] [1]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[179] [1]),
+	.C1(n_14525),
+	.X(n_14664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782105 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[191] [2]),
+	.B1(n_13421),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[63] [2]),
+	.C1(n_14528),
+	.X(n_14663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782106 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[183] [2]),
+	.B1(n_13421),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[55] [2]),
+	.C1(n_14529),
+	.X(n_14662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782107 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[187] [2]),
+	.B1(n_13421),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[59] [2]),
+	.C1(n_14530),
+	.X(n_14661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782108 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[179] [2]),
+	.B1(n_13421),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[51] [2]),
+	.C1(n_14531),
+	.X(n_14660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782109 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[191] [3]),
+	.B1(n_13421),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[63] [3]),
+	.C1(n_14532),
+	.X(n_14659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782110 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[187] [3]),
+	.B1(n_13421),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[59] [3]),
+	.C1(n_14533),
+	.X(n_14658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782111 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[183] [3]),
+	.B1(n_13421),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[55] [3]),
+	.C1(n_14534),
+	.X(n_14657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782112 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[51] [3]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[179] [3]),
+	.C1(n_14535),
+	.X(n_14656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782113 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[15] [5]),
+	.B1(n_13426),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[143] [5]),
+	.C1(n_14475),
+	.X(n_14655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782114 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[7] [5]),
+	.B1(n_13426),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[135] [5]),
+	.C1(n_14474),
+	.X(n_14654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782115 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[51] [5]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[179] [5]),
+	.C1(n_14473),
+	.X(n_14653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782116 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[63] [6]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[191] [6]),
+	.C1(n_14472),
+	.X(n_14652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782117 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[55] [6]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[183] [6]),
+	.C1(n_14471),
+	.X(n_14651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782118 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[59] [6]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[187] [6]),
+	.C1(n_14470),
+	.X(n_14650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782119 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[179] [6]),
+	.B1(n_13421),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[51] [6]),
+	.C1(n_14469),
+	.X(n_14649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782120 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[187] [7]),
+	.B1(n_13421),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[59] [7]),
+	.C1(n_14467),
+	.X(n_14648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782121 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[55] [7]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[183] [7]),
+	.C1(n_14466),
+	.X(n_14647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782122 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[179] [7]),
+	.B1(n_13421),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[51] [7]),
+	.C1(n_14465),
+	.X(n_14646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782123 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[59] [8]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[187] [8]),
+	.C1(n_14464),
+	.X(n_14645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782124 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[55] [8]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[183] [8]),
+	.C1(n_14463),
+	.X(n_14644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782125 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[191] [8]),
+	.B1(n_13421),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[63] [8]),
+	.C1(n_14462),
+	.X(n_14643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782126 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[179] [8]),
+	.B1(n_13421),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[51] [8]),
+	.C1(n_14461),
+	.X(n_14642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782127 (
+	.A1(n_13441),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[40] [1]),
+	.B1(n_13442),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[32] [1]),
+	.C1(n_14460),
+	.X(n_14641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782128 (
+	.A1(n_13442),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[2] [1]),
+	.B1(n_13441),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[10] [1]),
+	.C1(n_14459),
+	.X(n_14640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782129 (
+	.A1(n_13441),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[42] [1]),
+	.B1(n_13442),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[34] [1]),
+	.C1(n_14458),
+	.X(n_14639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782130 (
+	.A1(n_13442),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[34] [2]),
+	.B1(n_13441),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[42] [2]),
+	.C1(n_14456),
+	.X(n_14638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782131 (
+	.A1(n_13442),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[2] [2]),
+	.B1(n_13441),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[10] [2]),
+	.C1(n_14455),
+	.X(n_14637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782132 (
+	.A1(n_13442),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[32] [2]),
+	.B1(n_13441),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[40] [2]),
+	.C1(n_14454),
+	.X(n_14636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782133 (
+	.A1(n_13442),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[34] [3]),
+	.B1(n_13441),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[42] [3]),
+	.C1(n_14452),
+	.X(n_14635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782134 (
+	.A1(n_13442),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[32] [3]),
+	.B1(n_13441),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[40] [3]),
+	.C1(n_14451),
+	.X(n_14634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782135 (
+	.A1(n_13441),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[10] [3]),
+	.B1(n_13442),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[2] [3]),
+	.C1(n_14450),
+	.X(n_14633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782136 (
+	.A1(n_13442),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[34] [4]),
+	.B1(n_13441),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[42] [4]),
+	.C1(n_14448),
+	.X(n_14632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782137 (
+	.A1(n_13442),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[32] [4]),
+	.B1(n_13441),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[40] [4]),
+	.C1(n_14447),
+	.X(n_14631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782138 (
+	.A1(n_13441),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[42] [5]),
+	.B1(n_13442),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[34] [5]),
+	.C1(n_14445),
+	.X(n_14630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782139 (
+	.A1(n_13441),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[40] [5]),
+	.B1(n_13442),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[32] [5]),
+	.C1(n_14444),
+	.X(n_14629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782140 (
+	.A1(n_13441),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[10] [5]),
+	.B1(n_13442),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[2] [5]),
+	.C1(n_14443),
+	.X(n_14628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782141 (
+	.A1(n_13442),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[34] [6]),
+	.B1(n_13441),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[42] [6]),
+	.C1(n_14441),
+	.X(n_14627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782142 (
+	.A1(n_13442),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[32] [6]),
+	.B1(n_13441),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[40] [6]),
+	.C1(n_14440),
+	.X(n_14626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782143 (
+	.A1(n_13441),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[10] [6]),
+	.B1(n_13442),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[2] [6]),
+	.C1(n_14439),
+	.X(n_14625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782144 (
+	.A1(n_13442),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[32] [7]),
+	.B1(n_13441),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[40] [7]),
+	.C1(n_14437),
+	.X(n_14624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782145 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[62] [8]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[190] [8]),
+	.C1(n_14483),
+	.X(n_14623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782146 (
+	.A1(n_13442),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[34] [7]),
+	.B1(n_13441),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[42] [7]),
+	.C1(n_14435),
+	.X(n_14622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782147 (
+	.A1(n_13442),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[34] [8]),
+	.B1(n_13441),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[42] [8]),
+	.C1(n_14433),
+	.X(n_14621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782148 (
+	.A1(n_13442),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[2] [8]),
+	.B1(n_13441),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[10] [8]),
+	.C1(n_14432),
+	.X(n_14620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782149 (
+	.A1(n_13442),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[32] [8]),
+	.B1(n_13441),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[40] [8]),
+	.C1(n_14431),
+	.X(n_14619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782150 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[188] [1]),
+	.B1(n_13421),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[60] [1]),
+	.C1(n_14427),
+	.X(n_14618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782151 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[184] [1]),
+	.B1(n_13421),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[56] [1]),
+	.C1(n_14426),
+	.X(n_14617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782152 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[184] [2]),
+	.B1(n_13421),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[56] [2]),
+	.C1(n_14425),
+	.X(n_14616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782153 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[52] [2]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[180] [2]),
+	.C1(n_14424),
+	.X(n_14615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782154 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[176] [2]),
+	.B1(n_13421),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[48] [2]),
+	.C1(n_14423),
+	.X(n_14614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782155 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[52] [3]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[180] [3]),
+	.C1(n_14420),
+	.X(n_14613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782156 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[60] [3]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[188] [3]),
+	.C1(n_14419),
+	.X(n_14612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782157 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[56] [3]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[184] [3]),
+	.C1(n_14418),
+	.X(n_14611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782158 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[176] [4]),
+	.B1(n_13421),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[48] [4]),
+	.C1(n_14416),
+	.X(n_14610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782159 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[188] [4]),
+	.B1(n_13421),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[60] [4]),
+	.C1(n_14415),
+	.X(n_14609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782160 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[52] [4]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[180] [4]),
+	.C1(n_14414),
+	.X(n_14608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782161 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[188] [5]),
+	.B1(n_13421),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[60] [5]),
+	.C1(n_14413),
+	.X(n_14607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782162 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[52] [5]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[244] [5]),
+	.C1(n_14412),
+	.Y(n_14606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782163 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[128] [8]),
+	.B1(n_13425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[192] [8]),
+	.C1(n_14400),
+	.Y(n_14605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782164 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[189] [1]),
+	.B1(n_13421),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[61] [1]),
+	.C1(n_14397),
+	.X(n_14604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782165 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[185] [1]),
+	.B1(n_13421),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[57] [1]),
+	.C1(n_14396),
+	.X(n_14603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782166 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[181] [1]),
+	.B1(n_13421),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[53] [1]),
+	.C1(n_14395),
+	.X(n_14602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782167 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[177] [1]),
+	.B1(n_13421),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[49] [1]),
+	.C1(n_14394),
+	.X(n_14601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782168 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[181] [2]),
+	.B1(n_13421),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[53] [2]),
+	.C1(n_14393),
+	.X(n_14600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782169 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[177] [2]),
+	.B1(n_13421),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[49] [2]),
+	.C1(n_14392),
+	.X(n_14599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782170 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[57] [2]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[185] [2]),
+	.C1(n_14391),
+	.X(n_14598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782171 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[133] [4]),
+	.B1(n_13423),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[5] [4]),
+	.C1(n_14385),
+	.X(n_14597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782172 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[129] [4]),
+	.B1(n_13423),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[1] [4]),
+	.C1(n_14384),
+	.X(n_14596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782173 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[141] [4]),
+	.B1(n_13423),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[13] [4]),
+	.C1(n_14383),
+	.X(n_14595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782174 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[61] [5]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[189] [5]),
+	.C1(n_14381),
+	.X(n_14594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782175 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[53] [5]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[181] [5]),
+	.C1(n_14380),
+	.X(n_14593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782176 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[185] [5]),
+	.B1(n_13421),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[57] [5]),
+	.C1(n_14379),
+	.X(n_14592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782177 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[177] [5]),
+	.B1(n_13421),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[49] [5]),
+	.C1(n_14378),
+	.X(n_14591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782178 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[189] [6]),
+	.B1(n_13421),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[61] [6]),
+	.C1(n_14377),
+	.X(n_14590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782179 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[57] [6]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[185] [6]),
+	.C1(n_14376),
+	.X(n_14589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782180 (
+	.A1(n_13420),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[181] [6]),
+	.B1(n_13421),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[53] [6]),
+	.C1(n_14375),
+	.X(n_14588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782181 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[49] [6]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[177] [6]),
+	.C1(n_14374),
+	.X(n_14587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782182 (
+	.A1(n_13421),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[61] [7]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[189] [7]),
+	.C1(n_14373),
+	.X(n_14586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782184 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[140] [2]),
+	.B1(n_13438),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[92] [2]),
+	.C1(n_14476),
+	.X(n_14584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782185 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[141] [2]),
+	.B1(n_13439),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[221] [2]),
+	.C1(n_14390),
+	.X(n_14583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782186 (
+	.A1(n_13426),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[137] [4]),
+	.B1(n_13450),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[233] [4]),
+	.C1(n_14382),
+	.X(n_14582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g782187 (
+	.A1(n_34663),
+	.A2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [18]),
+	.B1(n_34662),
+	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [18]),
+	.X(n_14581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g782188 (
+	.A1(n_34663),
+	.A2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [17]),
+	.B1(n_34662),
+	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [17]),
+	.X(n_14580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g782189 (
+	.A1(n_34663),
+	.A2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [2]),
+	.B1(n_34662),
+	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [2]),
+	.X(n_14579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g782190 (
+	.A1(n_34663),
+	.A2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [1]),
+	.B1(n_34662),
+	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [1]),
+	.X(n_14578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 g782213 (
+	.A(n_14558),
+	.Y(n_14573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g782216 (
+	.A1(n_14359),
+	.A2(soc_top_u_iccm_rdata1[0]),
+	.B1(n_14360),
+	.B2(soc_top_u_iccm_rdata2[0]),
+	.Y(n_14569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g782217 (
+	.A(n_84896),
+	.B(n_69843),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g782219 (
+	.A1(n_14359),
+	.A2(soc_top_u_iccm_rdata1[1]),
+	.B1(n_14360),
+	.B2(soc_top_u_iccm_rdata2[1]),
+	.Y(n_14567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g782220 (
+	.A(n_62064),
+	.B(n_13114),
+	.Y(n_14566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g782221 (
+	.A1(n_14359),
+	.A2(soc_top_u_iccm_rdata1[16]),
+	.B1(n_14360),
+	.B2(soc_top_u_iccm_rdata2[16]),
+	.Y(n_14565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g782222 (
+	.A1(n_12864),
+	.A2(n_29697),
+	.B1(n_13210),
+	.Y(n_14564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g782223 (
+	.A1(n_14359),
+	.A2(soc_top_u_iccm_rdata1[17]),
+	.B1(n_14360),
+	.B2(soc_top_u_iccm_rdata2[17]),
+	.Y(n_14563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g782224 (
+	.A1_N(n_14263),
+	.A2_N(n_14328),
+	.B1(n_13381),
+	.B2(n_69847),
+	.Y(n_14562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782225 (
+	.A1(n_13442),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[2] [4]),
+	.B1(n_13441),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[10] [4]),
+	.C1(n_14370),
+	.Y(n_14561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g782226 (
+	.A(n_71109),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_rdata_outstanding_q[1]),
+	.C(soc_top_u_top_u_core_ctrl_busy),
+	.D(n_14367),
+	.X(n_14560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_2 g782228 (
+	.A1(n_29867),
+	.A2(FE_DBTN123_soc_top_u_top_u_core_pc_set),
+	.B1(soc_top_u_top_u_core_pc_set),
+	.B2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[2]),
+	.Y(n_29426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g782231 (
+	.A(n_14556),
+	.B(n_59619),
+	.Y(n_14558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g782232 (
+	.A(n_29914),
+	.B(n_14100),
+	.Y(n_14572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g782235 (
+	.A(n_14480),
+	.Y(n_14556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g782236 (
+	.A(n_41672),
+	.Y(n_14555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782240 (
+	.A1(n_13165),
+	.A2(n_14262),
+	.B1(n_13163),
+	.B2(n_14048),
+	.Y(n_14549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782261 (
+	.A(n_29544),
+	.B_N(n_29465),
+	.Y(n_30239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782262 (
+	.A1(n_13167),
+	.A2(n_13992),
+	.B1(n_13163),
+	.B2(n_14208),
+	.Y(n_14548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782263 (
+	.A(soc_top_u_top_u_core_out_valid_fpu2c),
+	.B_N(n_14367),
+	.Y(soc_top_u_top_u_core_fpu_busy_idu), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782267 (
+	.A1(n_13167),
+	.A2(n_13993),
+	.B1(n_13163),
+	.B2(n_14209),
+	.Y(n_14544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782268 (
+	.A1(n_13167),
+	.A2(n_14210),
+	.B1(n_13163),
+	.B2(n_13994),
+	.Y(n_14543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782275 (
+	.A1(n_13167),
+	.A2(n_13995),
+	.B1(n_13163),
+	.B2(n_14211),
+	.Y(n_14536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782276 (
+	.A1(n_13165),
+	.A2(n_14212),
+	.B1(n_13163),
+	.B2(n_13996),
+	.Y(n_14535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782277 (
+	.A1(n_13163),
+	.A2(n_14213),
+	.B1(n_13165),
+	.B2(n_13997),
+	.Y(n_14534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782278 (
+	.A1(n_13165),
+	.A2(n_14214),
+	.B1(n_13163),
+	.B2(n_13998),
+	.Y(n_14533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782279 (
+	.A1(n_13165),
+	.A2(n_14215),
+	.B1(n_13163),
+	.B2(n_13999),
+	.Y(n_14532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782280 (
+	.A1(n_13163),
+	.A2(n_14216),
+	.B1(n_13165),
+	.B2(n_14070),
+	.Y(n_14531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782281 (
+	.A1(n_13165),
+	.A2(n_14217),
+	.B1(n_13163),
+	.B2(n_14001),
+	.Y(n_14530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782282 (
+	.A1(n_13163),
+	.A2(n_14218),
+	.B1(n_13165),
+	.B2(n_14002),
+	.Y(n_14529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782283 (
+	.A1(n_13163),
+	.A2(n_14219),
+	.B1(n_13165),
+	.B2(n_14003),
+	.Y(n_14528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782286 (
+	.A1(n_13165),
+	.A2(n_14220),
+	.B1(n_13163),
+	.B2(n_14004),
+	.Y(n_14525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782287 (
+	.A1(n_13163),
+	.A2(n_14221),
+	.B1(n_13165),
+	.B2(n_14005),
+	.Y(n_14524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782288 (
+	.A1(n_13165),
+	.A2(n_14222),
+	.B1(n_13163),
+	.B2(n_14006),
+	.Y(n_14523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782289 (
+	.A1(n_13163),
+	.A2(n_14223),
+	.B1(n_13165),
+	.B2(n_14007),
+	.Y(n_14522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782290 (
+	.A1(n_13165),
+	.A2(n_14224),
+	.B1(n_13163),
+	.B2(n_14008),
+	.Y(n_14521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g782292 (
+	.A1(n_85099),
+	.A2(n_14324),
+	.B1(n_71014),
+	.X(n_14519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g782293 (
+	.A_N(soc_top_u_top_u_core_lsu_wdata[19]),
+	.B(n_69394),
+	.C(soc_top_u_top_u_core_lsu_wdata[18]),
+	.X(n_14518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782295 (
+	.A1(n_13165),
+	.A2(n_14261),
+	.B1(n_13163),
+	.B2(n_14047),
+	.Y(n_14517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782296 (
+	.A1(n_13163),
+	.A2(n_14260),
+	.B1(n_13165),
+	.B2(n_14045),
+	.Y(n_14516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782297 (
+	.A1(n_13165),
+	.A2(n_14259),
+	.B1(n_13163),
+	.B2(n_14044),
+	.Y(n_14515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782298 (
+	.A1(n_13165),
+	.A2(n_14258),
+	.B1(n_13163),
+	.B2(n_14043),
+	.Y(n_14514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782299 (
+	.A1(n_13163),
+	.A2(n_14257),
+	.B1(n_13165),
+	.B2(n_14042),
+	.Y(n_14513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782300 (
+	.A1(n_13165),
+	.A2(n_14256),
+	.B1(n_13163),
+	.B2(n_14041),
+	.Y(n_14512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782301 (
+	.A1(n_13167),
+	.A2(n_14040),
+	.B1(n_13165),
+	.B2(n_14255),
+	.Y(n_14511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782302 (
+	.A1(n_13163),
+	.A2(n_14254),
+	.B1(n_13165),
+	.B2(n_14039),
+	.Y(n_14510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782303 (
+	.A1(n_13163),
+	.A2(n_14253),
+	.B1(n_13165),
+	.B2(n_14038),
+	.Y(n_14509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782304 (
+	.A1(n_13165),
+	.A2(n_14252),
+	.B1(n_13163),
+	.B2(n_14037),
+	.Y(n_14508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782305 (
+	.A1(n_13167),
+	.A2(n_14036),
+	.B1(n_13163),
+	.B2(n_14251),
+	.Y(n_14507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782306 (
+	.A1(n_13163),
+	.A2(n_14250),
+	.B1(n_13165),
+	.B2(n_14035),
+	.Y(n_14506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782307 (
+	.A1(n_13165),
+	.A2(n_14249),
+	.B1(n_13163),
+	.B2(n_14034),
+	.Y(n_14505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782308 (
+	.A1(n_13163),
+	.A2(n_14248),
+	.B1(n_13165),
+	.B2(n_14032),
+	.Y(n_14504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782309 (
+	.A1(n_13165),
+	.A2(n_14247),
+	.B1(n_13163),
+	.B2(n_14031),
+	.Y(n_14503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782310 (
+	.A1(n_13167),
+	.A2(n_14246),
+	.B1(n_13163),
+	.B2(n_14030),
+	.Y(n_14502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782311 (
+	.A1(n_13167),
+	.A2(n_14245),
+	.B1(n_13163),
+	.B2(n_14029),
+	.Y(n_14501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782312 (
+	.A1(n_13167),
+	.A2(n_14028),
+	.B1(n_13163),
+	.B2(n_14244),
+	.Y(n_14500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782313 (
+	.A1(n_13163),
+	.A2(n_14243),
+	.B1(n_13165),
+	.B2(n_14027),
+	.Y(n_14499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782314 (
+	.A1(n_13165),
+	.A2(n_14242),
+	.B1(n_13163),
+	.B2(n_14026),
+	.Y(n_14498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782315 (
+	.A1(n_13165),
+	.A2(n_14241),
+	.B1(n_13163),
+	.B2(n_14025),
+	.Y(n_14497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782316 (
+	.A1(n_13163),
+	.A2(n_14240),
+	.B1(n_13165),
+	.B2(n_14024),
+	.Y(n_14496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782317 (
+	.A1(n_13163),
+	.A2(n_14239),
+	.B1(n_13165),
+	.B2(n_14023),
+	.Y(n_14495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782318 (
+	.A1(n_13165),
+	.A2(n_14238),
+	.B1(n_13163),
+	.B2(n_14022),
+	.Y(n_14494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782319 (
+	.A1(n_13165),
+	.A2(n_14237),
+	.B1(n_13163),
+	.B2(n_14021),
+	.Y(n_14493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782320 (
+	.A1(n_13163),
+	.A2(n_14236),
+	.B1(n_13165),
+	.B2(n_14020),
+	.Y(n_14492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782321 (
+	.A1(n_13165),
+	.A2(n_14235),
+	.B1(n_13163),
+	.B2(n_14019),
+	.Y(n_14491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782322 (
+	.A1(n_13163),
+	.A2(n_14234),
+	.B1(n_13165),
+	.B2(n_14018),
+	.Y(n_14490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782323 (
+	.A1(n_13163),
+	.A2(n_14233),
+	.B1(n_13165),
+	.B2(n_14017),
+	.Y(n_14489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782324 (
+	.A1(n_13163),
+	.A2(n_14232),
+	.B1(n_13165),
+	.B2(n_14016),
+	.Y(n_14488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782325 (
+	.A1(n_13167),
+	.A2(n_14231),
+	.B1(n_13165),
+	.B2(n_14015),
+	.Y(n_14487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782326 (
+	.A1(n_13167),
+	.A2(n_14230),
+	.B1(n_13163),
+	.B2(n_14014),
+	.Y(n_14486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782327 (
+	.A1(n_13165),
+	.A2(n_14229),
+	.B1(n_13163),
+	.B2(n_14013),
+	.Y(n_14485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782328 (
+	.A1(n_13165),
+	.A2(n_14228),
+	.B1(n_13163),
+	.B2(n_14012),
+	.Y(n_14484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782329 (
+	.A1(n_13163),
+	.A2(n_14227),
+	.B1(n_13165),
+	.B2(n_14011),
+	.Y(n_14483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782330 (
+	.A1(n_13163),
+	.A2(n_14226),
+	.B1(n_13165),
+	.B2(n_14010),
+	.Y(n_14482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782331 (
+	.A1(n_13163),
+	.A2(n_14225),
+	.B1(n_13165),
+	.B2(n_14009),
+	.Y(n_14481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_1 g782332 (
+	.A(n_34518),
+	.B(n_13870),
+	.C_N(n_29917),
+	.X(n_29914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g782333 (
+	.A(soc_top_u_spi_host_spi_host_divider[13]),
+	.B(soc_top_u_spi_host_spi_host_divider[10]),
+	.C(n_13313),
+	.D(n_13961),
+	.X(soc_top_u_spi_host_spi_host_clgen_n_89), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g782335 (
+	.A(n_70043),
+	.B(n_68925),
+	.Y(n_14480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782337 (
+	.A(soc_top_u_top_u_core_pc_set),
+	.B(n_36118),
+	.Y(n_29412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782338 (
+	.A(soc_top_u_top_u_core_pc_set),
+	.B(n_36116),
+	.Y(n_29404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782339 (
+	.A(soc_top_u_top_u_core_pc_set),
+	.B(n_29875),
+	.Y(n_29408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782340 (
+	.A(soc_top_u_top_u_core_pc_set),
+	.B(n_36117),
+	.Y(n_29406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782341 (
+	.A(soc_top_u_top_u_core_pc_set),
+	.B(n_36115),
+	.Y(n_29407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782342 (
+	.A(soc_top_u_top_u_core_pc_set),
+	.B(n_76148),
+	.Y(n_29405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782343 (
+	.A(soc_top_u_top_u_core_pc_set),
+	.B(n_36119),
+	.Y(n_29410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782344 (
+	.A(soc_top_u_top_u_core_pc_set),
+	.B(n_76147),
+	.Y(n_29409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g782348 (
+	.A1(n_14182),
+	.A2(soc_top_u_uart_u_uart_core_tx_fifo_init),
+	.B1_N(n_29433),
+	.X(soc_top_u_uart_u_uart_core_write_fifo_n_1309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782350 (
+	.A1(n_13163),
+	.A2(n_14151),
+	.B1(n_29437),
+	.B2(n_14051),
+	.Y(n_14476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782351 (
+	.A1(n_13165),
+	.A2(n_14206),
+	.B1(n_13163),
+	.B2(n_13990),
+	.Y(n_14475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782352 (
+	.A1(n_13165),
+	.A2(n_14205),
+	.B1(n_13163),
+	.B2(n_13989),
+	.Y(n_14474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782353 (
+	.A1(n_13163),
+	.A2(n_14204),
+	.B1(n_13165),
+	.B2(n_13988),
+	.Y(n_14473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782354 (
+	.A1(n_13163),
+	.A2(n_14203),
+	.B1(n_13165),
+	.B2(n_13987),
+	.Y(n_14472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782355 (
+	.A1(n_13165),
+	.A2(n_14202),
+	.B1(n_13163),
+	.B2(n_13986),
+	.Y(n_14471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782356 (
+	.A1(n_13163),
+	.A2(n_14201),
+	.B1(n_13165),
+	.B2(n_13985),
+	.Y(n_14470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782357 (
+	.A1(n_13165),
+	.A2(n_14264),
+	.B1(n_13163),
+	.B2(n_13983),
+	.Y(n_14469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782358 (
+	.A1(n_13167),
+	.A2(n_13982),
+	.B1(n_13165),
+	.B2(n_14265),
+	.Y(n_14468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782359 (
+	.A1(n_13165),
+	.A2(n_14267),
+	.B1(n_13163),
+	.B2(n_13981),
+	.Y(n_14467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782360 (
+	.A1(n_13165),
+	.A2(n_14268),
+	.B1(n_13163),
+	.B2(n_13980),
+	.Y(n_14466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782361 (
+	.A1(n_13163),
+	.A2(n_14269),
+	.B1(n_13165),
+	.B2(n_13979),
+	.Y(n_14465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782362 (
+	.A1(n_13163),
+	.A2(n_14302),
+	.B1(n_13165),
+	.B2(n_13978),
+	.Y(n_14464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782363 (
+	.A1(n_13165),
+	.A2(n_14305),
+	.B1(n_13163),
+	.B2(n_13977),
+	.Y(n_14463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782364 (
+	.A1(n_13163),
+	.A2(n_14306),
+	.B1(n_13165),
+	.B2(n_13976),
+	.Y(n_14462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782365 (
+	.A1(n_13165),
+	.A2(n_14307),
+	.B1(n_13163),
+	.B2(n_13975),
+	.Y(n_14461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782366 (
+	.A1(n_29458),
+	.A2(n_14308),
+	.B1(n_13169),
+	.B2(n_13974),
+	.Y(n_14460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782367 (
+	.A1(n_13169),
+	.A2(n_14309),
+	.B1(n_29458),
+	.B2(n_13973),
+	.Y(n_14459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782368 (
+	.A1(n_13169),
+	.A2(n_14310),
+	.B1(n_29458),
+	.B2(n_13972),
+	.Y(n_14458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782369 (
+	.A1(n_29459),
+	.A2(n_14098),
+	.B1(n_13169),
+	.B2(n_14126),
+	.Y(n_14457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782370 (
+	.A1(n_13169),
+	.A2(n_14187),
+	.B1(n_29458),
+	.B2(n_13971),
+	.Y(n_14456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782371 (
+	.A1(n_29458),
+	.A2(n_14186),
+	.B1(n_13169),
+	.B2(n_13970),
+	.Y(n_14455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782372 (
+	.A1(n_29458),
+	.A2(n_14185),
+	.B1(n_13169),
+	.B2(n_13969),
+	.Y(n_14454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782373 (
+	.A1(n_29459),
+	.A2(n_14097),
+	.B1(n_13169),
+	.B2(n_14184),
+	.Y(n_14453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782374 (
+	.A1(n_29458),
+	.A2(n_14183),
+	.B1(n_13169),
+	.B2(n_13898),
+	.Y(n_14452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782375 (
+	.A1(n_29458),
+	.A2(n_14181),
+	.B1(n_13169),
+	.B2(n_13968),
+	.Y(n_14451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782376 (
+	.A1(n_13169),
+	.A2(n_14180),
+	.B1(n_29458),
+	.B2(n_13967),
+	.Y(n_14450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782377 (
+	.A1(n_29459),
+	.A2(n_14095),
+	.B1(n_13169),
+	.B2(n_14179),
+	.Y(n_14449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782378 (
+	.A1(n_29458),
+	.A2(n_14177),
+	.B1(n_13169),
+	.B2(n_13964),
+	.Y(n_14448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782379 (
+	.A1(n_13169),
+	.A2(n_14176),
+	.B1(n_29458),
+	.B2(n_13963),
+	.Y(n_14447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782380 (
+	.A1(n_29459),
+	.A2(n_14093),
+	.B1(n_13169),
+	.B2(n_14175),
+	.Y(n_14446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782381 (
+	.A1(n_13169),
+	.A2(n_14174),
+	.B1(n_29458),
+	.B2(n_13962),
+	.Y(n_14445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782382 (
+	.A1(n_13169),
+	.A2(n_14173),
+	.B1(n_29458),
+	.B2(n_13960),
+	.Y(n_14444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782383 (
+	.A1(n_29458),
+	.A2(n_14172),
+	.B1(n_13169),
+	.B2(n_13959),
+	.Y(n_14443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782384 (
+	.A1(n_29459),
+	.A2(n_14092),
+	.B1(n_13169),
+	.B2(n_14171),
+	.Y(n_14442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782385 (
+	.A1(n_29458),
+	.A2(n_14170),
+	.B1(n_13169),
+	.B2(n_13958),
+	.Y(n_14441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782386 (
+	.A1(n_29458),
+	.A2(n_14169),
+	.B1(n_13169),
+	.B2(n_13957),
+	.Y(n_14440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782387 (
+	.A1(n_13169),
+	.A2(n_14168),
+	.B1(n_29458),
+	.B2(n_13956),
+	.Y(n_14439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782388 (
+	.A1(n_29459),
+	.A2(n_14091),
+	.B1(n_13169),
+	.B2(n_14167),
+	.Y(n_14438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782389 (
+	.A1(n_29458),
+	.A2(n_14166),
+	.B1(n_13169),
+	.B2(n_13955),
+	.Y(n_14437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782390 (
+	.A1(n_29458),
+	.A2(n_14165),
+	.B1(n_13169),
+	.B2(n_13954),
+	.Y(n_14436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782391 (
+	.A1(n_13169),
+	.A2(n_14164),
+	.B1(n_29458),
+	.B2(n_13953),
+	.Y(n_14435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782392 (
+	.A1(n_29459),
+	.A2(n_14090),
+	.B1(n_13169),
+	.B2(n_14163),
+	.Y(n_14434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782393 (
+	.A1(n_13169),
+	.A2(n_14162),
+	.B1(n_29458),
+	.B2(n_13984),
+	.Y(n_14433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782394 (
+	.A1(n_29458),
+	.A2(n_14161),
+	.B1(n_13169),
+	.B2(n_13952),
+	.Y(n_14432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782395 (
+	.A1(n_29458),
+	.A2(n_14160),
+	.B1(n_13169),
+	.B2(n_13951),
+	.Y(n_14431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782396 (
+	.A1(n_29459),
+	.A2(n_14088),
+	.B1(n_13169),
+	.B2(n_14159),
+	.Y(n_14430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782397 (
+	.A1(n_13167),
+	.A2(n_14158),
+	.B1(n_13165),
+	.B2(n_13948),
+	.Y(n_14429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782398 (
+	.A1(n_13167),
+	.A2(n_13947),
+	.B1(n_13165),
+	.B2(n_14157),
+	.Y(n_14428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782399 (
+	.A1(n_13163),
+	.A2(n_14156),
+	.B1(n_13165),
+	.B2(n_13945),
+	.Y(n_14427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782400 (
+	.A1(n_13165),
+	.A2(n_14155),
+	.B1(n_13163),
+	.B2(n_13944),
+	.Y(n_14426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782401 (
+	.A1(n_13165),
+	.A2(n_14154),
+	.B1(n_13163),
+	.B2(n_14000),
+	.Y(n_14425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782402 (
+	.A1(n_13163),
+	.A2(n_14153),
+	.B1(n_13165),
+	.B2(n_14046),
+	.Y(n_14424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782403 (
+	.A1(n_13163),
+	.A2(n_14152),
+	.B1(n_13165),
+	.B2(n_14050),
+	.Y(n_14423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782404 (
+	.A1(n_13167),
+	.A2(n_13991),
+	.B1(n_13163),
+	.B2(n_14207),
+	.Y(n_14422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782405 (
+	.A1(n_13167),
+	.A2(n_14053),
+	.B1(n_13165),
+	.B2(n_14150),
+	.Y(n_14421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782406 (
+	.A1(n_13165),
+	.A2(n_14149),
+	.B1(n_13163),
+	.B2(n_14057),
+	.Y(n_14420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782407 (
+	.A1(n_13165),
+	.A2(n_14148),
+	.B1(n_13163),
+	.B2(n_14060),
+	.Y(n_14419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782408 (
+	.A1(n_13163),
+	.A2(n_14147),
+	.B1(n_13165),
+	.B2(n_14061),
+	.Y(n_14418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782409 (
+	.A1(n_13167),
+	.A2(n_14146),
+	.B1(n_13163),
+	.B2(n_14062),
+	.Y(n_14417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782410 (
+	.A1(n_13165),
+	.A2(n_14145),
+	.B1(n_13163),
+	.B2(n_14063),
+	.Y(n_14416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782411 (
+	.A1(n_13165),
+	.A2(n_14144),
+	.B1(n_13163),
+	.B2(n_14064),
+	.Y(n_14415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782412 (
+	.A1(n_13165),
+	.A2(n_14143),
+	.B1(n_13163),
+	.B2(n_14065),
+	.Y(n_14414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782413 (
+	.A1(n_13163),
+	.A2(n_14142),
+	.B1(n_13165),
+	.B2(n_14066),
+	.Y(n_14413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782414 (
+	.A1(n_13163),
+	.A2(n_14141),
+	.B1(n_13165),
+	.B2(n_14067),
+	.Y(n_14412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782415 (
+	.A1(n_13167),
+	.A2(n_14140),
+	.B1(n_13165),
+	.B2(n_14068),
+	.Y(n_14411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782416 (
+	.A1(n_13167),
+	.A2(n_14139),
+	.B1(n_13163),
+	.B2(n_14069),
+	.Y(n_14410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782417 (
+	.A1(n_13167),
+	.A2(n_14138),
+	.B1(n_13163),
+	.B2(n_13865),
+	.Y(n_14409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782418 (
+	.A1(n_13167),
+	.A2(n_14137),
+	.B1(n_13163),
+	.B2(n_13935),
+	.Y(n_14408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782419 (
+	.A1(n_13167),
+	.A2(n_13934),
+	.B1(n_13163),
+	.B2(n_14136),
+	.Y(n_14407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782420 (
+	.A1(n_13167),
+	.A2(n_14135),
+	.B1(n_13163),
+	.B2(n_13933),
+	.Y(n_14406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782421 (
+	.A1(n_13167),
+	.A2(n_13932),
+	.B1(n_13163),
+	.B2(n_14134),
+	.Y(n_14405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782422 (
+	.A1(n_13167),
+	.A2(n_14133),
+	.B1(n_13163),
+	.B2(n_13931),
+	.Y(n_14404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782423 (
+	.A1(n_13167),
+	.A2(n_13930),
+	.B1(n_13163),
+	.B2(n_14132),
+	.Y(n_14403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782424 (
+	.A1(n_13167),
+	.A2(n_13929),
+	.B1(n_13165),
+	.B2(n_14131),
+	.Y(n_14402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782425 (
+	.A1(n_13167),
+	.A2(n_14130),
+	.B1(n_13163),
+	.B2(n_13928),
+	.Y(n_14401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782426 (
+	.A1(n_13165),
+	.A2(n_14129),
+	.B1(n_13163),
+	.B2(n_13927),
+	.Y(n_14400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782427 (
+	.A1(n_13167),
+	.A2(n_13925),
+	.B1(n_13163),
+	.B2(n_14128),
+	.Y(n_14399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782428 (
+	.A1(n_13167),
+	.A2(n_14127),
+	.B1(n_13163),
+	.B2(n_13923),
+	.Y(n_14398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782429 (
+	.A1(n_13165),
+	.A2(n_14188),
+	.B1(n_13163),
+	.B2(n_13922),
+	.Y(n_14397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782430 (
+	.A1(n_13165),
+	.A2(n_14125),
+	.B1(n_13163),
+	.B2(n_13921),
+	.Y(n_14396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782431 (
+	.A1(n_13165),
+	.A2(n_14124),
+	.B1(n_13163),
+	.B2(n_13920),
+	.Y(n_14395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782432 (
+	.A1(n_13163),
+	.A2(n_14123),
+	.B1(n_13165),
+	.B2(n_13919),
+	.Y(n_14394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782433 (
+	.A1(n_13163),
+	.A2(n_14122),
+	.B1(n_13165),
+	.B2(n_13918),
+	.Y(n_14393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782434 (
+	.A1(n_13165),
+	.A2(n_14121),
+	.B1(n_13163),
+	.B2(n_13917),
+	.Y(n_14392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782435 (
+	.A1(n_13165),
+	.A2(n_14120),
+	.B1(n_13163),
+	.B2(n_13916),
+	.Y(n_14391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782436 (
+	.A1(n_13163),
+	.A2(n_13915),
+	.B1(n_29437),
+	.B2(n_14119),
+	.Y(n_14390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782437 (
+	.A1(n_13167),
+	.A2(n_13914),
+	.B1(n_13163),
+	.B2(n_14118),
+	.Y(n_14389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782438 (
+	.A1(n_13167),
+	.A2(n_14117),
+	.B1(n_13163),
+	.B2(n_13913),
+	.Y(n_14388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782439 (
+	.A1(n_13167),
+	.A2(n_13912),
+	.B1(n_13163),
+	.B2(n_14116),
+	.Y(n_14387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782440 (
+	.A1(n_13167),
+	.A2(n_14115),
+	.B1(n_13163),
+	.B2(n_13911),
+	.Y(n_14386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782441 (
+	.A1(n_13163),
+	.A2(n_14114),
+	.B1(n_13165),
+	.B2(n_13910),
+	.Y(n_14385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782442 (
+	.A1(n_13165),
+	.A2(n_14113),
+	.B1(n_13163),
+	.B2(n_13909),
+	.Y(n_14384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782443 (
+	.A1(n_13163),
+	.A2(n_14112),
+	.B1(n_13165),
+	.B2(n_13908),
+	.Y(n_14383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782444 (
+	.A1(n_13165),
+	.A2(n_14111),
+	.B1(n_29437),
+	.B2(n_13907),
+	.Y(n_14382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782445 (
+	.A1(n_13165),
+	.A2(n_14110),
+	.B1(n_13163),
+	.B2(n_13906),
+	.Y(n_14381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782446 (
+	.A1(n_13163),
+	.A2(n_14109),
+	.B1(n_13165),
+	.B2(n_13905),
+	.Y(n_14380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782447 (
+	.A1(n_13165),
+	.A2(n_14108),
+	.B1(n_13163),
+	.B2(n_13904),
+	.Y(n_14379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782448 (
+	.A1(n_13165),
+	.A2(n_14107),
+	.B1(n_13163),
+	.B2(n_13903),
+	.Y(n_14378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782449 (
+	.A1(n_13163),
+	.A2(n_14106),
+	.B1(n_13165),
+	.B2(n_13902),
+	.Y(n_14377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782450 (
+	.A1(n_13163),
+	.A2(n_14105),
+	.B1(n_13165),
+	.B2(n_13901),
+	.Y(n_14376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782451 (
+	.A1(n_13163),
+	.A2(n_14104),
+	.B1(n_13165),
+	.B2(n_13900),
+	.Y(n_14375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782452 (
+	.A1(n_13165),
+	.A2(n_14103),
+	.B1(n_13163),
+	.B2(n_13899),
+	.Y(n_14374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782453 (
+	.A1(n_13163),
+	.A2(n_14102),
+	.B1(n_13165),
+	.B2(n_13897),
+	.Y(n_14373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g782456 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[18] [4]),
+	.A2_N(n_13440),
+	.B1(n_29420),
+	.B2(n_14178),
+	.Y(n_14370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782494 (
+	.A(n_14316),
+	.B_N(soc_top_data_addr[5]),
+	.Y(soc_top_u_dccm_addr2[5]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782495 (
+	.A(n_14316),
+	.B_N(soc_top_data_addr[7]),
+	.Y(soc_top_u_dccm_addr2[7]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782496 (
+	.A(n_14314),
+	.B_N(soc_top_data_addr[7]),
+	.Y(soc_top_u_dccm_addr4[7]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782497 (
+	.A(n_14314),
+	.B_N(soc_top_data_addr[6]),
+	.Y(soc_top_u_dccm_addr4[6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782498 (
+	.A(n_14314),
+	.B_N(soc_top_data_addr[5]),
+	.Y(soc_top_u_dccm_addr4[5]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782499 (
+	.A(n_14314),
+	.B_N(soc_top_data_addr[4]),
+	.Y(soc_top_u_dccm_addr4[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782500 (
+	.A(n_14314),
+	.B_N(soc_top_data_addr[3]),
+	.Y(soc_top_u_dccm_addr4[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782501 (
+	.A(n_14314),
+	.B_N(soc_top_data_addr[2]),
+	.Y(soc_top_u_dccm_addr4[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782502 (
+	.A(n_14314),
+	.B_N(soc_top_data_addr[0]),
+	.Y(soc_top_u_dccm_addr4[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782503 (
+	.A(n_14314),
+	.B_N(soc_top_data_addr[1]),
+	.Y(soc_top_u_dccm_addr4[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782504 (
+	.A(n_14312),
+	.B_N(soc_top_data_addr[6]),
+	.Y(soc_top_u_dccm_addr3[6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782505 (
+	.A(n_14312),
+	.B_N(soc_top_data_addr[5]),
+	.Y(soc_top_u_dccm_addr3[5]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782506 (
+	.A(n_14312),
+	.B_N(soc_top_data_addr[4]),
+	.Y(soc_top_u_dccm_addr3[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782507 (
+	.A(n_14312),
+	.B_N(soc_top_data_addr[7]),
+	.Y(soc_top_u_dccm_addr3[7]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782508 (
+	.A(n_14312),
+	.B_N(soc_top_data_addr[1]),
+	.Y(soc_top_u_dccm_addr3[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782509 (
+	.A(n_14312),
+	.B_N(soc_top_data_addr[0]),
+	.Y(soc_top_u_dccm_addr3[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782510 (
+	.A(n_14312),
+	.B_N(soc_top_data_addr[2]),
+	.Y(soc_top_u_dccm_addr3[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782511 (
+	.A(n_14312),
+	.B_N(soc_top_data_addr[3]),
+	.Y(soc_top_u_dccm_addr3[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g782512 (
+	.A(n_14317),
+	.B(soc_top_data_addr[7]),
+	.X(soc_top_u_dccm_addr1[7]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g782513 (
+	.A(n_14317),
+	.B(soc_top_data_addr[6]),
+	.X(soc_top_u_dccm_addr1[6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g782514 (
+	.A(n_14317),
+	.B(soc_top_data_addr[5]),
+	.X(soc_top_u_dccm_addr1[5]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g782515 (
+	.A(n_14317),
+	.B(soc_top_data_addr[4]),
+	.X(soc_top_u_dccm_addr1[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g782516 (
+	.A(n_14317),
+	.B(soc_top_data_addr[3]),
+	.X(soc_top_u_dccm_addr1[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g782517 (
+	.A(n_14317),
+	.B(soc_top_data_addr[2]),
+	.X(soc_top_u_dccm_addr1[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g782518 (
+	.A(n_14317),
+	.B(soc_top_data_addr[1]),
+	.X(soc_top_u_dccm_addr1[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g782519 (
+	.A(n_14317),
+	.B(soc_top_data_addr[0]),
+	.X(soc_top_u_dccm_addr1[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782520 (
+	.A(n_14316),
+	.B_N(soc_top_data_addr[6]),
+	.Y(soc_top_u_dccm_addr2[6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g782522 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[2] [0]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[2] [1]),
+	.X(n_29465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g782523 (
+	.A(n_29913),
+	.Y(n_14368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g782524 (
+	.A(n_74447),
+	.Y(n_14366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g782526 (
+	.A(n_69848),
+	.Y(n_14363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782530 (
+	.A(n_14317),
+	.B(soc_top_data_csb),
+	.Y(soc_top_u_dccm_csb1), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782531 (
+	.A(n_14316),
+	.B_N(soc_top_data_addr[1]),
+	.Y(soc_top_u_dccm_addr2[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782532 (
+	.A(n_14316),
+	.B_N(soc_top_data_addr[0]),
+	.Y(soc_top_u_dccm_addr2[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782533 (
+	.A(n_14316),
+	.B_N(soc_top_data_addr[2]),
+	.Y(soc_top_u_dccm_addr2[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782534 (
+	.A(n_14316),
+	.B_N(soc_top_data_addr[3]),
+	.Y(soc_top_u_dccm_addr2[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g782535 (
+	.A0(n_14074),
+	.A1(n_14075),
+	.S(n_72749),
+	.X(n_30038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782552 (
+	.A(n_73688),
+	.B_N(\soc_top_xbar_to_timer[a_data] [29]),
+	.Y(n_14339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782554 (
+	.A(n_73688),
+	.B_N(n_68268),
+	.Y(n_14337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782555 (
+	.A(n_73688),
+	.B_N(\soc_top_xbar_to_timer[a_data] [30]),
+	.Y(n_14336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782560 (
+	.A(n_62295),
+	.B(n_14191),
+	.Y(n_14331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g782561 (
+	.A(n_57096),
+	.B(n_34908),
+	.Y(n_14330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782562 (
+	.A(n_14316),
+	.B_N(soc_top_data_addr[4]),
+	.Y(soc_top_u_dccm_addr2[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782564 (
+	.A(n_70353),
+	.B(soc_top_prog_rst_ni),
+	.Y(n_14329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g782567 (
+	.A1(n_13842),
+	.A2(n_13384),
+	.B1(n_71015),
+	.Y(n_14328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g782568 (
+	.A1(n_61199),
+	.A2(n_86618),
+	.B1(n_70603),
+	.Y(n_14327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g782570 (
+	.A1(FE_DBTN123_soc_top_u_top_u_core_pc_set),
+	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[9]),
+	.B1(n_29415),
+	.Y(n_14325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g782571 (
+	.A(n_29446),
+	.B(n_29413),
+	.X(n_29913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782578 (
+	.A1(n_13447),
+	.A2(soc_top_u_top_u_core_csr_depc[2]),
+	.B1(n_13446),
+	.B2(soc_top_u_top_u_core_csr_mepc[2]),
+	.C1(n_14087),
+	.X(n_29867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4bb_1 g782579 (
+	.A_N(n_13786),
+	.B_N(n_31545),
+	.C(n_13793),
+	.D(n_36121),
+	.Y(n_14367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782580 (
+	.A(n_13828),
+	.B(n_14082),
+	.Y(n_29875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782581 (
+	.A1(n_13941),
+	.A2(n_72622),
+	.B1(n_13461),
+	.B2(n_93140),
+	.C1(n_13926),
+	.X(\soc_top_xbar_to_timer[a_mask] [2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_2 g782585 (
+	.A_N(n_34518),
+	.B(n_70352),
+	.C(n_29422),
+	.Y(n_29697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_4 g782586 (
+	.A(n_29783),
+	.B(n_29413),
+	.C_N(n_72952),
+	.X(n_29912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g782589 (
+	.A(n_29477),
+	.B(n_14189),
+	.Y(n_14360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g782590 (
+	.A(n_14189),
+	.B(n_29486),
+	.Y(n_14359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g782592 (
+	.A(n_70352),
+	.B(n_13079),
+	.Y(n_14356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g782593 (
+	.A1(n_13771),
+	.A2(soc_top_u_uart_u_uart_core_read_fifo_raddr[8]),
+	.B1(n_29418),
+	.X(n_15432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g782599 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[54] [1]),
+	.B1_N(n_13893),
+	.Y(n_14310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g782600 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[22] [1]),
+	.B1_N(n_13894),
+	.Y(n_14309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g782601 (
+	.A1(n_13289),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[33] [1]),
+	.B1_N(n_13895),
+	.Y(n_14308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782602 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[83] [8]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[211] [8]),
+	.C1(n_13644),
+	.Y(n_14307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782603 (
+	.A1(n_13155),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[175] [8]),
+	.B1(n_13159),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[47] [8]),
+	.C1(n_13646),
+	.Y(n_14306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782604 (
+	.A1(n_13155),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[151] [8]),
+	.B1(n_13159),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[23] [8]),
+	.C1(n_13648),
+	.Y(n_14305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782607 (
+	.A1(n_13155),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[171] [8]),
+	.B1(n_13159),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[43] [8]),
+	.C1(n_13650),
+	.Y(n_14302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782608 (
+	.A(n_13936),
+	.B_N(soc_top_u_spi_host_spi_host_rx[0]),
+	.Y(n_14301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782609 (
+	.A(n_13936),
+	.B_N(soc_top_u_spi_host_spi_host_rx[1]),
+	.Y(n_14300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782610 (
+	.A(n_13936),
+	.B_N(soc_top_u_spi_host_spi_host_rx[2]),
+	.Y(n_14299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782611 (
+	.A(n_13936),
+	.B_N(soc_top_u_spi_host_spi_host_rx[3]),
+	.Y(n_14298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782612 (
+	.A(n_13936),
+	.B_N(soc_top_u_spi_host_spi_host_rx[4]),
+	.Y(n_14297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782613 (
+	.A(n_13936),
+	.B_N(soc_top_u_spi_host_spi_host_rx[5]),
+	.Y(n_14296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782614 (
+	.A(n_13936),
+	.B_N(soc_top_u_spi_host_spi_host_rx[6]),
+	.Y(n_14295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782615 (
+	.A(n_13936),
+	.B_N(soc_top_u_spi_host_spi_host_rx[7]),
+	.Y(n_14294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782616 (
+	.A(n_13936),
+	.B_N(soc_top_u_spi_host_spi_host_rx[8]),
+	.Y(n_14293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782617 (
+	.A(n_13936),
+	.B_N(soc_top_u_spi_host_spi_host_rx[9]),
+	.Y(n_14292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782618 (
+	.A(n_13936),
+	.B_N(soc_top_u_spi_host_spi_host_rx[10]),
+	.Y(n_14291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782619 (
+	.A(n_13936),
+	.B_N(soc_top_u_spi_host_spi_host_rx[11]),
+	.Y(n_14290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782620 (
+	.A(n_13936),
+	.B_N(soc_top_u_spi_host_spi_host_rx[12]),
+	.Y(n_14289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782621 (
+	.A(n_13936),
+	.B_N(soc_top_u_spi_host_spi_host_rx[13]),
+	.Y(n_14288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782622 (
+	.A(n_13936),
+	.B_N(soc_top_u_spi_host_spi_host_rx[14]),
+	.Y(n_14287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782623 (
+	.A(n_13936),
+	.B_N(soc_top_u_spi_host_spi_host_rx[15]),
+	.Y(n_14286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782624 (
+	.A(n_13936),
+	.B_N(soc_top_u_spi_host_spi_host_rx[16]),
+	.Y(n_14285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782625 (
+	.A(n_13936),
+	.B_N(soc_top_u_spi_host_spi_host_rx[17]),
+	.Y(n_14284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782626 (
+	.A(n_13936),
+	.B_N(soc_top_u_spi_host_spi_host_rx[18]),
+	.Y(n_14283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782627 (
+	.A(n_13936),
+	.B_N(soc_top_u_spi_host_spi_host_rx[19]),
+	.Y(n_14282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782628 (
+	.A(n_13936),
+	.B_N(soc_top_u_spi_host_spi_host_rx[20]),
+	.Y(n_14281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782629 (
+	.A(n_13936),
+	.B_N(soc_top_u_spi_host_spi_host_rx[21]),
+	.Y(n_14280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782630 (
+	.A(n_13936),
+	.B_N(soc_top_u_spi_host_spi_host_rx[22]),
+	.Y(n_14279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782631 (
+	.A(n_13936),
+	.B_N(soc_top_u_spi_host_spi_host_rx[23]),
+	.Y(n_14278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782632 (
+	.A(n_13936),
+	.B_N(soc_top_u_spi_host_spi_host_rx[24]),
+	.Y(n_14277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782633 (
+	.A(n_13936),
+	.B_N(soc_top_u_spi_host_spi_host_rx[25]),
+	.Y(n_14276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782634 (
+	.A(n_13936),
+	.B_N(soc_top_u_spi_host_spi_host_rx[26]),
+	.Y(n_14275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782635 (
+	.A(n_13936),
+	.B_N(soc_top_u_spi_host_spi_host_rx[27]),
+	.Y(n_14274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782636 (
+	.A(n_13936),
+	.B_N(soc_top_u_spi_host_spi_host_rx[28]),
+	.Y(n_14273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782637 (
+	.A(n_13936),
+	.B_N(soc_top_u_spi_host_spi_host_rx[29]),
+	.Y(n_14272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782638 (
+	.A(n_13936),
+	.B_N(soc_top_u_spi_host_spi_host_rx[30]),
+	.Y(n_14271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782639 (
+	.A(n_13936),
+	.B_N(soc_top_u_spi_host_spi_host_rx[31]),
+	.Y(n_14270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782640 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[35] [7]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[163] [7]),
+	.C1(n_13652),
+	.Y(n_14269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782641 (
+	.A1(n_13155),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[151] [7]),
+	.B1(n_13159),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[23] [7]),
+	.C1(n_13654),
+	.Y(n_14268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782642 (
+	.A1(n_13143),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[219] [7]),
+	.B1(n_13139),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[91] [7]),
+	.C1(n_13656),
+	.Y(n_14267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782644 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[95] [7]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[223] [7]),
+	.C1(n_13659),
+	.Y(n_14265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g782645 (
+	.A1(n_13445),
+	.A2(soc_top_u_spi_host_spi_host_ss[1]),
+	.B1(n_13834),
+	.C1(n_14071),
+	.Y(io_out[27]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g782646 (
+	.A1(n_13445),
+	.A2(soc_top_u_spi_host_spi_host_ss[0]),
+	.B1(n_13831),
+	.C1(n_14071),
+	.Y(io_out[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g782647 (
+	.A1(n_13445),
+	.A2(soc_top_u_spi_host_spi_host_ss[2]),
+	.B1(n_13836),
+	.C1(n_14071),
+	.Y(io_out[28]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g782648 (
+	.A1(n_13445),
+	.A2(soc_top_u_spi_host_spi_host_ss[3]),
+	.B1(n_13809),
+	.C1(n_14071),
+	.Y(io_out[29]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782649 (
+	.A1(n_13143),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[211] [6]),
+	.B1(n_13139),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[83] [6]),
+	.C1(n_13660),
+	.Y(n_14264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g782650 (
+	.A1(n_42775),
+	.A2(n_29567),
+	.B1(n_13937),
+	.Y(n_14263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782651 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[85] [7]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[213] [7]),
+	.C1(n_13766),
+	.Y(n_14262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782652 (
+	.A1(n_13143),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[217] [7]),
+	.B1(n_13139),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[89] [7]),
+	.C1(n_13764),
+	.Y(n_14261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782653 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[97] [7]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[225] [7]),
+	.C1(n_13762),
+	.Y(n_14260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782654 (
+	.A1(n_13143),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[217] [8]),
+	.B1(n_13139),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[89] [8]),
+	.C1(n_13760),
+	.Y(n_14259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782655 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[85] [8]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[213] [8]),
+	.C1(n_13758),
+	.Y(n_14258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782656 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[109] [8]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[237] [8]),
+	.C1(n_13756),
+	.Y(n_14257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782657 (
+	.A1(n_13143),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[209] [8]),
+	.B1(n_13139),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[81] [8]),
+	.C1(n_13754),
+	.Y(n_14256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782658 (
+	.A1(n_13143),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[218] [1]),
+	.B1(n_13139),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[90] [1]),
+	.C1(n_13753),
+	.Y(n_14255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782659 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[98] [1]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[226] [1]),
+	.C1(n_13750),
+	.Y(n_14254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782660 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[46] [1]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[174] [1]),
+	.C1(n_13748),
+	.Y(n_14253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782661 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[22] [1]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[150] [1]),
+	.C1(n_13746),
+	.Y(n_14252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782662 (
+	.A1(n_13143),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[230] [2]),
+	.B1(n_13139),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[102] [2]),
+	.C1(n_13745),
+	.Y(n_14251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782663 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[98] [2]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[226] [2]),
+	.C1(n_13742),
+	.Y(n_14250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782664 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[94] [2]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[222] [2]),
+	.C1(n_13740),
+	.Y(n_14249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782665 (
+	.A1(n_13155),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[170] [2]),
+	.B1(n_13159),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[42] [2]),
+	.C1(n_13737),
+	.Y(n_14248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782666 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[94] [3]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[222] [3]),
+	.C1(n_13735),
+	.Y(n_14247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782667 (
+	.A1(n_13143),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[194] [3]),
+	.B1(n_13139),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[66] [3]),
+	.C1(n_13733),
+	.Y(n_14246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782668 (
+	.A1(n_13143),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[198] [3]),
+	.B1(n_13139),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[70] [3]),
+	.C1(n_13731),
+	.Y(n_14245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782669 (
+	.A1(n_13155),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[170] [3]),
+	.B1(n_13159),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[42] [3]),
+	.C1(n_13730),
+	.Y(n_14244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782670 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[46] [4]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[174] [4]),
+	.C1(n_13727),
+	.Y(n_14243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782671 (
+	.A1(n_13143),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[218] [4]),
+	.B1(n_13139),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[90] [4]),
+	.C1(n_13725),
+	.Y(n_14242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782672 (
+	.A1(n_13155),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[150] [4]),
+	.B1(n_13159),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[22] [4]),
+	.C1(n_13723),
+	.Y(n_14241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782673 (
+	.A1(n_13143),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[226] [4]),
+	.B1(n_13139),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[98] [4]),
+	.C1(n_13721),
+	.Y(n_14240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782674 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[46] [5]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[174] [5]),
+	.C1(n_13718),
+	.Y(n_14239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782675 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[22] [5]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[150] [5]),
+	.C1(n_13716),
+	.Y(n_14238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782676 (
+	.A1(n_13155),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[154] [5]),
+	.B1(n_13159),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[26] [5]),
+	.C1(n_13714),
+	.Y(n_14237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782677 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[34] [5]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[162] [5]),
+	.C1(n_13712),
+	.Y(n_14236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782678 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[94] [6]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[222] [6]),
+	.C1(n_13710),
+	.Y(n_14235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782679 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[102] [6]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[230] [6]),
+	.C1(n_13708),
+	.Y(n_14234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782680 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[106] [6]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[234] [6]),
+	.C1(n_13770),
+	.Y(n_14233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782681 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[98] [6]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[226] [6]),
+	.C1(n_13780),
+	.Y(n_14232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782682 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[78] [7]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[206] [7]),
+	.C1(n_13791),
+	.Y(n_14231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782683 (
+	.A1(n_13155),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[138] [7]),
+	.B1(n_13159),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[10] [7]),
+	.C1(n_13794),
+	.Y(n_14230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782684 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[86] [7]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[214] [7]),
+	.C1(n_13796),
+	.Y(n_14229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782685 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[82] [7]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[210] [7]),
+	.C1(n_13799),
+	.Y(n_14228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782686 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[46] [8]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[174] [8]),
+	.C1(n_13804),
+	.Y(n_14227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782687 (
+	.A1(n_13155),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[170] [8]),
+	.B1(n_13159),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[42] [8]),
+	.C1(n_13806),
+	.Y(n_14226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782688 (
+	.A1(n_13155),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[166] [8]),
+	.B1(n_13159),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[38] [8]),
+	.C1(n_13829),
+	.Y(n_14225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782689 (
+	.A1(n_13155),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[146] [8]),
+	.B1(n_13159),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[18] [8]),
+	.C1(n_13832),
+	.Y(n_14224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782690 (
+	.A1(n_13155),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[175] [1]),
+	.B1(n_13159),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[47] [1]),
+	.C1(n_13591),
+	.Y(n_14223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782691 (
+	.A1(n_13143),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[219] [1]),
+	.B1(n_13139),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[91] [1]),
+	.C1(n_13705),
+	.Y(n_14222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782692 (
+	.A1(n_13143),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[231] [1]),
+	.B1(n_13139),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[103] [1]),
+	.C1(n_13703),
+	.Y(n_14221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782693 (
+	.A1(n_13155),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[147] [1]),
+	.B1(n_13159),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[19] [1]),
+	.C1(n_13701),
+	.Y(n_14220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782694 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[111] [2]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[239] [2]),
+	.C1(n_13699),
+	.Y(n_14219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782695 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[103] [2]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[231] [2]),
+	.C1(n_13697),
+	.Y(n_14218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782696 (
+	.A1(n_13143),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[219] [2]),
+	.B1(n_13139),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[91] [2]),
+	.C1(n_13695),
+	.Y(n_14217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782697 (
+	.A1(n_13143),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[227] [2]),
+	.B1(n_13139),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[99] [2]),
+	.C1(n_13693),
+	.Y(n_14216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782698 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[31] [3]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[159] [3]),
+	.C1(n_13691),
+	.Y(n_14215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782699 (
+	.A1(n_13155),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[155] [3]),
+	.B1(n_13159),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[27] [3]),
+	.C1(n_13689),
+	.Y(n_14214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782700 (
+	.A1(n_13155),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[167] [3]),
+	.B1(n_13159),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[39] [3]),
+	.C1(n_13687),
+	.Y(n_14213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782701 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[19] [3]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[147] [3]),
+	.C1(n_13685),
+	.Y(n_14212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782702 (
+	.A1(n_13143),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[235] [4]),
+	.B1(n_13139),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[107] [4]),
+	.C1(n_13684),
+	.Y(n_14211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782703 (
+	.A1(n_13155),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[135] [4]),
+	.B1(n_13159),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[7] [4]),
+	.C1(n_13680),
+	.Y(n_14210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782704 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[111] [4]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[239] [4]),
+	.C1(n_13679),
+	.Y(n_14209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782705 (
+	.A1(n_13155),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[163] [4]),
+	.B1(n_13159),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[35] [4]),
+	.C1(n_13677),
+	.Y(n_14208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782706 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[43] [5]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[171] [5]),
+	.C1(n_13675),
+	.Y(n_14207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782707 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[95] [5]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[223] [5]),
+	.C1(n_13672),
+	.Y(n_14206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782708 (
+	.A1(n_13155),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[151] [5]),
+	.B1(n_13159),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[23] [5]),
+	.C1(n_13670),
+	.Y(n_14205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782709 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[35] [5]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[163] [5]),
+	.C1(n_13668),
+	.Y(n_14204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782710 (
+	.A1(n_13155),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[175] [6]),
+	.B1(n_13159),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[47] [6]),
+	.C1(n_13666),
+	.Y(n_14203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782711 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[87] [6]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[215] [6]),
+	.C1(n_13664),
+	.Y(n_14202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782712 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[107] [6]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[235] [6]),
+	.C1(n_13662),
+	.Y(n_14201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782713 (
+	.A(n_13937),
+	.B(n_13842),
+	.Y(n_14324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g782716 (
+	.A(n_29419),
+	.B(n_29416),
+	.X(n_29413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g782721 (
+	.A(soc_top_data_addr[8]),
+	.B(soc_top_data_addr[9]),
+	.Y(n_14317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g782722 (
+	.A_N(soc_top_data_addr[9]),
+	.B(soc_top_data_addr[8]),
+	.Y(n_14316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782723 (
+	.A(soc_top_data_addr[9]),
+	.B(soc_top_data_addr[8]),
+	.Y(n_14314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g782724 (
+	.A_N(soc_top_data_addr[8]),
+	.B(soc_top_data_addr[9]),
+	.Y(n_14312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 g782732 (
+	.A(n_76149),
+	.Y(n_14191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g782733 (
+	.A(n_14189),
+	.Y(n_29614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782734 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[93] [1]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[221] [1]),
+	.C1(n_13522),
+	.Y(n_14188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782735 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[54] [2]),
+	.B1(n_888),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[62] [2]),
+	.C1(n_13639),
+	.Y(n_14187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782736 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[19] [2]),
+	.B1(n_888),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[27] [2]),
+	.C1(n_13637),
+	.Y(n_14186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g782737 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[49] [2]),
+	.B1_N(n_13891),
+	.Y(n_14185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g782738 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[20] [2]),
+	.B1_N(n_13890),
+	.Y(n_14184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g782739 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[51] [3]),
+	.B1_N(n_13889),
+	.Y(n_14183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o41ai_1 g782740 (
+	.A1(soc_top_u_uart_u_uart_core_fifo_read_size[7]),
+	.A2(n_13175),
+	.A3(n_29459),
+	.A4(n_29428),
+	.B1(soc_top_u_uart_u_uart_core_fifo_read_size[8]),
+	.Y(n_14182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g782741 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[49] [3]),
+	.B1_N(n_13888),
+	.Y(n_14181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g782742 (
+	.A1(n_13289),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[6] [3]),
+	.B1_N(n_13887),
+	.Y(n_14180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g782743 (
+	.A1(n_13289),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[4] [3]),
+	.B1_N(n_13886),
+	.Y(n_14179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782744 (
+	.A1(n_13289),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[7] [4]),
+	.B1(n_323),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[23] [4]),
+	.C1(n_13629),
+	.Y(n_14178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g782745 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[51] [4]),
+	.B1_N(n_13885),
+	.Y(n_14177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g782746 (
+	.A1(n_13289),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[36] [4]),
+	.B1_N(n_13884),
+	.Y(n_14176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g782747 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[20] [4]),
+	.B1_N(n_13883),
+	.Y(n_14175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g782748 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[54] [5]),
+	.B1_N(n_13882),
+	.Y(n_14174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g782749 (
+	.A1(n_13289),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[36] [5]),
+	.B1_N(n_13881),
+	.Y(n_14173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g782750 (
+	.A1(n_13289),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[3] [5]),
+	.B1_N(n_13880),
+	.Y(n_14172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g782751 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[20] [5]),
+	.B1_N(n_13879),
+	.Y(n_14171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g782752 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[51] [6]),
+	.B1_N(n_13878),
+	.Y(n_14170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g782753 (
+	.A1(n_13289),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[33] [6]),
+	.B1_N(n_13877),
+	.Y(n_14169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g782754 (
+	.A1(n_13289),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[6] [6]),
+	.B1_N(n_13876),
+	.Y(n_14168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g782755 (
+	.A1(n_13289),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[4] [6]),
+	.B1_N(n_13875),
+	.Y(n_14167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782756 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[49] [7]),
+	.B1(n_888),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[57] [7]),
+	.C1(n_13619),
+	.Y(n_14166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782757 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[19] [7]),
+	.B1(n_888),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[27] [7]),
+	.C1(n_13617),
+	.Y(n_14165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g782758 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[54] [7]),
+	.B1_N(n_13874),
+	.Y(n_14164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g782759 (
+	.A1(n_13289),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[4] [7]),
+	.B1_N(n_13873),
+	.Y(n_14163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g782760 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[54] [8]),
+	.B1_N(n_13872),
+	.Y(n_14162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782761 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[19] [8]),
+	.B1(n_888),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[27] [8]),
+	.C1(n_13612),
+	.Y(n_14161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782762 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[49] [8]),
+	.B1(n_888),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[57] [8]),
+	.C1(n_13610),
+	.Y(n_14160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g782763 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[20] [8]),
+	.B1_N(n_13871),
+	.Y(n_14159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782764 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[68] [1]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[196] [1]),
+	.C1(n_13596),
+	.Y(n_14158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782765 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[80] [1]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[208] [1]),
+	.C1(n_13595),
+	.Y(n_14157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782766 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[108] [1]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[236] [1]),
+	.C1(n_13592),
+	.Y(n_14156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782767 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[88] [1]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[216] [1]),
+	.C1(n_13589),
+	.Y(n_14155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782768 (
+	.A1(n_13155),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[152] [2]),
+	.B1(n_13159),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[24] [2]),
+	.C1(n_13587),
+	.Y(n_14154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782769 (
+	.A1(n_13155),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[164] [2]),
+	.B1(n_13159),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[36] [2]),
+	.C1(n_13585),
+	.Y(n_14153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782770 (
+	.A1(n_13155),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[160] [2]),
+	.B1(n_13159),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[32] [2]),
+	.C1(n_13582),
+	.Y(n_14152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782771 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[108] [2]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[236] [2]),
+	.C1(n_13579),
+	.Y(n_14151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782772 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[80] [3]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[208] [3]),
+	.C1(n_13578),
+	.Y(n_14150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782773 (
+	.A1(n_13155),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[148] [3]),
+	.B1(n_13159),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[20] [3]),
+	.C1(n_13575),
+	.Y(n_14149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782774 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[92] [3]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[220] [3]),
+	.C1(n_13573),
+	.Y(n_14148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782775 (
+	.A1(n_13155),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[168] [3]),
+	.B1(n_13159),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[40] [3]),
+	.C1(n_13571),
+	.Y(n_14147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782776 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[72] [4]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[200] [4]),
+	.C1(n_13569),
+	.Y(n_14146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782777 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[80] [4]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[208] [4]),
+	.C1(n_13567),
+	.Y(n_14145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782778 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[92] [4]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[220] [4]),
+	.C1(n_13565),
+	.Y(n_14144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782779 (
+	.A1(n_13155),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[148] [4]),
+	.B1(n_13159),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[20] [4]),
+	.C1(n_13562),
+	.Y(n_14143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782780 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[108] [5]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[236] [5]),
+	.C1(n_13560),
+	.Y(n_14142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782781 (
+	.A1(n_13155),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[164] [5]),
+	.B1(n_13159),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[36] [5]),
+	.C1(n_13558),
+	.Y(n_14141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782782 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[8] [5]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[136] [5]),
+	.C1(n_13555),
+	.Y(n_14140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782783 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[64] [5]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[192] [5]),
+	.C1(n_13553),
+	.Y(n_14139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782784 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[72] [6]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[200] [6]),
+	.C1(n_13551),
+	.Y(n_14138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782785 (
+	.A1(n_13155),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[132] [6]),
+	.B1(n_13159),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[4] [6]),
+	.C1(n_13547),
+	.Y(n_14137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782786 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[108] [6]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[236] [6]),
+	.C1(n_13546),
+	.Y(n_14136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782787 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[64] [6]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[192] [6]),
+	.C1(n_13543),
+	.Y(n_14135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782788 (
+	.A1(n_13155),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[172] [7]),
+	.B1(n_13159),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[44] [7]),
+	.C1(n_13541),
+	.Y(n_14134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782789 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[72] [7]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[200] [7]),
+	.C1(n_13537),
+	.Y(n_14133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782790 (
+	.A1(n_13155),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[164] [7]),
+	.B1(n_13159),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[36] [7]),
+	.C1(n_13536),
+	.Y(n_14132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782791 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[80] [7]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[208] [7]),
+	.C1(n_13533),
+	.Y(n_14131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782792 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[72] [8]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[200] [8]),
+	.C1(n_13530),
+	.Y(n_14130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782793 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[80] [8]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[208] [8]),
+	.C1(n_13528),
+	.Y(n_14129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782794 (
+	.A1(n_13155),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[172] [8]),
+	.B1(n_13159),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[44] [8]),
+	.C1(n_13527),
+	.Y(n_14128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g782795 (
+	.A0(n_13844),
+	.A1(n_13845),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[3]),
+	.X(n_30037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782796 (
+	.A1(n_13155),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[132] [8]),
+	.B1(n_13159),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[4] [8]),
+	.C1(n_13524),
+	.Y(n_14127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g782797 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[20] [1]),
+	.B1_N(n_13892),
+	.Y(n_14126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782798 (
+	.A1(n_13155),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[153] [1]),
+	.B1(n_13159),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[25] [1]),
+	.C1(n_13520),
+	.Y(n_14125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782799 (
+	.A1(n_13143),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[213] [1]),
+	.B1(n_13139),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[85] [1]),
+	.C1(n_13518),
+	.Y(n_14124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782800 (
+	.A1(n_13155),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[161] [1]),
+	.B1(n_13159),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[33] [1]),
+	.C1(n_13516),
+	.Y(n_14123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782801 (
+	.A1(n_13155),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[165] [2]),
+	.B1(n_13159),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[37] [2]),
+	.C1(n_13513),
+	.Y(n_14122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782802 (
+	.A1(n_13155),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[145] [2]),
+	.B1(n_13159),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[17] [2]),
+	.C1(n_13511),
+	.Y(n_14121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782803 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[89] [2]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[217] [2]),
+	.C1(n_13509),
+	.Y(n_14120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782804 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[125] [2]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[253] [2]),
+	.C1(n_13507),
+	.Y(n_14119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782805 (
+	.A1(n_13155),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[173] [3]),
+	.B1(n_13159),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[45] [3]),
+	.C1(n_13505),
+	.Y(n_14118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782806 (
+	.A1(n_13155),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[133] [3]),
+	.B1(n_13159),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[5] [3]),
+	.C1(n_13502),
+	.Y(n_14117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782807 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[105] [3]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[233] [3]),
+	.C1(n_13500),
+	.Y(n_14116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782808 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[65] [3]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[193] [3]),
+	.C1(n_13497),
+	.Y(n_14115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782809 (
+	.A1(n_13155),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[165] [4]),
+	.B1(n_13159),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[37] [4]),
+	.C1(n_13495),
+	.Y(n_14114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782810 (
+	.A1(n_13155),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[145] [4]),
+	.B1(n_13159),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[17] [4]),
+	.C1(n_13493),
+	.Y(n_14113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782811 (
+	.A1(n_13155),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[173] [4]),
+	.B1(n_13159),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[45] [4]),
+	.C1(n_13491),
+	.Y(n_14112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782812 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[89] [4]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[217] [4]),
+	.C1(n_13489),
+	.Y(n_14111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782813 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[93] [5]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[221] [5]),
+	.C1(n_13487),
+	.Y(n_14110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782814 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[101] [5]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[229] [5]),
+	.C1(n_13485),
+	.Y(n_14109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782815 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[25] [5]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[153] [5]),
+	.C1(n_13483),
+	.Y(n_14108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782816 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[17] [5]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[145] [5]),
+	.C1(n_13479),
+	.Y(n_14107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782817 (
+	.A1(n_13155),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[173] [6]),
+	.B1(n_13159),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[45] [6]),
+	.C1(n_13477),
+	.Y(n_14106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782818 (
+	.A1(n_13155),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[169] [6]),
+	.B1(n_13159),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[41] [6]),
+	.C1(n_13475),
+	.Y(n_14105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782819 (
+	.A1(n_13155),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[165] [6]),
+	.B1(n_13159),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[37] [6]),
+	.C1(n_13473),
+	.Y(n_14104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782820 (
+	.A1(n_13155),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[145] [6]),
+	.B1(n_13159),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[17] [6]),
+	.C1(n_13471),
+	.Y(n_14103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782821 (
+	.A1(n_13143),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[237] [7]),
+	.B1(n_13139),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[109] [7]),
+	.C1(n_13469),
+	.Y(n_14102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g782823 (
+	.A1(n_29712),
+	.A2(n_29474),
+	.B1(n_29713),
+	.B2(n_29472),
+	.X(n_14100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g782824 (
+	.A(n_71918),
+	.B(n_56916),
+	.Y(n_14099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782825 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[16] [1]),
+	.B1(n_888),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[24] [1]),
+	.C1(n_13862),
+	.Y(n_14098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782826 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[16] [2]),
+	.B1(n_888),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[24] [2]),
+	.C1(n_13861),
+	.Y(n_14097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782828 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[16] [3]),
+	.B1(n_888),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[24] [3]),
+	.C1(n_13860),
+	.Y(n_14095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782830 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[16] [4]),
+	.B1(n_888),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[24] [4]),
+	.C1(n_13859),
+	.Y(n_14093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782831 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[16] [5]),
+	.B1(n_888),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[24] [5]),
+	.C1(n_13858),
+	.Y(n_14092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782832 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[16] [6]),
+	.B1(n_888),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[24] [6]),
+	.C1(n_13857),
+	.Y(n_14091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782833 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[16] [7]),
+	.B1(n_888),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[24] [7]),
+	.C1(n_13856),
+	.Y(n_14090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g782834 (
+	.A1_N(n_13784),
+	.A2_N(n_40453),
+	.B1(n_61499),
+	.B2(n_40452),
+	.Y(n_14089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782835 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[16] [8]),
+	.B1(n_888),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[24] [8]),
+	.C1(n_13855),
+	.Y(n_14088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g782836 (
+	.A1(n_13841),
+	.A2(soc_top_u_top_u_core_csr_mtvec[2]),
+	.B1(n_13449),
+	.B2(n_31612),
+	.X(n_14087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g782837 (
+	.A1(soc_top_u_top_u_core_csr_mtvec[7]),
+	.A2(n_13841),
+	.B1(soc_top_u_top_u_core_csr_depc[7]),
+	.B2(n_13447),
+	.C1(n_13446),
+	.C2(soc_top_u_top_u_core_csr_mepc[7]),
+	.Y(n_14086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g782841 (
+	.A1(soc_top_u_top_u_core_csr_mtvec[11]),
+	.A2(n_13841),
+	.B1(soc_top_u_top_u_core_csr_depc[11]),
+	.B2(n_13447),
+	.C1(n_13446),
+	.C2(soc_top_u_top_u_core_csr_mepc[11]),
+	.Y(n_14082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g782842 (
+	.A1(soc_top_u_top_u_core_csr_mtvec[8]),
+	.A2(n_13841),
+	.B1(soc_top_u_top_u_core_csr_depc[8]),
+	.B2(n_13447),
+	.C1(n_13446),
+	.C2(soc_top_u_top_u_core_csr_mepc[8]),
+	.Y(n_14081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g782843 (
+	.A1(soc_top_u_top_u_core_csr_mtvec[10]),
+	.A2(n_13841),
+	.B1(soc_top_u_top_u_core_csr_depc[10]),
+	.B2(n_13447),
+	.C1(n_13446),
+	.C2(soc_top_u_top_u_core_csr_mepc[10]),
+	.Y(n_14080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g782844 (
+	.A1(soc_top_u_top_u_core_csr_mtvec[4]),
+	.A2(n_13841),
+	.B1(soc_top_u_top_u_core_csr_depc[4]),
+	.B2(n_13447),
+	.C1(n_13446),
+	.C2(soc_top_u_top_u_core_csr_mepc[4]),
+	.Y(n_14079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g782845 (
+	.A1(soc_top_u_top_u_core_csr_mtvec[3]),
+	.A2(n_13841),
+	.B1(soc_top_u_top_u_core_csr_depc[3]),
+	.B2(n_13447),
+	.C1(n_13446),
+	.C2(soc_top_u_top_u_core_csr_mepc[3]),
+	.Y(n_14078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g782846 (
+	.A1(soc_top_u_top_u_core_csr_mtvec[6]),
+	.A2(n_13841),
+	.B1(soc_top_u_top_u_core_csr_depc[6]),
+	.B2(n_13447),
+	.C1(n_13446),
+	.C2(soc_top_u_top_u_core_csr_mepc[6]),
+	.Y(n_14077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g782847 (
+	.A1(soc_top_u_top_u_core_csr_mtvec[5]),
+	.A2(n_13841),
+	.B1(soc_top_u_top_u_core_csr_depc[5]),
+	.B2(n_13447),
+	.C1(n_13446),
+	.C2(soc_top_u_top_u_core_csr_mepc[5]),
+	.Y(n_14076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g782848 (
+	.A1(n_29451),
+	.A2(n_13176),
+	.B1(n_13924),
+	.X(\soc_top_xbar_to_timer[a_mask] [0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g782849 (
+	.A1(n_74746),
+	.A2(n_13188),
+	.B1(n_24),
+	.C1(n_13941),
+	.X(\soc_top_xbar_to_timer[a_mask] [1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g782850 (
+	.A1(n_29361),
+	.A2(n_12945),
+	.B1(n_13779),
+	.C1(n_13778),
+	.D1(n_13285),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[2] [0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g782851 (
+	.A(n_14055),
+	.B(n_14056),
+	.Y(n_29415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g782852 (
+	.A1(n_29356),
+	.A2(n_13008),
+	.B1(n_13277),
+	.C1(n_13777),
+	.D1(n_13775),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[2] [1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g782853 (
+	.A(n_29447),
+	.B(n_72954),
+	.C(n_29394),
+	.X(n_29418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4b_1 g782854 (
+	.A(n_29481),
+	.B(n_29479),
+	.C(n_29480),
+	.D_N(soc_top_u_spi_host_spi_host_clgen_cnt[0]),
+	.X(soc_top_u_spi_host_spi_host_clgen_n_172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g782857 (
+	.A1(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[1] [9]),
+	.A2(n_29482),
+	.A3(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B1(n_13047),
+	.B2(n_29487),
+	.X(n_29917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31a_1 g782860 (
+	.A1(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.A2(n_29015),
+	.A3(n_13849),
+	.B1(n_13869),
+	.X(n_14189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g782861 (
+	.A(n_14074),
+	.Y(n_14075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782863 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[83] [2]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[211] [2]),
+	.C1(n_13694),
+	.Y(n_14070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782864 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[96] [5]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[224] [5]),
+	.C1(n_13554),
+	.Y(n_14069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782865 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[88] [5]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[216] [5]),
+	.C1(n_13556),
+	.Y(n_14068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782866 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[84] [5]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[212] [5]),
+	.C1(n_13559),
+	.Y(n_14067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782867 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[92] [5]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[220] [5]),
+	.C1(n_13561),
+	.Y(n_14066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782868 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[100] [4]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[228] [4]),
+	.C1(n_13564),
+	.Y(n_14065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782869 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[108] [4]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[236] [4]),
+	.C1(n_13566),
+	.Y(n_14064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782870 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[96] [4]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[224] [4]),
+	.C1(n_13568),
+	.Y(n_14063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782871 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[104] [4]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[232] [4]),
+	.C1(n_13570),
+	.Y(n_14062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782872 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[88] [3]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[216] [3]),
+	.C1(n_13572),
+	.Y(n_14061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782873 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[108] [3]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[236] [3]),
+	.C1(n_13574),
+	.Y(n_14060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782877 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[100] [3]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[228] [3]),
+	.C1(n_13576),
+	.Y(n_14057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g782878 (
+	.A(soc_top_u_top_u_core_pc_set),
+	.B(n_13447),
+	.C(soc_top_u_top_u_core_csr_depc[9]),
+	.Y(n_14056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g782879 (
+	.A(soc_top_u_top_u_core_pc_set),
+	.B(n_13446),
+	.C(soc_top_u_top_u_core_csr_mepc[9]),
+	.Y(n_14055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782881 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[64] [3]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[192] [3]),
+	.C1(n_13577),
+	.Y(n_14053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782883 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[124] [2]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[252] [2]),
+	.C1(n_13580),
+	.Y(n_14051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g782884 (
+	.A_N(soc_top_u_top_u_core_cs_registers_i_mcountinhibit_q[2]),
+	.B(n_13311),
+	.C(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_count_q ),
+	.X(n_31478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782885 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[80] [2]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[208] [2]),
+	.C1(n_13583),
+	.Y(n_14050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g782887 (
+	.A_N(n_17730),
+	.B(n_29863),
+	.C(n_13322),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_n_803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g782888 (
+	.A_N(n_17730),
+	.B(n_29832),
+	.C(n_13322),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_n_879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782889 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[101] [7]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[229] [7]),
+	.C1(n_13767),
+	.Y(n_14048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782890 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[105] [7]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[233] [7]),
+	.C1(n_13765),
+	.Y(n_14047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782891 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[84] [2]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[212] [2]),
+	.C1(n_13586),
+	.Y(n_14046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782892 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[81] [7]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[209] [7]),
+	.C1(n_13763),
+	.Y(n_14045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782893 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[105] [8]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[233] [8]),
+	.C1(n_13761),
+	.Y(n_14044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782894 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[101] [8]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[229] [8]),
+	.C1(n_13759),
+	.Y(n_14043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782895 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[93] [8]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[221] [8]),
+	.C1(n_13757),
+	.Y(n_14042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782896 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[97] [8]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[225] [8]),
+	.C1(n_13755),
+	.Y(n_14041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782897 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[74] [1]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[202] [1]),
+	.C1(n_13752),
+	.Y(n_14040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782898 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[82] [1]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[210] [1]),
+	.C1(n_13751),
+	.Y(n_14039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782899 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[94] [1]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[222] [1]),
+	.C1(n_13749),
+	.Y(n_14038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782900 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[102] [1]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[230] [1]),
+	.C1(n_13747),
+	.Y(n_14037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782901 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[70] [2]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[198] [2]),
+	.C1(n_13744),
+	.Y(n_14036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782902 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[82] [2]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[210] [2]),
+	.C1(n_13743),
+	.Y(n_14035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782903 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[110] [2]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[238] [2]),
+	.C1(n_13741),
+	.Y(n_14034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782905 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[90] [2]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[218] [2]),
+	.C1(n_13738),
+	.Y(n_14032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782906 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[110] [3]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[238] [3]),
+	.C1(n_13736),
+	.Y(n_14031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782907 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[98] [3]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[226] [3]),
+	.C1(n_13734),
+	.Y(n_14030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782908 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[102] [3]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[230] [3]),
+	.C1(n_13732),
+	.Y(n_14029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782909 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[74] [3]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[202] [3]),
+	.C1(n_13729),
+	.Y(n_14028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782910 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[94] [4]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[222] [4]),
+	.C1(n_13728),
+	.Y(n_14027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782911 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[106] [4]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[234] [4]),
+	.C1(n_13726),
+	.Y(n_14026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782912 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[102] [4]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[230] [4]),
+	.C1(n_13724),
+	.Y(n_14025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782913 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[82] [4]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[210] [4]),
+	.C1(n_13722),
+	.Y(n_14024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782914 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[94] [5]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[222] [5]),
+	.C1(n_13719),
+	.Y(n_14023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782915 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[102] [5]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[230] [5]),
+	.C1(n_13717),
+	.Y(n_14022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782916 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[106] [5]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[234] [5]),
+	.C1(n_13715),
+	.Y(n_14021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782917 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[82] [5]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[210] [5]),
+	.C1(n_13713),
+	.Y(n_14020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782918 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[110] [6]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[238] [6]),
+	.C1(n_13711),
+	.Y(n_14019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782919 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[86] [6]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[214] [6]),
+	.C1(n_13709),
+	.Y(n_14018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782920 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[90] [6]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[218] [6]),
+	.C1(n_13707),
+	.Y(n_14017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782921 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[82] [6]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[210] [6]),
+	.C1(n_13772),
+	.Y(n_14016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782922 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[94] [7]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[222] [7]),
+	.C1(n_13781),
+	.Y(n_14015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782923 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[106] [7]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[234] [7]),
+	.C1(n_13792),
+	.Y(n_14014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782924 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[102] [7]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[230] [7]),
+	.C1(n_13795),
+	.Y(n_14013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782925 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[98] [7]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[226] [7]),
+	.C1(n_13798),
+	.Y(n_14012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782926 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[94] [8]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[222] [8]),
+	.C1(n_13802),
+	.Y(n_14011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782927 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[90] [8]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[218] [8]),
+	.C1(n_13805),
+	.Y(n_14010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782928 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[86] [8]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[214] [8]),
+	.C1(n_13808),
+	.Y(n_14009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782929 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[98] [8]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[226] [8]),
+	.C1(n_13830),
+	.Y(n_14008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782930 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[95] [1]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[223] [1]),
+	.C1(n_13833),
+	.Y(n_14007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782931 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[107] [1]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[235] [1]),
+	.C1(n_13706),
+	.Y(n_14006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782932 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[87] [1]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[215] [1]),
+	.C1(n_13704),
+	.Y(n_14005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782933 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[99] [1]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[227] [1]),
+	.C1(n_13702),
+	.Y(n_14004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782934 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[95] [2]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[223] [2]),
+	.C1(n_13700),
+	.Y(n_14003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782935 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[87] [2]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[215] [2]),
+	.C1(n_13698),
+	.Y(n_14002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782936 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[107] [2]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[235] [2]),
+	.C1(n_13696),
+	.Y(n_14001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782937 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[104] [2]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[232] [2]),
+	.C1(n_13588),
+	.Y(n_14000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782938 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[111] [3]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[239] [3]),
+	.C1(n_13692),
+	.Y(n_13999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782939 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[107] [3]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[235] [3]),
+	.C1(n_13690),
+	.Y(n_13998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782940 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[87] [3]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[215] [3]),
+	.C1(n_13688),
+	.Y(n_13997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782941 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[99] [3]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[227] [3]),
+	.C1(n_13686),
+	.Y(n_13996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782942 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[75] [4]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[203] [4]),
+	.C1(n_13683),
+	.Y(n_13995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782943 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[103] [4]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[231] [4]),
+	.C1(n_13681),
+	.Y(n_13994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782944 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[79] [4]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[207] [4]),
+	.C1(n_13678),
+	.Y(n_13993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782945 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[67] [4]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[195] [4]),
+	.C1(n_13676),
+	.Y(n_13992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782946 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[75] [5]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[203] [5]),
+	.C1(n_13674),
+	.Y(n_13991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782947 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[111] [5]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[239] [5]),
+	.C1(n_13673),
+	.Y(n_13990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782948 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[103] [5]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[231] [5]),
+	.C1(n_13671),
+	.Y(n_13989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782949 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[83] [5]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[211] [5]),
+	.C1(n_13669),
+	.Y(n_13988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782950 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[95] [6]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[223] [6]),
+	.C1(n_13667),
+	.Y(n_13987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782951 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[103] [6]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[231] [6]),
+	.C1(n_13665),
+	.Y(n_13986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782952 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[91] [6]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[219] [6]),
+	.C1(n_13663),
+	.Y(n_13985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782953 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[51] [8]),
+	.B1(n_888),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[59] [8]),
+	.C1(n_13614),
+	.Y(n_13984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782954 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[99] [6]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[227] [6]),
+	.C1(n_13661),
+	.Y(n_13983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782955 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[79] [7]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[207] [7]),
+	.C1(n_13658),
+	.Y(n_13982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782956 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[107] [7]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[235] [7]),
+	.C1(n_13657),
+	.Y(n_13981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782957 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[103] [7]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[231] [7]),
+	.C1(n_13655),
+	.Y(n_13980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782958 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[83] [7]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[211] [7]),
+	.C1(n_13653),
+	.Y(n_13979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782959 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[91] [8]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[219] [8]),
+	.C1(n_13651),
+	.Y(n_13978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782960 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[103] [8]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[231] [8]),
+	.C1(n_13649),
+	.Y(n_13977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782961 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[95] [8]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[223] [8]),
+	.C1(n_13647),
+	.Y(n_13976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782962 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[99] [8]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[227] [8]),
+	.C1(n_13645),
+	.Y(n_13975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782963 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[52] [1]),
+	.B1(n_888),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[60] [1]),
+	.C1(n_13643),
+	.Y(n_13974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782964 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[19] [1]),
+	.B1(n_888),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[27] [1]),
+	.C1(n_13642),
+	.Y(n_13973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782965 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[51] [1]),
+	.B1(n_888),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[59] [1]),
+	.C1(n_13641),
+	.Y(n_13972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782966 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[51] [2]),
+	.B1(n_888),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[59] [2]),
+	.C1(n_13640),
+	.Y(n_13971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782967 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[22] [2]),
+	.B1(n_888),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[30] [2]),
+	.C1(n_13638),
+	.Y(n_13970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782968 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[52] [2]),
+	.B1(n_888),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[60] [2]),
+	.C1(n_13636),
+	.Y(n_13969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782969 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[52] [3]),
+	.B1(n_888),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[60] [3]),
+	.C1(n_13633),
+	.Y(n_13968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782970 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[19] [3]),
+	.B1(n_888),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[27] [3]),
+	.C1(n_13632),
+	.Y(n_13967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782971 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[22] [4]),
+	.B1(n_888),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[30] [4]),
+	.C1(n_13631),
+	.Y(n_13966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782972 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[19] [4]),
+	.B1(n_888),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[27] [4]),
+	.C1(n_13630),
+	.Y(n_13965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782973 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[54] [4]),
+	.B1(n_888),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[62] [4]),
+	.C1(n_13628),
+	.Y(n_13964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782974 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[49] [4]),
+	.B1(n_888),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[57] [4]),
+	.C1(n_13627),
+	.Y(n_13963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782975 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[51] [5]),
+	.B1(n_888),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[59] [5]),
+	.C1(n_13626),
+	.Y(n_13962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g782976 (
+	.A(soc_top_u_spi_host_spi_host_divider[4]),
+	.B(soc_top_u_spi_host_spi_host_divider[5]),
+	.C(n_13312),
+	.D(n_13314),
+	.X(n_13961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782977 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[49] [5]),
+	.B1(n_888),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[57] [5]),
+	.C1(n_13625),
+	.Y(n_13960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782978 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[22] [5]),
+	.B1(n_888),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[30] [5]),
+	.C1(n_13624),
+	.Y(n_13959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782979 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[54] [6]),
+	.B1(n_888),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[62] [6]),
+	.C1(n_13623),
+	.Y(n_13958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782980 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[52] [6]),
+	.B1(n_888),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[60] [6]),
+	.C1(n_13622),
+	.Y(n_13957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782981 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[19] [6]),
+	.B1(n_888),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[27] [6]),
+	.C1(n_13621),
+	.Y(n_13956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782982 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[52] [7]),
+	.B1(n_888),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[60] [7]),
+	.C1(n_13620),
+	.Y(n_13955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782983 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[22] [7]),
+	.B1(n_888),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[30] [7]),
+	.C1(n_13618),
+	.Y(n_13954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782984 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[51] [7]),
+	.B1(n_888),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[59] [7]),
+	.C1(n_13616),
+	.Y(n_13953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782985 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[22] [8]),
+	.B1(n_888),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[30] [8]),
+	.C1(n_13613),
+	.Y(n_13952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782986 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[52] [8]),
+	.B1(n_888),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[60] [8]),
+	.C1(n_13611),
+	.Y(n_13951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 g782987 (
+	.A_N(n_29417),
+	.B(soc_top_u_spi_host_spi_host_ctrl[14]),
+	.C(soc_top_u_spi_host_spi_host_ctrl[12]),
+	.D(soc_top_system_rst_ni),
+	.X(n_13950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 g782988 (
+	.A_N(n_29417),
+	.B(soc_top_u_spi_host_spi_host_ctrl[15]),
+	.C(soc_top_u_spi_host_spi_host_ctrl[12]),
+	.D(soc_top_system_rst_ni),
+	.X(n_13949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782989 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[84] [1]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[212] [1]),
+	.C1(n_13597),
+	.Y(n_13948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782990 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[64] [1]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[192] [1]),
+	.C1(n_13594),
+	.Y(n_13947), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g782991 (
+	.A(n_86649),
+	.B(n_69393),
+	.Y(n_13946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782992 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[92] [1]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[220] [1]),
+	.C1(n_13593),
+	.Y(n_13945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782993 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[104] [1]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[232] [1]),
+	.C1(n_13590),
+	.Y(n_13944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782994 (
+	.A(soc_top_u_top_u_core_pc_set),
+	.B(n_13841),
+	.Y(n_29454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g782995 (
+	.A1(n_34672),
+	.A2(n_12992),
+	.B1(n_13845),
+	.Y(n_14074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g782996 (
+	.A_N(n_69574),
+	.B(\soc_top_lsu_to_xbar[a_address] [30]),
+	.C(n_13386),
+	.Y(n_29419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g782998 (
+	.A_N(n_29452),
+	.B(n_24),
+	.Y(n_29394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g783001 (
+	.A_N(soc_top_u_spi_host_spi_host_tip),
+	.B(soc_top_u_spi_host_spi_host_ctrl[13]),
+	.C(sd_oe),
+	.Y(n_14071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g783014 (
+	.A(n_69843),
+	.Y(n_13937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g783015 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [47]),
+	.A2(n_13172),
+	.B1(n_13739),
+	.Y(n_30290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783016 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[100] [6]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[228] [6]),
+	.C1(n_13548),
+	.Y(n_13935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783017 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[76] [6]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[204] [6]),
+	.C1(n_13545),
+	.Y(n_13934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783018 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[96] [6]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[224] [6]),
+	.C1(n_13544),
+	.Y(n_13933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783019 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[76] [7]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[204] [7]),
+	.C1(n_13540),
+	.Y(n_13932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783020 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[104] [7]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[232] [7]),
+	.C1(n_13538),
+	.Y(n_13931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783021 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[68] [7]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[196] [7]),
+	.C1(n_13534),
+	.Y(n_13930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783022 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[64] [7]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[192] [7]),
+	.C1(n_13532),
+	.Y(n_13929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783023 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[104] [8]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[232] [8]),
+	.C1(n_13531),
+	.Y(n_13928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783024 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[96] [8]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[224] [8]),
+	.C1(n_13529),
+	.Y(n_13927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g783025 (
+	.A1(n_29754),
+	.A2(soc_top_u_top_u_core_alu_adder_result_ex[1]),
+	.B1(soc_top_u_top_data_we),
+	.Y(n_13926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783026 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[76] [8]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[204] [8]),
+	.C1(n_13526),
+	.Y(n_13925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g783027 (
+	.A1(n_13459),
+	.A2(n_29451),
+	.B1(soc_top_u_top_data_we),
+	.Y(n_13924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783028 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[100] [8]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[228] [8]),
+	.C1(n_13525),
+	.Y(n_13923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783029 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[109] [1]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[237] [1]),
+	.C1(n_13523),
+	.Y(n_13922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783030 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[105] [1]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[233] [1]),
+	.C1(n_13521),
+	.Y(n_13921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783031 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[101] [1]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[229] [1]),
+	.C1(n_13519),
+	.Y(n_13920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783032 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[81] [1]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[209] [1]),
+	.C1(n_13517),
+	.Y(n_13919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783033 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[85] [2]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[213] [2]),
+	.C1(n_13514),
+	.Y(n_13918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783034 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[97] [2]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[225] [2]),
+	.C1(n_13512),
+	.Y(n_13917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783035 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[105] [2]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[233] [2]),
+	.C1(n_13510),
+	.Y(n_13916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783036 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[109] [2]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[237] [2]),
+	.C1(n_13506),
+	.Y(n_13915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783037 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[77] [3]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[205] [3]),
+	.C1(n_13504),
+	.Y(n_13914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783038 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[101] [3]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[229] [3]),
+	.C1(n_13503),
+	.Y(n_13913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783039 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[73] [3]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[201] [3]),
+	.C1(n_13499),
+	.Y(n_13912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783040 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[97] [3]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[225] [3]),
+	.C1(n_13498),
+	.Y(n_13911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783041 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[85] [4]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[213] [4]),
+	.C1(n_13496),
+	.Y(n_13910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783042 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[97] [4]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[225] [4]),
+	.C1(n_13494),
+	.Y(n_13909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783043 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[93] [4]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[221] [4]),
+	.C1(n_13492),
+	.Y(n_13908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783044 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[121] [4]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[249] [4]),
+	.C1(n_13490),
+	.Y(n_13907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783045 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[109] [5]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[237] [5]),
+	.C1(n_13488),
+	.Y(n_13906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783046 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[85] [5]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[213] [5]),
+	.C1(n_13486),
+	.Y(n_13905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783047 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[105] [5]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[233] [5]),
+	.C1(n_13484),
+	.Y(n_13904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783048 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[97] [5]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[225] [5]),
+	.C1(n_13481),
+	.Y(n_13903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783049 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[93] [6]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[221] [6]),
+	.C1(n_13478),
+	.Y(n_13902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783050 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[89] [6]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[217] [6]),
+	.C1(n_13476),
+	.Y(n_13901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783051 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[85] [6]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[213] [6]),
+	.C1(n_13474),
+	.Y(n_13900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g783052 (
+	.A(n_24),
+	.B(n_29737),
+	.C(n_29577),
+	.D(n_29916),
+	.Y(soc_top_u_spi_host_spi_host_n_189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783053 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[97] [6]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[225] [6]),
+	.C1(n_13472),
+	.Y(n_13899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783054 (
+	.A1(n_323),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[54] [3]),
+	.B1(n_888),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[62] [3]),
+	.C1(n_13634),
+	.Y(n_13898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783055 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[93] [7]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[221] [7]),
+	.C1(n_13470),
+	.Y(n_13897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783057 (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[49] [1]),
+	.A2(n_323),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[57] [1]),
+	.B2(n_888),
+	.C1(n_311),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[41] [1]),
+	.Y(n_13895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783058 (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[6] [1]),
+	.A2(n_13289),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[14] [1]),
+	.B2(n_311),
+	.C1(n_888),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[30] [1]),
+	.Y(n_13894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783059 (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[38] [1]),
+	.A2(n_13289),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[46] [1]),
+	.B2(n_311),
+	.C1(n_888),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[62] [1]),
+	.Y(n_13893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783060 (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[4] [1]),
+	.A2(n_13289),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[12] [1]),
+	.B2(n_311),
+	.C1(n_888),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[28] [1]),
+	.Y(n_13892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783061 (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[33] [2]),
+	.A2(n_13289),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[41] [2]),
+	.B2(n_311),
+	.C1(n_888),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[57] [2]),
+	.Y(n_13891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783062 (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[4] [2]),
+	.A2(n_13289),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[12] [2]),
+	.B2(n_311),
+	.C1(n_888),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[28] [2]),
+	.Y(n_13890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783063 (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[35] [3]),
+	.A2(n_13289),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[43] [3]),
+	.B2(n_311),
+	.C1(n_888),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[59] [3]),
+	.Y(n_13889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783064 (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[33] [3]),
+	.A2(n_13289),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[41] [3]),
+	.B2(n_311),
+	.C1(n_888),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[57] [3]),
+	.Y(n_13888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783065 (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[22] [3]),
+	.A2(n_323),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[30] [3]),
+	.B2(n_888),
+	.C1(n_311),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[14] [3]),
+	.Y(n_13887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783066 (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[20] [3]),
+	.A2(n_323),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[28] [3]),
+	.B2(n_888),
+	.C1(n_311),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[12] [3]),
+	.Y(n_13886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783067 (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[35] [4]),
+	.A2(n_13289),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[43] [4]),
+	.B2(n_311),
+	.C1(n_888),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[59] [4]),
+	.Y(n_13885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783068 (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[52] [4]),
+	.A2(n_323),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[60] [4]),
+	.B2(n_888),
+	.C1(n_311),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[44] [4]),
+	.Y(n_13884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783069 (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[4] [4]),
+	.A2(n_13289),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[12] [4]),
+	.B2(n_311),
+	.C1(n_888),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[28] [4]),
+	.Y(n_13883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783070 (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[38] [5]),
+	.A2(n_13289),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[46] [5]),
+	.B2(n_311),
+	.C1(n_888),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[62] [5]),
+	.Y(n_13882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783071 (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[52] [5]),
+	.A2(n_323),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[60] [5]),
+	.B2(n_888),
+	.C1(n_311),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[44] [5]),
+	.Y(n_13881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783072 (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[19] [5]),
+	.A2(n_323),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[27] [5]),
+	.B2(n_888),
+	.C1(n_311),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[11] [5]),
+	.Y(n_13880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783073 (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[4] [5]),
+	.A2(n_13289),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[12] [5]),
+	.B2(n_311),
+	.C1(n_888),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[28] [5]),
+	.Y(n_13879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783074 (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[35] [6]),
+	.A2(n_13289),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[43] [6]),
+	.B2(n_311),
+	.C1(n_888),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[59] [6]),
+	.Y(n_13878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783075 (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[49] [6]),
+	.A2(n_323),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[57] [6]),
+	.B2(n_888),
+	.C1(n_311),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[41] [6]),
+	.Y(n_13877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783076 (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[22] [6]),
+	.A2(n_323),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[30] [6]),
+	.B2(n_888),
+	.C1(n_311),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[14] [6]),
+	.Y(n_13876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783077 (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[20] [6]),
+	.A2(n_323),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[28] [6]),
+	.B2(n_888),
+	.C1(n_311),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[12] [6]),
+	.Y(n_13875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783078 (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[38] [7]),
+	.A2(n_13289),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[46] [7]),
+	.B2(n_311),
+	.C1(n_888),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[62] [7]),
+	.Y(n_13874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783079 (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[20] [7]),
+	.A2(n_323),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[28] [7]),
+	.B2(n_888),
+	.C1(n_311),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[12] [7]),
+	.Y(n_13873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783080 (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[38] [8]),
+	.A2(n_13289),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[46] [8]),
+	.B2(n_311),
+	.C1(n_888),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[62] [8]),
+	.Y(n_13872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783081 (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[4] [8]),
+	.A2(n_13289),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[12] [8]),
+	.B2(n_311),
+	.C1(n_888),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[28] [8]),
+	.Y(n_13871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g783082 (
+	.A0(n_13467),
+	.A1(n_13466),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[2]),
+	.X(n_30036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g783083 (
+	.A0(gpio_o[30]),
+	.A1(sd_o),
+	.S(sd_oe),
+	.X(io_out[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g783084 (
+	.A_N(soc_top_iccm_adapter_rvalid),
+	.B(soc_top_iccm_adapter_inst_mem_u_rspfifo_n_108),
+	.C(n_29711),
+	.X(n_13870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g783085 (
+	.A(n_29483),
+	.B(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.C(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [1]),
+	.Y(n_13869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g783087 (
+	.A1(n_13423),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[0] [8]),
+	.B1(n_13421),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[48] [8]),
+	.Y(n_13867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g783088 (
+	.A1(n_13427),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[68] [5]),
+	.B1(n_13420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[180] [5]),
+	.Y(n_13866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783089 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[104] [6]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[232] [6]),
+	.C1(n_13552),
+	.Y(n_13865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g783090 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [30]),
+	.A2(n_13172),
+	.B1(n_13363),
+	.C1(n_13362),
+	.Y(n_30273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_2 g783091 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [29]),
+	.A2(n_13172),
+	.B1(n_13359),
+	.C1(n_13360),
+	.Y(n_30272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g783092 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [46]),
+	.A2(n_13172),
+	.B1(n_13720),
+	.Y(n_30289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g783093 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [45]),
+	.A2(n_13172),
+	.B1(n_13807),
+	.Y(n_30288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g783094 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [44]),
+	.A2(n_13172),
+	.B1(n_13682),
+	.Y(n_30287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g783095 (
+	.A1(n_13173),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [43]),
+	.B1(n_13196),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [45]),
+	.C1(n_13357),
+	.X(n_30286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g783096 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [42]),
+	.A2(n_13172),
+	.B1(n_13635),
+	.Y(n_30285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g783097 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [41]),
+	.A2(n_13172),
+	.B1(n_13615),
+	.Y(n_30284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g783098 (
+	.A1(n_13173),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [40]),
+	.B1(n_13609),
+	.X(n_30283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2111o_1 g783099 (
+	.A1(n_34508),
+	.A2(soc_top_u_top_u_core_load_store_unit_i_n_861),
+	.B1(n_69314),
+	.C1(n_13188),
+	.D1(n_13385),
+	.X(n_13864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g783100 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [39]),
+	.A2(n_13172),
+	.B1(n_13598),
+	.Y(n_30282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g783101 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [38]),
+	.A2(n_13172),
+	.B1(n_13581),
+	.Y(n_30281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g783102 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [37]),
+	.A2(n_13172),
+	.B1(n_13557),
+	.Y(n_30280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g783103 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [51]),
+	.A2(n_13172),
+	.B1(n_13584),
+	.Y(n_30294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g783104 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [36]),
+	.A2(n_13172),
+	.B1(n_13549),
+	.Y(n_30279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g783105 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [50]),
+	.A2(n_13172),
+	.B1(n_13539),
+	.Y(n_30293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g783106 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [35]),
+	.A2(n_13172),
+	.B1(n_13373),
+	.C1(n_13371),
+	.Y(n_30278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g783107 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [49]),
+	.A2(n_13172),
+	.B1(n_13508),
+	.Y(n_30292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g783109 (
+	.A(n_76153),
+	.B(n_13375),
+	.Y(n_30276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g783110 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [48]),
+	.A2(n_13172),
+	.B1(n_13482),
+	.Y(n_30291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783111 (
+	.A(n_13480),
+	.B(n_13378),
+	.Y(n_30275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g783112 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [31]),
+	.A2(n_13172),
+	.B1(n_13365),
+	.C1(n_13379),
+	.Y(n_30274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g783113 (
+	.A1(n_13422),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[114] [3]),
+	.B1(FE_DBTN110_n_13396),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[242] [3]),
+	.Y(n_13863), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783114 (
+	.A1(n_13448),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[0] [1]),
+	.B1(n_311),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[8] [1]),
+	.X(n_13862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783115 (
+	.A1(n_13448),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[0] [2]),
+	.B1(n_311),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[8] [2]),
+	.X(n_13861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783116 (
+	.A1(n_13448),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[0] [3]),
+	.B1(n_311),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[8] [3]),
+	.X(n_13860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783117 (
+	.A1(n_13448),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[0] [4]),
+	.B1(n_311),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[8] [4]),
+	.X(n_13859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783118 (
+	.A1(n_13448),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[0] [5]),
+	.B1(n_311),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[8] [5]),
+	.X(n_13858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783119 (
+	.A1(n_13448),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[0] [6]),
+	.B1(n_311),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[8] [6]),
+	.X(n_13857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783120 (
+	.A1(n_13448),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[0] [7]),
+	.B1(n_311),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[8] [7]),
+	.X(n_13856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783121 (
+	.A1(n_13448),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[0] [8]),
+	.B1(n_311),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[8] [8]),
+	.X(n_13855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 g783122 (
+	.A1_N(n_29699),
+	.A2_N(n_29769),
+	.B1(n_12980),
+	.B2(n_29767),
+	.X(n_31545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g783123 (
+	.A1(n_13188),
+	.A2(n_13212),
+	.B1(n_13790),
+	.Y(n_29411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g783124 (
+	.A(\soc_top_timer_to_xbar[d_valid] ),
+	.B(n_29402),
+	.C(n_29421),
+	.D(n_72033),
+	.X(n_29416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g783126 (
+	.A(n_13768),
+	.B(soc_top_u_top_data_we),
+	.C(n_29754),
+	.Y(\soc_top_xbar_to_timer[a_mask] [3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783127 (
+	.A1_N(n_13202),
+	.A2_N(n_74746),
+	.B1(n_29759),
+	.B2(n_74746),
+	.Y(n_13941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g783129 (
+	.A0(n_72885),
+	.A1(n_68923),
+	.S(n_93140),
+	.X(\soc_top_xbar_to_timer[a_data] [30]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g783130 (
+	.A0(n_13344),
+	.A1(n_74353),
+	.S(n_93140),
+	.X(\soc_top_xbar_to_timer[a_data] [29]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g783131 (
+	.A0(n_13349),
+	.A1(n_71566),
+	.S(n_93140),
+	.X(\soc_top_xbar_to_timer[a_data] [28]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g783132 (
+	.A0(n_13342),
+	.A1(n_13318),
+	.S(n_93140),
+	.X(\soc_top_xbar_to_timer[a_data] [27]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g783138 (
+	.A0(n_74695),
+	.A1(n_13344),
+	.S(n_93140),
+	.X(\soc_top_xbar_to_timer[a_data] [21]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g783139 (
+	.A0(n_72575),
+	.A1(n_13349),
+	.S(n_93140),
+	.X(\soc_top_xbar_to_timer[a_data] [20]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g783145 (
+	.A0(n_13327),
+	.A1(n_72884),
+	.S(n_93140),
+	.X(\soc_top_xbar_to_timer[a_data] [14]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4b_2 g783162 (
+	.A(\soc_top_xbar_to_timer[a_address] [6]),
+	.B(n_571),
+	.C(n_29577),
+	.D_N(n_69927),
+	.X(n_13936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g783163 (
+	.A(n_76154),
+	.Y(n_13854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g783166 (
+	.A(n_13850),
+	.Y(n_29399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g783167 (
+	.A(n_29484),
+	.Y(n_13849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g783170 (
+	.A(n_13845),
+	.Y(n_13844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g783171 (
+	.A(n_13843),
+	.Y(n_13842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783173 (
+	.A(n_13422),
+	.B(\soc_top_u_uart_u_uart_core_read_fifo_buffer[116] [5]),
+	.Y(n_13840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g783267 (
+	.A(sd_oe),
+	.B(soc_top_GPIO_cio_gpio_en_q[19]),
+	.Y(io_oeb[27]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783269 (
+	.A(n_13421),
+	.B(\soc_top_u_uart_u_uart_core_read_fifo_buffer[50] [3]),
+	.Y(n_13838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783270 (
+	.A(n_13436),
+	.B(\soc_top_u_uart_u_uart_core_read_fifo_buffer[18] [3]),
+	.Y(n_13837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783271 (
+	.A(gpio_o[20]),
+	.B(n_13445),
+	.Y(n_13836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783273 (
+	.A(gpio_o[19]),
+	.B(n_13445),
+	.Y(n_13834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783274 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[31] [1]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[159] [1]),
+	.X(n_13833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g783275 (
+	.A(sd_oe),
+	.B(soc_top_GPIO_cio_gpio_en_q[31]),
+	.Y(io_oeb[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g783276 (
+	.A(sd_oe),
+	.B(soc_top_GPIO_cio_gpio_en_q[30]),
+	.Y(io_oeb[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783277 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[82] [8]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[210] [8]),
+	.X(n_13832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783278 (
+	.A(gpio_o[31]),
+	.B(n_13445),
+	.Y(n_13831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783279 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[34] [8]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[162] [8]),
+	.X(n_13830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783280 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[102] [8]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[230] [8]),
+	.X(n_13829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783281 (
+	.A(n_31621),
+	.B(n_13449),
+	.Y(n_13828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783300 (
+	.A(gpio_o[21]),
+	.B(n_13445),
+	.Y(n_13809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783301 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[22] [8]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[150] [8]),
+	.X(n_13808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g783302 (
+	.A1(n_13196),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [47]),
+	.B1(n_13173),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [45]),
+	.Y(n_13807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783303 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[106] [8]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[234] [8]),
+	.X(n_13806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783304 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[26] [8]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[154] [8]),
+	.X(n_13805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783306 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[110] [8]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[238] [8]),
+	.X(n_13804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783307 (
+	.A(n_13423),
+	.B(\soc_top_u_uart_u_uart_core_read_fifo_buffer[4] [5]),
+	.Y(n_13803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783308 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[30] [8]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[158] [8]),
+	.X(n_13802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783309 (
+	.A(n_13427),
+	.B(\soc_top_u_uart_u_uart_core_read_fifo_buffer[64] [8]),
+	.Y(n_13801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783310 (
+	.A(n_13422),
+	.B(\soc_top_u_uart_u_uart_core_read_fifo_buffer[112] [8]),
+	.Y(n_13800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783311 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[18] [7]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[146] [7]),
+	.X(n_13799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783312 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[34] [7]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[162] [7]),
+	.X(n_13798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g783313 (
+	.A(sd_oe),
+	.B(soc_top_GPIO_cio_gpio_en_q[21]),
+	.Y(io_oeb[29]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g783314 (
+	.A(sd_oe),
+	.B(soc_top_GPIO_cio_gpio_en_q[20]),
+	.Y(io_oeb[28]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783316 (
+	.A1(n_76163),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [25]),
+	.B1(n_13170),
+	.B2(n_29909),
+	.X(n_30266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783317 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[22] [7]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[150] [7]),
+	.X(n_13796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783318 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[38] [7]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[166] [7]),
+	.X(n_13795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g783319 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.B(n_13310),
+	.Y(n_30295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g783320 (
+	.A(n_12103),
+	.B(n_13309),
+	.Y(n_30298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g783321 (
+	.A1(n_13104),
+	.A2(n_13108),
+	.B1(n_12103),
+	.Y(n_30240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783322 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[74] [7]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[202] [7]),
+	.X(n_13794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g783323 (
+	.A1(n_559),
+	.A2(soc_top_u_top_u_core_is_fp_instr),
+	.B1_N(n_29782),
+	.Y(n_13793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783324 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[42] [7]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[170] [7]),
+	.X(n_13792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783325 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[14] [7]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[142] [7]),
+	.X(n_13791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g783326 (
+	.A1(n_13176),
+	.A2(soc_top_u_top_u_core_lsu_type[0]),
+	.B1(n_13461),
+	.Y(n_13790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g783328 (
+	.A1(n_61499),
+	.A2(n_40449),
+	.B1(n_13465),
+	.X(n_13788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783329 (
+	.A(n_13172),
+	.B(n_13358),
+	.Y(n_30271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g783331 (
+	.A1(n_30040),
+	.A2(n_29507),
+	.B1(soc_top_u_top_u_core_fp_flush),
+	.Y(n_13786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g783333 (
+	.A1(n_13307),
+	.A2(n_90504),
+	.B1(n_58890),
+	.Y(n_13784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783336 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[30] [7]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[158] [7]),
+	.X(n_13781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783337 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[34] [6]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[162] [6]),
+	.X(n_13780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783338 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [41]),
+	.A2(n_12311),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [29]),
+	.B2(n_12943),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [30]),
+	.C2(n_12250),
+	.Y(n_13779), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783339 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [47]),
+	.A2(n_12931),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [35]),
+	.B2(n_12248),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [36]),
+	.C2(n_12312),
+	.Y(n_13778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783340 (
+	.A1(n_12248),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [36]),
+	.B1(n_12246),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [43]),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [37]),
+	.C2(n_12312),
+	.Y(n_13777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 g783342 (
+	.A1(n_15977),
+	.A2(n_12960),
+	.B1(n_29362),
+	.B2(n_12945),
+	.C1(n_13276),
+	.X(n_13775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783345 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[18] [6]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[146] [6]),
+	.X(n_13772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g783346 (
+	.A(soc_top_u_uart_u_uart_core_read_fifo_raddr[3]),
+	.B(soc_top_u_uart_u_uart_core_read_fifo_raddr[2]),
+	.C(n_13424),
+	.X(n_13771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783347 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[42] [6]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[170] [6]),
+	.X(n_13770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g783348 (
+	.A1(soc_top_u_pwm_pwm_core_ctrl_2[2]),
+	.A2(pwm2_oe),
+	.A3(soc_top_u_pwm_pwm_core_pts_2),
+	.B1(gpio_o[26]),
+	.B2(n_12991),
+	.X(io_out[34]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g783349 (
+	.A1(soc_top_u_pwm_pwm_core_ctrl[2]),
+	.A2(pwm1_oe),
+	.A3(soc_top_u_pwm_pwm_core_pts),
+	.B1(gpio_o[25]),
+	.B2(n_12990),
+	.X(io_out[33]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g783350 (
+	.A1(n_13212),
+	.A2(n_29384),
+	.B1(n_93140),
+	.Y(n_13769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g783351 (
+	.A1(n_13202),
+	.A2(n_13188),
+	.B1(soc_top_u_top_u_core_alu_adder_result_ex[1]),
+	.Y(n_13768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783352 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[37] [7]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[165] [7]),
+	.X(n_13767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783353 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[21] [7]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[149] [7]),
+	.X(n_13766), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783354 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[41] [7]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[169] [7]),
+	.X(n_13765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783355 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[25] [7]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[153] [7]),
+	.X(n_13764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783356 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[17] [7]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[145] [7]),
+	.X(n_13763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783357 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[33] [7]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[161] [7]),
+	.X(n_13762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783358 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[41] [8]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[169] [8]),
+	.X(n_13761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783359 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[25] [8]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[153] [8]),
+	.X(n_13760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783360 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[37] [8]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[165] [8]),
+	.X(n_13759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783361 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[21] [8]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[149] [8]),
+	.X(n_13758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783362 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[29] [8]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[157] [8]),
+	.X(n_13757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783363 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[45] [8]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[173] [8]),
+	.X(n_13756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783364 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[33] [8]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[161] [8]),
+	.X(n_13755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783365 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[17] [8]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[145] [8]),
+	.X(n_13754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783366 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[26] [1]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[154] [1]),
+	.X(n_13753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783367 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[10] [1]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[138] [1]),
+	.X(n_13752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783368 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[18] [1]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[146] [1]),
+	.X(n_13751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783369 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[34] [1]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[162] [1]),
+	.X(n_13750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783370 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[30] [1]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[158] [1]),
+	.X(n_13749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783371 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[110] [1]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[238] [1]),
+	.X(n_13748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783372 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[38] [1]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[166] [1]),
+	.X(n_13747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783373 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[86] [1]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[214] [1]),
+	.X(n_13746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783374 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[38] [2]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[166] [2]),
+	.X(n_13745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783375 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[6] [2]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[134] [2]),
+	.X(n_13744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783376 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[18] [2]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[146] [2]),
+	.X(n_13743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783377 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[34] [2]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[162] [2]),
+	.X(n_13742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783378 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[46] [2]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[174] [2]),
+	.X(n_13741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783379 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[30] [2]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[158] [2]),
+	.X(n_13740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g783380 (
+	.A1(n_13302),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [49]),
+	.B1(n_13173),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [47]),
+	.Y(n_13739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783381 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[26] [2]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[154] [2]),
+	.X(n_13738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783382 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[106] [2]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[234] [2]),
+	.X(n_13737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783383 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[46] [3]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[174] [3]),
+	.X(n_13736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783384 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[30] [3]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[158] [3]),
+	.X(n_13735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783385 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[34] [3]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[162] [3]),
+	.X(n_13734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783386 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[2] [3]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[130] [3]),
+	.X(n_13733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783387 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[38] [3]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[166] [3]),
+	.X(n_13732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783388 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[6] [3]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[134] [3]),
+	.X(n_13731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783389 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[106] [3]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[234] [3]),
+	.X(n_13730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783390 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[10] [3]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[138] [3]),
+	.X(n_13729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783391 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[30] [4]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[158] [4]),
+	.X(n_13728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783392 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[110] [4]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[238] [4]),
+	.X(n_13727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783393 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[42] [4]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[170] [4]),
+	.X(n_13726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783394 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[26] [4]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[154] [4]),
+	.X(n_13725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783395 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[38] [4]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[166] [4]),
+	.X(n_13724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783396 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[86] [4]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[214] [4]),
+	.X(n_13723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783397 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[18] [4]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[146] [4]),
+	.X(n_13722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783398 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[34] [4]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[162] [4]),
+	.X(n_13721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g783399 (
+	.A1(n_13302),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [48]),
+	.B1(n_13173),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [46]),
+	.Y(n_13720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783400 (
+	.A1(n_76162),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [21]),
+	.B1(n_13170),
+	.B2(n_29905),
+	.X(n_30262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783401 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[30] [5]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[158] [5]),
+	.X(n_13719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783402 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[110] [5]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[238] [5]),
+	.X(n_13718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783403 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[38] [5]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[166] [5]),
+	.X(n_13717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783404 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[86] [5]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[214] [5]),
+	.X(n_13716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783405 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[42] [5]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[170] [5]),
+	.X(n_13715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783406 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[90] [5]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[218] [5]),
+	.X(n_13714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783407 (
+	.A1(n_76163),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [26]),
+	.B1(n_13170),
+	.B2(n_29910),
+	.X(n_30267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783408 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[18] [5]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[146] [5]),
+	.X(n_13713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783409 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[98] [5]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[226] [5]),
+	.X(n_13712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783410 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[46] [6]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[174] [6]),
+	.X(n_13711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783411 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[30] [6]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[158] [6]),
+	.X(n_13710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783412 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[22] [6]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[150] [6]),
+	.X(n_13709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783413 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[38] [6]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[166] [6]),
+	.X(n_13708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783414 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[26] [6]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[154] [6]),
+	.X(n_13707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783416 (
+	.A(n_84010),
+	.B(n_13351),
+	.Y(soc_top_dccm_adapter_data_csbD), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g783418 (
+	.A(\soc_top_uart_to_xbar[d_valid] ),
+	.B(n_29395),
+	.X(n_29452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_8 g783419 (
+	.A(n_29444),
+	.B(soc_top_u_top_u_core_pc_set),
+	.Y(n_13850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g783420 (
+	.A(soc_top_iccm_adapter_inst_mem_u_reqfifo_n_68),
+	.B(n_29457),
+	.X(n_29422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783423 (
+	.A(n_84010),
+	.B(soc_top_u_top_data_we),
+	.Y(soc_top_dccm_adapter_data_weD), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g783425 (
+	.A(\soc_top_spi_to_xbar[d_valid] ),
+	.B(n_69314),
+	.C(n_68750),
+	.X(n_29916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g783426 (
+	.A_N(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(soc_top_iccm_adapter_inst_mem_u_sramreqfifo_n_52),
+	.Y(n_29484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783427 (
+	.A(soc_top_iccm_adapter_inst_mem_u_sramreqfifo_n_52),
+	.B(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Y(n_29483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783428 (
+	.A(soc_top_iccm_adapter_inst_mem_u_reqfifo_n_68),
+	.B(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Y(n_29482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g783429 (
+	.A_N(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(soc_top_iccm_adapter_inst_mem_u_reqfifo_n_68),
+	.Y(n_29487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g783430 (
+	.A(n_87514),
+	.B(n_13462),
+	.Y(n_13847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g783431 (
+	.A_N(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(soc_top_iccm_adapter_inst_mem_u_rspfifo_n_108),
+	.Y(n_29474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783432 (
+	.A(soc_top_iccm_adapter_inst_mem_u_rspfifo_n_108),
+	.B(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Y(n_29472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g783434 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[2]),
+	.A2(n_13213),
+	.B1_N(n_34672),
+	.Y(n_13845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g783435 (
+	.A(n_13464),
+	.B(n_46205),
+	.Y(n_13843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g783436 (
+	.A(n_13394),
+	.B(soc_top_u_top_u_core_pc_mux_id[1]),
+	.X(n_13841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783437 (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [7]),
+	.A2_N(n_13191),
+	.B1(n_13029),
+	.B2(n_13171),
+	.Y(n_30248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783438 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[43] [1]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[171] [1]),
+	.X(n_13706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783439 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[27] [1]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[155] [1]),
+	.X(n_13705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783440 (
+	.A1(n_76163),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [24]),
+	.B1(n_13170),
+	.B2(n_29908),
+	.X(n_30265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783441 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[23] [1]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[151] [1]),
+	.X(n_13704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783442 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[39] [1]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[167] [1]),
+	.X(n_13703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783443 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[35] [1]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[163] [1]),
+	.X(n_13702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783444 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[83] [1]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[211] [1]),
+	.X(n_13701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783445 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[31] [2]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[159] [2]),
+	.X(n_13700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783446 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[47] [2]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[175] [2]),
+	.X(n_13699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783447 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[23] [2]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[151] [2]),
+	.X(n_13698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783448 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[39] [2]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[167] [2]),
+	.X(n_13697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783449 (
+	.A1(n_76162),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [23]),
+	.B1(n_13170),
+	.B2(n_29907),
+	.X(n_30264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783450 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[43] [2]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[171] [2]),
+	.X(n_13696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783451 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[27] [2]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[155] [2]),
+	.X(n_13695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783452 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[19] [2]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[147] [2]),
+	.X(n_13694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783453 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[35] [2]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[163] [2]),
+	.X(n_13693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783454 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[47] [3]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[175] [3]),
+	.X(n_13692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783455 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[95] [3]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[223] [3]),
+	.X(n_13691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783456 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[43] [3]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[171] [3]),
+	.X(n_13690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783457 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[91] [3]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[219] [3]),
+	.X(n_13689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783458 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[23] [3]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[151] [3]),
+	.X(n_13688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783459 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[103] [3]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[231] [3]),
+	.X(n_13687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783460 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[35] [3]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[163] [3]),
+	.X(n_13686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783461 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[83] [3]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[211] [3]),
+	.X(n_13685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783462 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[43] [4]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[171] [4]),
+	.X(n_13684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783463 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[11] [4]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[139] [4]),
+	.X(n_13683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g783464 (
+	.A1(n_13196),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [46]),
+	.B1(n_13173),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [44]),
+	.Y(n_13682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783465 (
+	.A1(n_76162),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [22]),
+	.B1(n_13170),
+	.B2(n_29906),
+	.X(n_30263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783466 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[39] [4]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[167] [4]),
+	.X(n_13681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783467 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[71] [4]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[199] [4]),
+	.X(n_13680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783468 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[47] [4]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[175] [4]),
+	.X(n_13679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783469 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[15] [4]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[143] [4]),
+	.X(n_13678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783470 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[99] [4]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[227] [4]),
+	.X(n_13677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783471 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[3] [4]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[131] [4]),
+	.X(n_13676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783472 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[107] [5]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[235] [5]),
+	.X(n_13675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783473 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[11] [5]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[139] [5]),
+	.X(n_13674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783474 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[47] [5]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[175] [5]),
+	.X(n_13673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783475 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[31] [5]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[159] [5]),
+	.X(n_13672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783476 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[39] [5]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[167] [5]),
+	.X(n_13671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783477 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[87] [5]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[215] [5]),
+	.X(n_13670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783478 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[19] [5]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[147] [5]),
+	.X(n_13669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783479 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[99] [5]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[227] [5]),
+	.X(n_13668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783480 (
+	.A1(n_87088),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [20]),
+	.B1(n_13170),
+	.B2(n_29904),
+	.X(n_30261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783481 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[31] [6]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[159] [6]),
+	.X(n_13667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783482 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[111] [6]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[239] [6]),
+	.X(n_13666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783483 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[39] [6]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[167] [6]),
+	.X(n_13665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783484 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[23] [6]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[151] [6]),
+	.X(n_13664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783485 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[27] [6]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[155] [6]),
+	.X(n_13663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783486 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[43] [6]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[171] [6]),
+	.X(n_13662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783487 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[35] [6]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[163] [6]),
+	.X(n_13661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783488 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[19] [6]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[147] [6]),
+	.X(n_13660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783489 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[31] [7]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[159] [7]),
+	.X(n_13659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783490 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[15] [7]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[143] [7]),
+	.X(n_13658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783491 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[43] [7]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[171] [7]),
+	.X(n_13657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783492 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[27] [7]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[155] [7]),
+	.X(n_13656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783493 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[39] [7]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[167] [7]),
+	.X(n_13655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783494 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[87] [7]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[215] [7]),
+	.X(n_13654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783495 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[19] [7]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[147] [7]),
+	.X(n_13653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783496 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[99] [7]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[227] [7]),
+	.X(n_13652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783497 (
+	.A1(n_87088),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [19]),
+	.B1(n_13170),
+	.B2(n_29903),
+	.X(n_30260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783498 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[27] [8]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[155] [8]),
+	.X(n_13651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783499 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[107] [8]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[235] [8]),
+	.X(n_13650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783500 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[39] [8]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[167] [8]),
+	.X(n_13649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783501 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[87] [8]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[215] [8]),
+	.X(n_13648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783502 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[31] [8]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[159] [8]),
+	.X(n_13647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783503 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[111] [8]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[239] [8]),
+	.X(n_13646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783504 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[35] [8]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[163] [8]),
+	.X(n_13645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783505 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[19] [8]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[147] [8]),
+	.X(n_13644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783506 (
+	.A1(n_87088),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [18]),
+	.B1(n_13170),
+	.B2(n_29902),
+	.X(n_30259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783507 (
+	.A1(n_13289),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[36] [1]),
+	.B1(n_311),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[44] [1]),
+	.X(n_13643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783508 (
+	.A1(n_13289),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[3] [1]),
+	.B1(n_311),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[11] [1]),
+	.X(n_13642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783509 (
+	.A1(n_13289),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[35] [1]),
+	.B1(n_311),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[43] [1]),
+	.X(n_13641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783510 (
+	.A1(n_13289),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[35] [2]),
+	.B1(n_311),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[43] [2]),
+	.X(n_13640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783511 (
+	.A1(n_13289),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[38] [2]),
+	.B1(n_311),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[46] [2]),
+	.X(n_13639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783512 (
+	.A1(n_13193),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [17]),
+	.B1(n_13170),
+	.B2(n_29901),
+	.X(n_30258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783513 (
+	.A1(n_13289),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[6] [2]),
+	.B1(n_311),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[14] [2]),
+	.X(n_13638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783514 (
+	.A1(n_13289),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[3] [2]),
+	.B1(n_311),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[11] [2]),
+	.X(n_13637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783515 (
+	.A1(n_13289),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[36] [2]),
+	.B1(n_311),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[44] [2]),
+	.X(n_13636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g783516 (
+	.A1(n_13293),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [44]),
+	.B1(n_13173),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [42]),
+	.Y(n_13635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783517 (
+	.A1(n_13289),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[38] [3]),
+	.B1(n_311),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[46] [3]),
+	.X(n_13634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783518 (
+	.A1(n_13289),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[36] [3]),
+	.B1(n_311),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[44] [3]),
+	.X(n_13633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783519 (
+	.A1(n_13193),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [16]),
+	.B1(n_13170),
+	.B2(n_29900),
+	.X(n_30257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783520 (
+	.A1(n_13289),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[3] [3]),
+	.B1(n_311),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[11] [3]),
+	.X(n_13632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783521 (
+	.A1(n_13289),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[6] [4]),
+	.B1(n_311),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[14] [4]),
+	.X(n_13631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783522 (
+	.A1(n_13289),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[3] [4]),
+	.B1(n_311),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[11] [4]),
+	.X(n_13630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783523 (
+	.A1(n_311),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[15] [4]),
+	.B1(n_888),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[31] [4]),
+	.X(n_13629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783524 (
+	.A1(n_13193),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [15]),
+	.B1(n_13170),
+	.B2(n_29899),
+	.X(n_30256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783525 (
+	.A1(n_13289),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[38] [4]),
+	.B1(n_311),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[46] [4]),
+	.X(n_13628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783526 (
+	.A1(n_13289),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[33] [4]),
+	.B1(n_311),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[41] [4]),
+	.X(n_13627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783527 (
+	.A1(n_13289),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[35] [5]),
+	.B1(n_311),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[43] [5]),
+	.X(n_13626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783528 (
+	.A1(n_13289),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[33] [5]),
+	.B1(n_311),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[41] [5]),
+	.X(n_13625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783529 (
+	.A1(n_13289),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[6] [5]),
+	.B1(n_311),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[14] [5]),
+	.X(n_13624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783530 (
+	.A1(n_13289),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[38] [6]),
+	.B1(n_311),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[46] [6]),
+	.X(n_13623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783531 (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [14]),
+	.A2_N(n_13192),
+	.B1(n_12953),
+	.B2(n_13171),
+	.Y(n_30255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783532 (
+	.A1(n_13289),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[36] [6]),
+	.B1(n_311),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[44] [6]),
+	.X(n_13622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783533 (
+	.A1(n_13289),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[3] [6]),
+	.B1(n_311),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[11] [6]),
+	.X(n_13621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783534 (
+	.A1(n_13289),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[36] [7]),
+	.B1(n_311),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[44] [7]),
+	.X(n_13620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783535 (
+	.A1(n_13289),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[33] [7]),
+	.B1(n_311),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[41] [7]),
+	.X(n_13619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783536 (
+	.A1(n_13289),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[6] [7]),
+	.B1(n_311),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[14] [7]),
+	.X(n_13618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783537 (
+	.A1(n_13289),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[3] [7]),
+	.B1(n_311),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[11] [7]),
+	.X(n_13617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783538 (
+	.A1(n_13192),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [13]),
+	.B1(n_13170),
+	.B2(n_29897),
+	.X(n_30254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783539 (
+	.A1(n_13289),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[35] [7]),
+	.B1(n_311),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[43] [7]),
+	.X(n_13616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g783540 (
+	.A1(n_13293),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [43]),
+	.B1(n_13173),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [41]),
+	.Y(n_13615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783541 (
+	.A1(n_13289),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[35] [8]),
+	.B1(n_311),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[43] [8]),
+	.X(n_13614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783542 (
+	.A1(n_13289),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[6] [8]),
+	.B1(n_311),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[14] [8]),
+	.X(n_13613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783543 (
+	.A1(n_13289),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[3] [8]),
+	.B1(n_311),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[11] [8]),
+	.X(n_13612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783544 (
+	.A1(n_13289),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[36] [8]),
+	.B1(n_311),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[44] [8]),
+	.X(n_13611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783545 (
+	.A1(n_13289),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[33] [8]),
+	.B1(n_311),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[41] [8]),
+	.X(n_13610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783546 (
+	.A1(n_13192),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [12]),
+	.B1(n_13170),
+	.B2(n_30593),
+	.X(n_30253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783547 (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [42]),
+	.A2_N(n_13293),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [40]),
+	.B2(n_13172),
+	.Y(n_13609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783548 (
+	.A1(n_13189),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [11]),
+	.B1(n_13170),
+	.B2(n_30592),
+	.X(n_30252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g783549 (
+	.A1(n_13290),
+	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[2]),
+	.B1(n_12864),
+	.B2(soc_top_iccm_ctrl_addr_o[0]),
+	.Y(n_13608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783559 (
+	.A1(n_13189),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [10]),
+	.B1(n_13170),
+	.B2(n_30591),
+	.X(n_30251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g783560 (
+	.A1(n_13203),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [41]),
+	.B1(n_13173),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [39]),
+	.Y(n_13598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783561 (
+	.A1(n_13189),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [9]),
+	.B1(n_13170),
+	.B2(n_30590),
+	.X(n_30250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783562 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[20] [1]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[148] [1]),
+	.X(n_13597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783563 (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [8]),
+	.A2_N(n_13191),
+	.B1(n_13037),
+	.B2(n_13171),
+	.Y(n_30249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783564 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[4] [1]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[132] [1]),
+	.X(n_13596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783565 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[16] [1]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[144] [1]),
+	.X(n_13595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783566 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[0] [1]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[128] [1]),
+	.X(n_13594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783567 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[28] [1]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[156] [1]),
+	.X(n_13593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783568 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[44] [1]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[172] [1]),
+	.X(n_13592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783569 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[111] [1]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[239] [1]),
+	.X(n_13591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783570 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[40] [1]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[168] [1]),
+	.X(n_13590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783571 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[24] [1]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[152] [1]),
+	.X(n_13589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783572 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[40] [2]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[168] [2]),
+	.X(n_13588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783573 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[88] [2]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[216] [2]),
+	.X(n_13587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783574 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[20] [2]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[148] [2]),
+	.X(n_13586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783575 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[100] [2]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[228] [2]),
+	.X(n_13585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g783576 (
+	.A1(n_13170),
+	.A2(n_75584),
+	.B1(n_13353),
+	.X(n_30247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g783577 (
+	.A1(n_13194),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [53]),
+	.B1(n_13173),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [51]),
+	.Y(n_13584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783578 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[16] [2]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[144] [2]),
+	.X(n_13583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783579 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[96] [2]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[224] [2]),
+	.X(n_13582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g783580 (
+	.A1(n_13203),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [40]),
+	.B1(n_13173),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [38]),
+	.Y(n_13581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783581 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[60] [2]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[188] [2]),
+	.X(n_13580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783582 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[44] [2]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[172] [2]),
+	.X(n_13579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783583 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[16] [3]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[144] [3]),
+	.X(n_13578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783584 (
+	.A1(n_76164),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [5]),
+	.B1(n_13170),
+	.B2(n_30589),
+	.X(n_30246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783585 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[0] [3]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[128] [3]),
+	.X(n_13577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783586 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[36] [3]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[164] [3]),
+	.X(n_13576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783587 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[84] [3]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[212] [3]),
+	.X(n_13575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783588 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[44] [3]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[172] [3]),
+	.X(n_13574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783589 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[28] [3]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[156] [3]),
+	.X(n_13573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783590 (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [4]),
+	.A2_N(n_76164),
+	.B1(n_13026),
+	.B2(n_13171),
+	.Y(n_30245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783591 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[24] [3]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[152] [3]),
+	.X(n_13572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783592 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[104] [3]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[232] [3]),
+	.X(n_13571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783593 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[40] [4]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[168] [4]),
+	.X(n_13570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783594 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[8] [4]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[136] [4]),
+	.X(n_13569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783595 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[32] [4]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[160] [4]),
+	.X(n_13568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783596 (
+	.A(n_13354),
+	.B(n_13355),
+	.Y(n_30244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783597 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[16] [4]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[144] [4]),
+	.X(n_13567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783598 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[44] [4]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[172] [4]),
+	.X(n_13566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783599 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[28] [4]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[156] [4]),
+	.X(n_13565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783600 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[36] [4]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[164] [4]),
+	.X(n_13564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g783601 (
+	.A1(n_13184),
+	.A2(soc_top_u_iccm_rdata3[17]),
+	.B1(n_13185),
+	.B2(soc_top_u_iccm_rdata4[17]),
+	.Y(n_13563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783602 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[84] [4]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[212] [4]),
+	.X(n_13562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783603 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[28] [5]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[156] [5]),
+	.X(n_13561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783604 (
+	.A(n_13356),
+	.B(n_13361),
+	.Y(n_30243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783605 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[44] [5]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[172] [5]),
+	.X(n_13560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783606 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[20] [5]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[148] [5]),
+	.X(n_13559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783607 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[100] [5]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[228] [5]),
+	.X(n_13558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g783608 (
+	.A1(n_13203),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [39]),
+	.B1(n_13173),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [37]),
+	.Y(n_13557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783609 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[24] [5]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[152] [5]),
+	.X(n_13556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783610 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[72] [5]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[200] [5]),
+	.X(n_13555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783612 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[32] [5]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[160] [5]),
+	.X(n_13554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783613 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[0] [5]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[128] [5]),
+	.X(n_13553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783614 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[40] [6]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[168] [6]),
+	.X(n_13552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783615 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[8] [6]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[136] [6]),
+	.X(n_13551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g783616 (
+	.A1(n_13184),
+	.A2(soc_top_u_iccm_rdata3[16]),
+	.B1(n_13185),
+	.B2(soc_top_u_iccm_rdata4[16]),
+	.Y(n_13550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g783617 (
+	.A1(n_13204),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [38]),
+	.B1(n_13173),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [36]),
+	.Y(n_13549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783618 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[36] [6]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[164] [6]),
+	.X(n_13548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783619 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[68] [6]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[196] [6]),
+	.X(n_13547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783621 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[44] [6]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[172] [6]),
+	.X(n_13546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783622 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[12] [6]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[140] [6]),
+	.X(n_13545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783623 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[32] [6]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[160] [6]),
+	.X(n_13544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783624 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[0] [6]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[128] [6]),
+	.X(n_13543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g783625 (
+	.A1(n_13184),
+	.A2(soc_top_u_iccm_rdata3[1]),
+	.B1(n_13185),
+	.B2(soc_top_u_iccm_rdata4[1]),
+	.Y(n_13542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783626 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[108] [7]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[236] [7]),
+	.X(n_13541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783627 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[12] [7]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[140] [7]),
+	.X(n_13540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g783628 (
+	.A1(n_13194),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [52]),
+	.B1(n_13173),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [50]),
+	.Y(n_13539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783629 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[40] [7]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[168] [7]),
+	.X(n_13538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783630 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[8] [7]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[136] [7]),
+	.X(n_13537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783631 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[100] [7]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[228] [7]),
+	.X(n_13536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g783632 (
+	.A1(n_13184),
+	.A2(soc_top_u_iccm_rdata3[0]),
+	.B1(n_13185),
+	.B2(soc_top_u_iccm_rdata4[0]),
+	.Y(n_13535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783633 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[4] [7]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[132] [7]),
+	.X(n_13534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783634 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[16] [7]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[144] [7]),
+	.X(n_13533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783635 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[0] [7]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[128] [7]),
+	.X(n_13532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783636 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[40] [8]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[168] [8]),
+	.X(n_13531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783637 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[8] [8]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[136] [8]),
+	.X(n_13530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783638 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[32] [8]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[160] [8]),
+	.X(n_13529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783639 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[16] [8]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[144] [8]),
+	.X(n_13528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783640 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[108] [8]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[236] [8]),
+	.X(n_13527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783641 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[12] [8]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[140] [8]),
+	.X(n_13526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783642 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[36] [8]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[164] [8]),
+	.X(n_13525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783643 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[68] [8]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[196] [8]),
+	.X(n_13524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783644 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[45] [1]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[173] [1]),
+	.X(n_13523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783645 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[29] [1]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[157] [1]),
+	.X(n_13522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783646 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[41] [1]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[169] [1]),
+	.X(n_13521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783647 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[89] [1]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[217] [1]),
+	.X(n_13520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783648 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[37] [1]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[165] [1]),
+	.X(n_13519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783649 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[21] [1]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[149] [1]),
+	.X(n_13518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783650 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[17] [1]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[145] [1]),
+	.X(n_13517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783651 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[97] [1]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[225] [1]),
+	.X(n_13516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783653 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[21] [2]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[149] [2]),
+	.X(n_13514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783654 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[101] [2]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[229] [2]),
+	.X(n_13513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783655 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[33] [2]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[161] [2]),
+	.X(n_13512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783656 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[81] [2]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[209] [2]),
+	.X(n_13511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783657 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[41] [2]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[169] [2]),
+	.X(n_13510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783658 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[25] [2]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[153] [2]),
+	.X(n_13509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g783659 (
+	.A1(n_13194),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [51]),
+	.B1(n_13173),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [49]),
+	.Y(n_13508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783660 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[61] [2]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[189] [2]),
+	.X(n_13507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783661 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[45] [2]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[173] [2]),
+	.X(n_13506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783662 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[109] [3]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[237] [3]),
+	.X(n_13505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783663 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[13] [3]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[141] [3]),
+	.X(n_13504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783664 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[37] [3]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[165] [3]),
+	.X(n_13503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783665 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[69] [3]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[197] [3]),
+	.X(n_13502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783667 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[41] [3]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[169] [3]),
+	.X(n_13500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783668 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[9] [3]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[137] [3]),
+	.X(n_13499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783669 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[33] [3]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[161] [3]),
+	.X(n_13498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783670 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[1] [3]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[129] [3]),
+	.X(n_13497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783671 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[21] [4]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[149] [4]),
+	.X(n_13496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783672 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[101] [4]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[229] [4]),
+	.X(n_13495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783673 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[33] [4]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[161] [4]),
+	.X(n_13494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783674 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[81] [4]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[209] [4]),
+	.X(n_13493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783675 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[29] [4]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[157] [4]),
+	.X(n_13492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783676 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[109] [4]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[237] [4]),
+	.X(n_13491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783677 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[57] [4]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[185] [4]),
+	.X(n_13490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783678 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[25] [4]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[153] [4]),
+	.X(n_13489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783679 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[45] [5]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[173] [5]),
+	.X(n_13488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783680 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[29] [5]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[157] [5]),
+	.X(n_13487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783681 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[21] [5]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[149] [5]),
+	.X(n_13486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783682 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[37] [5]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[165] [5]),
+	.X(n_13485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783683 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[41] [5]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[169] [5]),
+	.X(n_13484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783684 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[89] [5]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[217] [5]),
+	.X(n_13483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g783685 (
+	.A1(n_13302),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [50]),
+	.B1(n_13173),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [48]),
+	.Y(n_13482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783686 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[33] [5]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[161] [5]),
+	.X(n_13481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g783687 (
+	.A1_N(n_516),
+	.A2_N(n_13174),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [34]),
+	.B2(n_13295),
+	.Y(n_13480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783688 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[81] [5]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[209] [5]),
+	.X(n_13479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783689 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[29] [6]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[157] [6]),
+	.X(n_13478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783690 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[109] [6]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[237] [6]),
+	.X(n_13477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783691 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[25] [6]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[153] [6]),
+	.X(n_13476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783692 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[105] [6]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[233] [6]),
+	.X(n_13475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783693 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[21] [6]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[149] [6]),
+	.X(n_13474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783694 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[101] [6]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[229] [6]),
+	.X(n_13473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783695 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[33] [6]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[161] [6]),
+	.X(n_13472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783696 (
+	.A1(n_13139),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[81] [6]),
+	.B1(n_13143),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[209] [6]),
+	.X(n_13471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783697 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[29] [7]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[157] [7]),
+	.X(n_13470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783698 (
+	.A1(n_13159),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[45] [7]),
+	.B1(n_13155),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[173] [7]),
+	.X(n_13469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g783699 (
+	.A0(n_12982),
+	.A1(n_85475),
+	.S(n_13043),
+	.X(n_30035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g783701 (
+	.A(soc_top_iccm_adapter_instr_weD),
+	.Y(soc_top_instr_we), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g783703 (
+	.A(n_13466),
+	.Y(n_13467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g783704 (
+	.A(n_71013),
+	.Y(n_13464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g783705 (
+	.A(n_13459),
+	.Y(n_29759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g783706 (
+	.A(n_29427),
+	.Y(n_13449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g783707 (
+	.A(n_13448),
+	.Y(n_29428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g783710 (
+	.A(sd_oe),
+	.Y(n_13445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g783738 (
+	.A0(n_30408),
+	.A1(n_30407),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783739 (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [0]),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [0]),
+	.Y(n_30157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g783741 (
+	.A(soc_top_u_top_u_core_exc_pc_mux_id[1]),
+	.B(soc_top_u_top_u_core_pc_mux_id[0]),
+	.C(soc_top_u_top_u_core_pc_mux_id[2]),
+	.Y(n_13394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g783744 (
+	.A(n_53567),
+	.B(n_74830),
+	.Y(n_13391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g783749 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_handle_misaligned_q),
+	.B(soc_top_u_top_u_core_load_store_unit_i_n_861),
+	.C(n_29451),
+	.Y(n_13386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g783750 (
+	.A1(soc_top_u_top_u_core_lsu_type[0]),
+	.A2(n_93140),
+	.B1(n_13176),
+	.X(n_13385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783751 (
+	.A(n_13172),
+	.B(n_13275),
+	.Y(n_30329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g783752 (
+	.A0(n_26564),
+	.A1(n_75771),
+	.S(n_85099),
+	.Y(n_13384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g783753 (
+	.A(n_74529),
+	.B(n_92783),
+	.C_N(n_13303),
+	.Y(n_13383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g783755 (
+	.A1(n_13000),
+	.A2(\soc_top_u_top_u_core_fp_operands[0] [9]),
+	.B1(n_29042),
+	.Y(n_13381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783756 (
+	.A(n_29397),
+	.B(n_29760),
+	.Y(n_13380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783757 (
+	.A(n_13173),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [31]),
+	.Y(n_13379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783758 (
+	.A(n_83913),
+	.B(n_516),
+	.Y(n_13378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783761 (
+	.A(n_83913),
+	.B(n_13005),
+	.Y(n_13375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783762 (
+	.A(n_13204),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [36]),
+	.Y(n_13374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783763 (
+	.A(n_13204),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [37]),
+	.Y(n_13373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783765 (
+	.A(n_13173),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [35]),
+	.Y(n_13371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g783766 (
+	.A_N(\soc_top_u_top_u_core_fp_operands[0] [16]),
+	.B(n_13041),
+	.Y(n_13370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783767 (
+	.A(n_34910),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
+	.Y(n_13369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783804 (
+	.A(n_13295),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [33]),
+	.Y(n_13365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g783805 (
+	.A(n_13306),
+	.B(n_62295),
+	.Y(n_13364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783806 (
+	.A(n_13294),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [32]),
+	.Y(n_13363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783807 (
+	.A(n_13173),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [30]),
+	.Y(n_13362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783808 (
+	.A(n_34910),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [2]),
+	.Y(n_13361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783809 (
+	.A(n_13173),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [29]),
+	.Y(n_13360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783810 (
+	.A(n_13294),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [31]),
+	.Y(n_13359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783811 (
+	.A(n_13294),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [30]),
+	.Y(n_13358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g783812 (
+	.A(n_13198),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [29]),
+	.X(n_30270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g783813 (
+	.A(n_13198),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [28]),
+	.X(n_30269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g783814 (
+	.A(n_13198),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [27]),
+	.X(n_30268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g783815 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [43]),
+	.B(n_13172),
+	.Y(n_13357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783816 (
+	.A(n_13170),
+	.B(n_30586),
+	.Y(n_13356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783817 (
+	.A(n_76164),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [3]),
+	.Y(n_13355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783818 (
+	.A(n_13170),
+	.B(n_30587),
+	.Y(n_13354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g783819 (
+	.A(n_38),
+	.B(n_13190),
+	.Y(n_13353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g783821 (
+	.A(n_34518),
+	.B(n_74961),
+	.Y(n_13351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783822 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_unit_ready ),
+	.B(n_559),
+	.Y(n_29751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783823 (
+	.A(soc_top_u_top_u_core_pc_set),
+	.B(n_13209),
+	.Y(n_29450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g783828 (
+	.A_N(n_29300),
+	.B(soc_top_u_top_u_core_fp_alu_operator[2]),
+	.Y(n_29299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g783830 (
+	.A_N(n_34672),
+	.B(n_13213),
+	.Y(n_13466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g783831 (
+	.A(soc_top_u_spi_host_spi_host_clgen_cnt[1]),
+	.B(soc_top_u_spi_host_spi_host_clgen_cnt[3]),
+	.C(soc_top_u_spi_host_spi_host_clgen_cnt[2]),
+	.X(n_29478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g783832 (
+	.A(n_29456),
+	.B(n_72952),
+	.X(n_29446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g783833 (
+	.A(n_69314),
+	.B(n_68751),
+	.X(n_29395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g783834 (
+	.A_N(soc_top_u_uart_u_uart_core_fifo_read_size[8]),
+	.B(soc_top_u_uart_u_uart_core_tx_done),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo_rdata_o[0]_5752 ),
+	.Y(n_29433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g783836 (
+	.A(n_81536),
+	.B(n_13307),
+	.X(n_13465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g783849 (
+	.A_N(n_62568),
+	.B(n_13205),
+	.Y(n_13462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g783864 (
+	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_busy[1]),
+	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_rdata_outstanding_q[0]),
+	.B1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_busy[0]),
+	.Y(n_29444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783867 (
+	.A(n_29768),
+	.B(n_29307),
+	.Y(n_29767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g783868 (
+	.A(n_13176),
+	.B(n_74746),
+	.Y(n_13461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g783870 (
+	.A(soc_top_u_spi_host_spi_host_last_bit),
+	.B(soc_top_u_spi_host_spi_host_pos_edge),
+	.C(soc_top_u_spi_host_spi_host_tip),
+	.Y(n_29417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g783871 (
+	.A_N(soc_top_u_top_u_core_lsu_type[0]),
+	.B(n_13202),
+	.Y(n_29754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g783873 (
+	.A(soc_top_u_top_u_core_lsu_type[0]),
+	.B(n_29760),
+	.Y(n_13459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g783875 (
+	.A(n_29458),
+	.B(n_29463),
+	.Y(n_13457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g783876 (
+	.A(n_29458),
+	.B(n_29460),
+	.Y(n_13456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g783879 (
+	.A(n_13163),
+	.B(n_13160),
+	.Y(n_13453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g783880 (
+	.A(n_13163),
+	.B(n_13141),
+	.Y(n_13452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g783881 (
+	.A(n_13163),
+	.B(n_13157),
+	.Y(n_13451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g783882 (
+	.A(n_13163),
+	.B(n_13150),
+	.Y(n_13450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783883 (
+	.A(n_13209),
+	.B(soc_top_u_top_u_core_pc_mux_id[0]),
+	.Y(n_29427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g783884 (
+	.A(soc_top_u_uart_u_uart_core_fifo_read_size[6]),
+	.B(n_29463),
+	.Y(n_13448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g783885 (
+	.A_N(n_29400),
+	.B(soc_top_u_top_u_core_pc_mux_id[2]),
+	.X(n_13447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g783886 (
+	.A_N(soc_top_u_top_u_core_pc_mux_id[2]),
+	.B(soc_top_u_top_u_core_pc_mux_id[1]),
+	.C(soc_top_u_top_u_core_pc_mux_id[0]),
+	.X(n_13446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g783889 (
+	.A_N(n_29459),
+	.B(n_888),
+	.X(n_13443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g783890 (
+	.A_N(n_29459),
+	.B(n_13289),
+	.X(n_13442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g783891 (
+	.A_N(n_29459),
+	.B(n_311),
+	.X(n_13441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g783892 (
+	.A_N(n_29459),
+	.B(n_323),
+	.X(n_13440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g783893 (
+	.A(n_13143),
+	.B(n_13164),
+	.X(n_13439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g783894 (
+	.A(n_13139),
+	.B(n_13164),
+	.X(n_13438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g783895 (
+	.A(n_13155),
+	.B(n_13164),
+	.X(n_13437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g783896 (
+	.A(n_13159),
+	.B(n_13164),
+	.X(n_13436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g783897 (
+	.A_N(n_29420),
+	.B(n_323),
+	.X(n_13435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g783898 (
+	.A_N(n_29420),
+	.B(n_13289),
+	.X(n_13434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g783899 (
+	.A_N(n_29420),
+	.B(n_311),
+	.X(n_13433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g783900 (
+	.A(soc_top_u_uart_u_uart_core_read_fifo_raddr[2]),
+	.B_N(n_13214),
+	.X(n_13432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g783901 (
+	.A(n_29448),
+	.B_N(soc_top_u_uart_u_uart_core_read_fifo_raddr[2]),
+	.X(n_13431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g783902 (
+	.A(soc_top_u_uart_u_uart_core_read_fifo_raddr[2]),
+	.B(n_29448),
+	.X(n_13430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g783903 (
+	.A(n_13214),
+	.B(soc_top_u_uart_u_uart_core_read_fifo_raddr[2]),
+	.Y(n_13429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g783904 (
+	.A_N(n_29420),
+	.B(n_888),
+	.X(n_13428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g783905 (
+	.A(n_13139),
+	.B(n_13168),
+	.X(n_13427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g783906 (
+	.A(n_13155),
+	.B(n_13168),
+	.X(n_13426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g783907 (
+	.A(n_13143),
+	.B(n_13168),
+	.X(n_13425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g783908 (
+	.A(n_13159),
+	.B(n_13168),
+	.X(n_13423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g783909 (
+	.A(n_13139),
+	.B(n_13292),
+	.X(n_13422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g783910 (
+	.A(n_13159),
+	.B(n_13292),
+	.X(n_13421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g783911 (
+	.A(n_13155),
+	.B(n_13292),
+	.X(n_13420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g783912 (
+	.A_N(n_29437),
+	.B(n_13143),
+	.Y(n_13396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 g783914 (
+	.A0(n_30358),
+	.A1(n_30409),
+	.S(n_12103),
+	.X(n_30354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g783915 (
+	.A0(n_30407),
+	.A1(n_30406),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g783916 (
+	.A0(n_30404),
+	.A1(n_30405),
+	.S(n_12103),
+	.X(n_30350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g783917 (
+	.A0(n_30404),
+	.A1(n_30403),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g783918 (
+	.A0(n_30403),
+	.A1(n_30402),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g783919 (
+	.A0(n_30406),
+	.A1(n_30405),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g783920 (
+	.A0(n_30399),
+	.A1(n_30398),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783921 (
+	.A1(n_30398),
+	.A2(n_12103),
+	.B1(n_30397),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783922 (
+	.A1(n_30397),
+	.A2(n_12103),
+	.B1(n_30396),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783923 (
+	.A1(n_30395),
+	.A2(n_12103),
+	.B1(n_30394),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783924 (
+	.A1(n_30393),
+	.A2(n_12103),
+	.B1(n_30392),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783925 (
+	.A1(n_30400),
+	.A2(n_12103),
+	.B1(n_30399),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783926 (
+	.A1(n_30391),
+	.A2(n_12103),
+	.B1(n_30390),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783928 (
+	.A1(n_30388),
+	.A2(n_12103),
+	.B1(n_30387),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783929 (
+	.A1(n_30387),
+	.A2(n_12103),
+	.B1(n_30386),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783930 (
+	.A1(n_30386),
+	.A2(n_12103),
+	.B1(n_30385),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783931 (
+	.A1(n_30394),
+	.A2(n_12103),
+	.B1(n_30393),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g783932 (
+	.A0(n_30385),
+	.A1(n_30384),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783933 (
+	.A1(n_30389),
+	.A2(n_12103),
+	.B1(n_30388),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g783934 (
+	.A0(n_30382),
+	.A1(n_30383),
+	.S(n_12103),
+	.X(n_30328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783935 (
+	.A1(n_30382),
+	.A2(n_12103),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [28]),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783936 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [28]),
+	.A2(n_12103),
+	.B1(n_30381),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g783937 (
+	.A0(n_30381),
+	.A1(n_30380),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783938 (
+	.A1(n_30380),
+	.A2(n_12103),
+	.B1(n_30379),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783939 (
+	.A1(n_30379),
+	.A2(n_12103),
+	.B1(n_30378),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783940 (
+	.A1(n_30378),
+	.A2(n_12103),
+	.B1(n_30377),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783941 (
+	.A1(n_30377),
+	.A2(n_12103),
+	.B1(n_30376),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783942 (
+	.A1(n_30376),
+	.A2(n_12103),
+	.B1(n_30375),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783943 (
+	.A1(n_30375),
+	.A2(n_12103),
+	.B1(n_30374),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783944 (
+	.A1(n_30374),
+	.A2(n_12103),
+	.B1(n_30373),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783945 (
+	.A1(n_30373),
+	.A2(n_12103),
+	.B1(n_30372),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783946 (
+	.A1(n_30372),
+	.A2(n_12103),
+	.B1(n_30371),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783947 (
+	.A1(n_30371),
+	.A2(n_12103),
+	.B1(n_30370),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g783948 (
+	.A0(n_30370),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [15]),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g783949 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [15]),
+	.A1(n_30369),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g783950 (
+	.A0(n_30369),
+	.A1(n_30368),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g783951 (
+	.A0(n_30368),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [12]),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g783952 (
+	.A0(n_30367),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [12]),
+	.S(n_12103),
+	.X(n_30310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g783953 (
+	.A0(n_30367),
+	.A1(n_30366),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g783954 (
+	.A0(n_30366),
+	.A1(n_30365),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g783955 (
+	.A0(n_30365),
+	.A1(n_30364),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g783956 (
+	.A0(n_30364),
+	.A1(n_30363),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g783957 (
+	.A0(n_30363),
+	.A1(n_30362),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g783958 (
+	.A0(n_30362),
+	.A1(n_30361),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g783959 (
+	.A0(n_30361),
+	.A1(n_30360),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g783960 (
+	.A0(n_30360),
+	.A1(n_30359),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g783961 (
+	.A0(n_30359),
+	.A1(n_30357),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783962 (
+	.A1(n_30401),
+	.A2(n_12103),
+	.B1(n_30400),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783963 (
+	.A1(n_30392),
+	.A2(n_12103),
+	.B1(n_30391),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g783964 (
+	.A0(n_30402),
+	.A1(n_30401),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g783966 (
+	.A(soc_top_u_spi_host_spi_host_divider[0]),
+	.B(soc_top_u_spi_host_spi_host_divider[7]),
+	.C(soc_top_u_spi_host_spi_host_divider[1]),
+	.D(soc_top_u_spi_host_spi_host_divider[6]),
+	.X(n_13314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g783967 (
+	.A(soc_top_u_spi_host_spi_host_divider[11]),
+	.B(soc_top_u_spi_host_spi_host_divider[15]),
+	.C(soc_top_u_spi_host_spi_host_divider[14]),
+	.D(soc_top_u_spi_host_spi_host_divider[12]),
+	.X(n_13313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g783968 (
+	.A(soc_top_u_spi_host_spi_host_divider[2]),
+	.B(soc_top_u_spi_host_spi_host_divider[8]),
+	.C(soc_top_u_spi_host_spi_host_divider[3]),
+	.D(soc_top_u_spi_host_spi_host_divider[9]),
+	.X(n_13312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g783969 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [0]),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [1]),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.X(n_30169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g783970 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [10]),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [11]),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.X(n_30179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g783971 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [9]),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [10]),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.X(n_30178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g783972 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [8]),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [9]),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.X(n_30177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g783973 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [6]),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [7]),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.X(n_30175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g783974 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [4]),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [5]),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.X(n_30173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g783975 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [3]),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [4]),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.X(n_30172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g783976 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [2]),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [3]),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.X(n_30171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g783977 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [1]),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [2]),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.X(n_30170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g783978 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [7]),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [8]),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.X(n_30176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g783979 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [5]),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [6]),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.X(n_30174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4bb_1 g783980 (
+	.A(soc_top_u_top_u_core_lsu_load_err),
+	.B(soc_top_u_top_u_core_lsu_store_err),
+	.C_N(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
+	.D_N(n_31544),
+	.Y(n_13311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783981 (
+	.A1(n_30396),
+	.A2(n_12103),
+	.B1(n_30395),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_4 g783985 (
+	.A1(n_31178),
+	.A2(n_54295),
+	.B1(n_13020),
+	.B2(FE_DBTN68_n_54295),
+	.X(n_31146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_4 g783987 (
+	.A1_N(n_54295),
+	.A2_N(n_31180),
+	.B1(n_54295),
+	.B2(n_31180),
+	.Y(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_285 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g783990 (
+	.A(n_13248),
+	.B(n_13076),
+	.Y(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_0 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g783991 (
+	.A(n_13078),
+	.B(n_13282),
+	.Y(n_31152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g783994 (
+	.A(n_54295),
+	.B(n_31187),
+	.Y(n_29276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g784012 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [52]),
+	.B(n_30358),
+	.X(n_13310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g784013 (
+	.A(n_75595),
+	.B(n_13264),
+	.Y(n_29284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g784015 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[1] [1]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[1] [0]),
+	.X(n_13309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g784016 (
+	.A0(n_61337),
+	.A1(n_63780),
+	.S(n_74746),
+	.X(n_13349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g784021 (
+	.A0(n_78034),
+	.A1(n_81728),
+	.S(n_74746),
+	.X(n_13344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g784023 (
+	.A0(n_58890),
+	.A1(soc_top_u_top_u_core_lsu_wdata[19]),
+	.S(n_74746),
+	.X(n_13342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g784038 (
+	.A0(n_80790),
+	.A1(n_81530),
+	.S(n_74746),
+	.X(n_13327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g784039 (
+	.A0(soc_top_u_top_u_core_lsu_wdata[19]),
+	.A1(n_58890),
+	.S(n_74746),
+	.X(n_13326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g784043 (
+	.A(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.X(n_29457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g784044 (
+	.A(soc_top_u_spi_host_spi_host_clgen_cnt[4]),
+	.B(soc_top_u_spi_host_spi_host_clgen_cnt[7]),
+	.C(soc_top_u_spi_host_spi_host_clgen_cnt[5]),
+	.D(soc_top_u_spi_host_spi_host_clgen_cnt[6]),
+	.X(n_29479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4b_1 g784045 (
+	.A(soc_top_u_top_u_core_alu_operator_ex[4]),
+	.B(soc_top_u_top_u_core_alu_operator_ex[5]),
+	.C(soc_top_u_top_u_core_alu_operator_ex[2]),
+	.D_N(soc_top_u_top_u_core_alu_operator_ex[3]),
+	.Y(n_13322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g784046 (
+	.A(soc_top_u_spi_host_spi_host_clgen_cnt[12]),
+	.B(soc_top_u_spi_host_spi_host_clgen_cnt[15]),
+	.C(soc_top_u_spi_host_spi_host_clgen_cnt[13]),
+	.D(soc_top_u_spi_host_spi_host_clgen_cnt[14]),
+	.X(n_29481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g784047 (
+	.A(soc_top_u_spi_host_spi_host_clgen_cnt[8]),
+	.B(soc_top_u_spi_host_spi_host_clgen_cnt[11]),
+	.C(soc_top_u_spi_host_spi_host_clgen_cnt[9]),
+	.D(soc_top_u_spi_host_spi_host_clgen_cnt[10]),
+	.X(n_29480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g784048 (
+	.A0(n_63780),
+	.A1(n_61337),
+	.S(n_74746),
+	.X(n_13321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g784049 (
+	.A0(n_78034),
+	.A1(n_81728),
+	.S(soc_top_u_top_u_core_alu_adder_result_ex[1]),
+	.X(n_13320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g784051 (
+	.A0(n_38885),
+	.A1(n_69916),
+	.S(n_74746),
+	.X(n_13318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g784052 (
+	.A(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Y(n_29711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g784054 (
+	.A(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.Y(soc_top_iccm_adapter_inst_mem_u_sramreqfifo_n_52), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g784055 (
+	.A0(io_in[36]),
+	.A1(\soc_top_GPIO_gen_filter[28].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [28]),
+	.X(soc_top_GPIO_data_in_d[28]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g784056 (
+	.A0(io_in[18]),
+	.A1(\soc_top_GPIO_gen_filter[10].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [10]),
+	.X(soc_top_GPIO_data_in_d[10]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g784057 (
+	.A0(io_in[17]),
+	.A1(\soc_top_GPIO_gen_filter[9].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [9]),
+	.X(soc_top_GPIO_data_in_d[9]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g784058 (
+	.A0(io_in[15]),
+	.A1(\soc_top_GPIO_gen_filter[7].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [7]),
+	.X(soc_top_GPIO_data_in_d[7]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g784059 (
+	.A0(io_in[14]),
+	.A1(\soc_top_GPIO_gen_filter[6].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [6]),
+	.X(soc_top_GPIO_data_in_d[6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g784060 (
+	.A0(io_in[31]),
+	.A1(\soc_top_GPIO_gen_filter[23].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [23]),
+	.X(soc_top_GPIO_data_in_d[23]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g784061 (
+	.A0(io_in[32]),
+	.A1(\soc_top_GPIO_gen_filter[24].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [24]),
+	.X(soc_top_GPIO_data_in_d[24]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g784062 (
+	.A0(io_in[3]),
+	.A1(\soc_top_GPIO_gen_filter[31].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [31]),
+	.X(soc_top_GPIO_data_in_d[31]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g784063 (
+	.A0(io_in[8]),
+	.A1(\soc_top_GPIO_gen_filter[0].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [0]),
+	.X(soc_top_GPIO_data_in_d[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g784064 (
+	.A0(io_in[9]),
+	.A1(\soc_top_GPIO_gen_filter[1].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [1]),
+	.X(soc_top_GPIO_data_in_d[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g784065 (
+	.A0(io_in[10]),
+	.A1(\soc_top_GPIO_gen_filter[2].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [2]),
+	.X(soc_top_GPIO_data_in_d[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g784066 (
+	.A0(io_in[12]),
+	.A1(\soc_top_GPIO_gen_filter[4].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [4]),
+	.X(soc_top_GPIO_data_in_d[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g784067 (
+	.A0(io_in[13]),
+	.A1(\soc_top_GPIO_gen_filter[5].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [5]),
+	.X(soc_top_GPIO_data_in_d[5]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g784068 (
+	.A0(io_in[16]),
+	.A1(\soc_top_GPIO_gen_filter[8].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [8]),
+	.X(soc_top_GPIO_data_in_d[8]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g784069 (
+	.A0(io_in[20]),
+	.A1(\soc_top_GPIO_gen_filter[12].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [12]),
+	.X(soc_top_GPIO_data_in_d[12]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g784070 (
+	.A0(io_in[21]),
+	.A1(\soc_top_GPIO_gen_filter[13].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [13]),
+	.X(soc_top_GPIO_data_in_d[13]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g784071 (
+	.A0(io_in[22]),
+	.A1(\soc_top_GPIO_gen_filter[14].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [14]),
+	.X(soc_top_GPIO_data_in_d[14]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g784072 (
+	.A0(io_in[23]),
+	.A1(\soc_top_GPIO_gen_filter[15].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [15]),
+	.X(soc_top_GPIO_data_in_d[15]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g784073 (
+	.A0(io_in[24]),
+	.A1(\soc_top_GPIO_gen_filter[16].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [16]),
+	.X(soc_top_GPIO_data_in_d[16]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g784074 (
+	.A0(io_in[25]),
+	.A1(\soc_top_GPIO_gen_filter[17].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [17]),
+	.X(soc_top_GPIO_data_in_d[17]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g784075 (
+	.A0(io_in[27]),
+	.A1(\soc_top_GPIO_gen_filter[19].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [19]),
+	.X(soc_top_GPIO_data_in_d[19]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g784076 (
+	.A0(io_in[28]),
+	.A1(\soc_top_GPIO_gen_filter[20].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [20]),
+	.X(soc_top_GPIO_data_in_d[20]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g784077 (
+	.A0(io_in[29]),
+	.A1(\soc_top_GPIO_gen_filter[21].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [21]),
+	.X(soc_top_GPIO_data_in_d[21]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g784078 (
+	.A0(io_in[33]),
+	.A1(\soc_top_GPIO_gen_filter[25].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [25]),
+	.X(soc_top_GPIO_data_in_d[25]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g784079 (
+	.A0(io_in[34]),
+	.A1(\soc_top_GPIO_gen_filter[26].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [26]),
+	.X(soc_top_GPIO_data_in_d[26]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g784080 (
+	.A0(io_in[35]),
+	.A1(\soc_top_GPIO_gen_filter[27].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [27]),
+	.X(soc_top_GPIO_data_in_d[27]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g784081 (
+	.A0(io_in[37]),
+	.A1(\soc_top_GPIO_gen_filter[29].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [29]),
+	.X(soc_top_GPIO_data_in_d[29]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g784082 (
+	.A0(io_in[1]),
+	.A1(\soc_top_GPIO_gen_filter[30].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [30]),
+	.X(soc_top_GPIO_data_in_d[30]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g784083 (
+	.A0(io_in[19]),
+	.A1(\soc_top_GPIO_gen_filter[11].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [11]),
+	.X(soc_top_GPIO_data_in_d[11]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g784084 (
+	.A0(io_in[11]),
+	.A1(\soc_top_GPIO_gen_filter[3].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [3]),
+	.X(soc_top_GPIO_data_in_d[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g784085 (
+	.A0(io_in[30]),
+	.A1(\soc_top_GPIO_gen_filter[22].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [22]),
+	.X(soc_top_GPIO_data_in_d[22]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g784086 (
+	.A(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
+	.Y(soc_top_iccm_adapter_inst_mem_u_rspfifo_n_108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g784087 (
+	.A(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.Y(soc_top_iccm_adapter_inst_mem_u_reqfifo_n_68), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g784091 (
+	.A(n_29437),
+	.Y(n_13292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g784093 (
+	.A(n_888),
+	.Y(n_29460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g784166 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [42]),
+	.B(n_12246),
+	.Y(n_13285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g784167 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [8]),
+	.Y(n_30165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g784170 (
+	.A(n_13018),
+	.B(FE_DBTN68_n_54295),
+	.Y(n_13282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g784175 (
+	.A_N(n_29361),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [49]),
+	.Y(n_13277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g784176 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [30]),
+	.B(n_12943),
+	.Y(n_13276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g784177 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [11]),
+	.Y(n_30168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g784178 (
+	.A(n_30383),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.Y(n_13275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g784181 (
+	.A(soc_top_u_top_u_core_lsu_wdata[19]),
+	.B(soc_top_u_top_u_core_lsu_wdata[18]),
+	.X(n_13272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g784183 (
+	.A(soc_top_u_uart_u_uart_core_tx_en),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo_rdata_o[0]_5752 ),
+	.X(soc_top_u_uart_u_uart_core_tx_en_sel), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g784185 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [24]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [24]),
+	.X(n_13269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g784186 (
+	.A(n_62295),
+	.B(n_85288),
+	.Y(n_13268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g784187 (
+	.A(n_13027),
+	.B(n_13032),
+	.Y(n_13267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g784188 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [28]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [28]),
+	.X(n_13266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g784189 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [30]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [30]),
+	.X(n_13265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g784190 (
+	.A(n_31196),
+	.B(n_54295),
+	.Y(n_13264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g784191 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [16]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [16]),
+	.X(n_13263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g784192 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [20]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [20]),
+	.X(n_13262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g784193 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [4]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [4]),
+	.X(n_13261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g784194 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [10]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [10]),
+	.X(n_13260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g784196 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [14]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [14]),
+	.X(n_13258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g784197 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [12]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [12]),
+	.X(n_13257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g784198 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [6]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [6]),
+	.X(n_13256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g784199 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [8]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [8]),
+	.X(n_13255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g784200 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [2]),
+	.Y(n_30159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g784201 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [0]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [0]),
+	.X(n_13254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g784202 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [2]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [2]),
+	.X(n_13253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g784203 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [29]),
+	.B(n_54295),
+	.Y(n_13252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g784205 (
+	.A(n_62295),
+	.B(n_76345),
+	.Y(n_13250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g784207 (
+	.A(n_13010),
+	.B(FE_DBTN68_n_54295),
+	.Y(n_13248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g784214 (
+	.A(n_71266),
+	.B(n_29764),
+	.Y(n_13241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g784216 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[31]),
+	.Y(n_13239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g784217 (
+	.A(n_63300),
+	.B(n_56961),
+	.X(n_13238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g784218 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [4]),
+	.Y(n_30161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g784220 (
+	.A(n_56964),
+	.B(n_31062),
+	.Y(n_13236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g784221 (
+	.A_N(io_in[5]),
+	.B(soc_top_u_uart_u_uart_core_rx_en),
+	.Y(soc_top_u_uart_u_uart_core_n_188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g784222 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[3]),
+	.Y(n_13235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g784223 (
+	.A(n_26981),
+	.B(n_56961),
+	.X(n_13234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g784224 (
+	.A(n_77402),
+	.B(n_54295),
+	.Y(n_13233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g784225 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [13]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [13]),
+	.X(n_13232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g784226 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [3]),
+	.Y(n_30160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g784227 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[9]),
+	.Y(n_13231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g784228 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[11]),
+	.Y(n_13230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g784230 (
+	.A(FE_DBTN111_n_62295),
+	.B(n_79711),
+	.Y(n_13228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g784234 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[13]),
+	.Y(n_13224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g784235 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [9]),
+	.Y(n_30166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g784236 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[17]),
+	.Y(n_13223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g784237 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [7]),
+	.Y(n_30164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g784238 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[29]),
+	.Y(n_13222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g784239 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[5]),
+	.Y(n_13221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g784240 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[21]),
+	.Y(n_13220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g784241 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[27]),
+	.Y(n_13219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g784242 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[25]),
+	.Y(n_13218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g784243 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[19]),
+	.Y(n_13217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g784244 (
+	.A(n_58890),
+	.B(n_49541),
+	.Y(n_13307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g784245 (
+	.A(n_58735),
+	.B(n_42720),
+	.Y(n_13306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g784246 (
+	.A(FE_DBTN123_soc_top_u_top_u_core_pc_set),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[8]),
+	.Y(n_29385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g784247 (
+	.A(FE_DBTN89_n_30785),
+	.B(FE_DBTN111_n_62295),
+	.Y(n_13216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g784248 (
+	.A(n_37413),
+	.B(n_30785),
+	.Y(n_13215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g784250 (
+	.A_N(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_rdata_outstanding_q[1]),
+	.B(soc_top_u_top_u_core_instr_req_int),
+	.Y(n_29396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g784251 (
+	.A(FE_DBTN123_soc_top_u_top_u_core_pc_set),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[5]),
+	.Y(n_29389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g784253 (
+	.A(n_75516),
+	.B(n_38885),
+	.Y(n_13303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g784254 (
+	.A(n_29708),
+	.B(n_12893),
+	.Y(n_13302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g784259 (
+	.A(n_87477),
+	.B(\soc_top_lsu_to_xbar[a_address] [19]),
+	.X(n_29421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g784261 (
+	.A(n_29732),
+	.B(n_12893),
+	.Y(n_13295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g784262 (
+	.A(n_29693),
+	.B(n_12893),
+	.Y(n_13294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g784263 (
+	.A(n_29723),
+	.B(n_12893),
+	.Y(n_13293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g784264 (
+	.A(soc_top_u_uart_u_uart_core_fifo_read_size[2]),
+	.B(soc_top_u_uart_u_uart_core_fifo_read_size[0]),
+	.Y(n_29420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g784265 (
+	.A(soc_top_u_uart_u_uart_core_read_fifo_raddr[5]),
+	.B(soc_top_u_uart_u_uart_core_read_fifo_raddr[4]),
+	.Y(n_29437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g784266 (
+	.A_N(soc_top_u_uart_u_uart_core_fifo_read_size[1]),
+	.B(soc_top_u_uart_u_uart_core_fifo_read_size[5]),
+	.Y(n_13291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g784267 (
+	.A(soc_top_u_uart_u_uart_core_fifo_read_size[1]),
+	.B(soc_top_u_uart_u_uart_core_fifo_read_size[5]),
+	.Y(n_29423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g784268 (
+	.A(soc_top_prog_rst_ni),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_valid_req_q),
+	.X(n_13290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g784269 (
+	.A(soc_top_u_uart_u_uart_core_fifo_read_size[2]),
+	.B(soc_top_u_uart_u_uart_core_fifo_read_size[0]),
+	.X(n_29459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g784270 (
+	.A(soc_top_u_uart_u_uart_core_fifo_read_size[2]),
+	.B_N(soc_top_u_uart_u_uart_core_fifo_read_size[0]),
+	.X(n_29458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g784271 (
+	.A_N(soc_top_u_uart_u_uart_core_fifo_read_size[4]),
+	.B(n_12903),
+	.X(n_13289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g784272 (
+	.A(soc_top_u_uart_u_uart_core_fifo_read_size[3]),
+	.B(soc_top_u_uart_u_uart_core_fifo_read_size[4]),
+	.X(n_888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g784273 (
+	.A_N(soc_top_u_uart_u_uart_core_fifo_read_size[3]),
+	.B(soc_top_u_uart_u_uart_core_fifo_read_size[4]),
+	.X(n_323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g784274 (
+	.A(n_13210),
+	.Y(n_13211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g784275 (
+	.A(n_80155),
+	.Y(n_13208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g784281 (
+	.A(n_13190),
+	.Y(n_13191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g784283 (
+	.A(n_29485),
+	.Y(n_13185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g784284 (
+	.A(n_13184),
+	.Y(n_29476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g784290 (
+	.A(n_13176),
+	.Y(n_29760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_8 g784291 (
+	.A(n_13174),
+	.Y(n_13173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_8 g784293 (
+	.A(n_13171),
+	.Y(n_13170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g784294 (
+	.A(n_13168),
+	.Y(n_13167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g784296 (
+	.A(n_13165),
+	.Y(n_13164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g784313 (
+	.A(n_13143),
+	.Y(n_13150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g784318 (
+	.A(FE_DBTN111_n_62295),
+	.B(n_45047),
+	.Y(n_13137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g784319 (
+	.A(FE_DBTN111_n_62295),
+	.B(n_12989),
+	.Y(n_13136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g784320 (
+	.A(n_77405),
+	.B(n_54295),
+	.Y(n_13135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_1 g784322 (
+	.A(n_61495),
+	.B_N(n_56964),
+	.X(n_13133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g784324 (
+	.A(n_12103),
+	.B_N(n_30356),
+	.Y(n_30300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g784325 (
+	.A(n_36659),
+	.B(n_81756),
+	.Y(n_13131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g784331 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [10]),
+	.Y(n_30167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g784332 (
+	.A(n_42370),
+	.B(n_62295),
+	.Y(n_13125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g784333 (
+	.A(n_62295),
+	.B(n_78193),
+	.Y(n_13124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g784337 (
+	.A(\soc_top_u_top_u_core_fp_operands[0] [9]),
+	.B(n_77843),
+	.Y(n_13120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g784340 (
+	.A(n_62295),
+	.B(n_30762),
+	.Y(n_13117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g784341 (
+	.A(n_12999),
+	.B(FE_DBTN68_n_54295),
+	.Y(n_13116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g784342 (
+	.A(pwm1_oe),
+	.B(soc_top_GPIO_cio_gpio_en_q[25]),
+	.Y(io_oeb[33]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g784343 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [23]),
+	.B(n_54295),
+	.Y(n_13115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g784344 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [4]),
+	.B(n_27055),
+	.Y(n_13114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g784346 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [5]),
+	.B(n_80419),
+	.Y(n_13112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g784347 (
+	.A(n_77407),
+	.B(n_54295),
+	.Y(n_13111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g784350 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [28]),
+	.B(n_12285),
+	.Y(n_13108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g784354 (
+	.A(n_12893),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] [28]),
+	.Y(n_13104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g784355 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [1]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [1]),
+	.X(n_13103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g784356 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [3]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [3]),
+	.X(n_13102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g784357 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [5]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [5]),
+	.X(n_13101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g784358 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [7]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [7]),
+	.X(n_13100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g784359 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [9]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [9]),
+	.X(n_13099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g784360 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [11]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [11]),
+	.X(n_13098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g784361 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [6]),
+	.Y(n_30163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g784362 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [15]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [15]),
+	.X(n_13097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g784363 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [17]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [17]),
+	.X(n_13096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g784364 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [18]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [18]),
+	.X(n_13095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g784365 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [19]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [19]),
+	.X(n_13094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g784366 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [21]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [21]),
+	.X(n_13093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g784367 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [22]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [22]),
+	.X(n_13092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g784368 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [23]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [23]),
+	.X(n_13091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g784369 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [25]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [25]),
+	.X(n_13090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g784370 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [26]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [26]),
+	.X(n_13089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g784371 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [27]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [27]),
+	.X(n_13088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g784373 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [29]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [29]),
+	.X(n_13086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g784374 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [31]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [31]),
+	.X(n_13085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g784380 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [5]),
+	.Y(n_30162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g784381 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_valid_req_q),
+	.B(n_12864),
+	.Y(n_13079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g784382 (
+	.A(n_31184),
+	.B(n_54295),
+	.Y(n_13078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g784383 (
+	.A_N(n_29556),
+	.B(n_56964),
+	.Y(n_13077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g784385 (
+	.A(n_31183),
+	.B(n_54295),
+	.Y(n_13076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g784387 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[0]),
+	.Y(n_13074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g784388 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[1]),
+	.Y(n_13073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g784389 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[2]),
+	.Y(n_13072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g784390 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[4]),
+	.Y(n_13071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g784391 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[6]),
+	.Y(n_13070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g784392 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[7]),
+	.Y(n_13069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g784393 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[8]),
+	.Y(n_13068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g784394 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[10]),
+	.Y(n_13067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g784395 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[12]),
+	.Y(n_13066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g784396 (
+	.A(pwm2_oe),
+	.B(soc_top_GPIO_cio_gpio_en_q[26]),
+	.Y(io_oeb[34]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g784397 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[14]),
+	.Y(n_13065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g784398 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[15]),
+	.Y(n_13064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g784399 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[16]),
+	.Y(n_13063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g784400 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[18]),
+	.Y(n_13062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g784401 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[20]),
+	.Y(n_13061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g784402 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[22]),
+	.Y(n_13060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g784403 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[23]),
+	.Y(n_13059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g784404 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[24]),
+	.Y(n_13058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g784405 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[26]),
+	.Y(n_13057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g784406 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[28]),
+	.Y(n_13056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g784407 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[30]),
+	.Y(n_13055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g784409 (
+	.A(soc_top_u_spi_host_spi_host_ctrl[15]),
+	.B_N(soc_top_u_spi_host_spi_host_ctrl[14]),
+	.Y(n_13053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g784410 (
+	.A(n_30763),
+	.B(n_62295),
+	.Y(n_13052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g784411 (
+	.A(n_12103),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[1] [0]),
+	.Y(n_30297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g784412 (
+	.A(n_12103),
+	.B_N(n_30355),
+	.Y(n_30299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g784414 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [1]),
+	.Y(n_30158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g784418 (
+	.A(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B_N(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[0] [9]),
+	.Y(n_13047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g784422 (
+	.A(n_12980),
+	.B(soc_top_u_top_u_core_fp_alu_operator[0]),
+	.Y(n_29582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g784423 (
+	.A(soc_top_u_uart_u_uart_core_read_fifo_raddr[3]),
+	.B(soc_top_u_uart_u_uart_core_read_fifo_raddr[8]),
+	.Y(n_13214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g784424 (
+	.A(FE_DBTN123_soc_top_u_top_u_core_pc_set),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[6]),
+	.Y(n_29387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g784425 (
+	.A_N(n_25726),
+	.B(n_12982),
+	.Y(n_13213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g784426 (
+	.A_N(n_34672),
+	.B(n_25726),
+	.Y(n_13043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g784427 (
+	.A_N(n_29770),
+	.B(soc_top_u_top_u_core_fp_alu_operator[1]),
+	.Y(n_29768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g784428 (
+	.A(\soc_top_xbar_to_timer[a_address] [3]),
+	.B(n_69931),
+	.Y(n_29456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g784429 (
+	.A_N(n_29302),
+	.B(soc_top_u_top_u_core_fp_alu_operator[0]),
+	.Y(n_29307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g784430 (
+	.A(soc_top_u_top_u_core_fp_alu_operator[1]),
+	.B(n_29301),
+	.X(n_29769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g784431 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [0]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [1]),
+	.Y(n_29507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g784432 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [1]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [0]),
+	.Y(n_30040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g784433 (
+	.A(n_74746),
+	.B(soc_top_u_top_u_core_load_store_unit_i_handle_misaligned_q),
+	.Y(n_13212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g784434 (
+	.A(FE_DBTN123_soc_top_u_top_u_core_pc_set),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[11]),
+	.Y(n_29390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g784435 (
+	.A(n_69927),
+	.B(\soc_top_xbar_to_timer[a_address] [6]),
+	.X(n_29737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g784436 (
+	.A_N(soc_top_u_iccm_bank_sel[1]),
+	.B(soc_top_u_iccm_bank_sel[0]),
+	.Y(n_29477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g784437 (
+	.A(soc_top_u_iccm_bank_sel[1]),
+	.B(soc_top_u_iccm_bank_sel[0]),
+	.X(n_29486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g784439 (
+	.A(soc_top_u_top_u_core_fp_alu_operator[1]),
+	.B(soc_top_u_top_u_core_fp_alu_operator[2]),
+	.X(n_29511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g784440 (
+	.A(soc_top_u_top_u_core_fp_alu_operator[0]),
+	.B(soc_top_u_top_u_core_fp_alu_operator[1]),
+	.Y(n_29300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g784441 (
+	.A(n_12864),
+	.B(soc_top_iccm_ctrl_we),
+	.Y(n_13210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g784442 (
+	.A(soc_top_u_top_u_core_pc_mux_id[2]),
+	.B(soc_top_u_top_u_core_pc_mux_id[1]),
+	.Y(n_13209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g784443 (
+	.A(soc_top_u_top_u_core_pc_mux_id[0]),
+	.B(soc_top_u_top_u_core_pc_mux_id[1]),
+	.X(n_29400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g784444 (
+	.A(n_26564),
+	.B(n_85099),
+	.Y(n_13041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g784445 (
+	.A_N(n_69931),
+	.B(\soc_top_xbar_to_timer[a_address] [3]),
+	.Y(n_29447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g784446 (
+	.A(FE_DBTN123_soc_top_u_top_u_core_pc_set),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[10]),
+	.Y(n_29391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g784447 (
+	.A(FE_DBTN123_soc_top_u_top_u_core_pc_set),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[7]),
+	.Y(n_29403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g784449 (
+	.A(FE_DBTN123_soc_top_u_top_u_core_pc_set),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[4]),
+	.Y(n_29386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g784450 (
+	.A(FE_DBTN123_soc_top_u_top_u_core_pc_set),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[3]),
+	.Y(n_29388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g784452 (
+	.A(n_58169),
+	.B(n_61199),
+	.Y(n_13205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g784453 (
+	.A_N(n_75112),
+	.B(n_77013),
+	.Y(n_29402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g784454 (
+	.A_N(soc_top_u_top_u_core_load_store_unit_i_handle_misaligned_q),
+	.B(soc_top_u_top_u_core_alu_adder_result_ex[1]),
+	.Y(n_29384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g784455 (
+	.A(n_29716),
+	.B(n_12893),
+	.Y(n_13204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g784456 (
+	.A(n_29719),
+	.B(n_12893),
+	.Y(n_13203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g784457 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_handle_misaligned_q),
+	.B(soc_top_u_top_u_core_lsu_type[1]),
+	.Y(n_13202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g784459 (
+	.A(n_29718),
+	.B(n_12893),
+	.Y(n_13198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g784460 (
+	.A(n_93140),
+	.B(soc_top_u_top_u_core_lsu_type[1]),
+	.Y(n_29397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g784461 (
+	.A(soc_top_u_top_u_core_fp_alu_operator[1]),
+	.B(n_29770),
+	.Y(n_559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g784462 (
+	.A(n_29724),
+	.B(n_12893),
+	.Y(n_13196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g784463 (
+	.A_N(n_34518),
+	.B(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Y(n_29713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g784465 (
+	.A(n_29709),
+	.B(n_12893),
+	.Y(n_13194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g784466 (
+	.A(n_29717),
+	.B(n_12893),
+	.Y(n_13193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g784467 (
+	.A(n_29714),
+	.B(n_12893),
+	.Y(n_13192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g784468 (
+	.A(n_72952),
+	.B(n_29783),
+	.X(n_29577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g784469 (
+	.A_N(soc_top_u_uart_u_uart_core_read_fifo_raddr[8]),
+	.B(soc_top_u_uart_u_uart_core_read_fifo_raddr[3]),
+	.Y(n_29448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g784470 (
+	.A(n_34518),
+	.B(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.X(n_29712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g784471 (
+	.A_N(n_29691),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [28]),
+	.Y(n_13190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g784472 (
+	.A(n_29707),
+	.B(n_12893),
+	.Y(n_13189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g784473 (
+	.A(n_93140),
+	.B_N(soc_top_u_top_u_core_lsu_type[1]),
+	.Y(n_13188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g784475 (
+	.A(soc_top_u_iccm_bank_sel[0]),
+	.B(soc_top_u_iccm_bank_sel[1]),
+	.Y(n_29485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g784476 (
+	.A(soc_top_u_iccm_bank_sel[0]),
+	.B_N(soc_top_u_iccm_bank_sel[1]),
+	.Y(n_13184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g784480 (
+	.A_N(soc_top_u_uart_u_uart_core_fifo_read_size[5]),
+	.B(soc_top_u_uart_u_uart_core_fifo_read_size[1]),
+	.Y(n_13177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g784481 (
+	.A(soc_top_u_top_u_core_lsu_type[1]),
+	.B_N(soc_top_u_top_u_core_load_store_unit_i_handle_misaligned_q),
+	.Y(n_13176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g784482 (
+	.A(soc_top_u_uart_u_uart_core_fifo_read_size[1]),
+	.B(soc_top_u_uart_u_uart_core_fifo_read_size[5]),
+	.X(n_13175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g784483 (
+	.A(n_30358),
+	.B(n_12103),
+	.Y(n_13174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g784484 (
+	.A(n_12901),
+	.B(n_12103),
+	.Y(n_13172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g784485 (
+	.A(n_12893),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.Y(n_13171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g784486 (
+	.A(soc_top_u_uart_u_uart_core_fifo_read_size[0]),
+	.B_N(soc_top_u_uart_u_uart_core_fifo_read_size[2]),
+	.X(n_13169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g784487 (
+	.A(soc_top_u_uart_u_uart_core_read_fifo_raddr[4]),
+	.B(soc_top_u_uart_u_uart_core_read_fifo_raddr[5]),
+	.Y(n_13168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g784488 (
+	.A_N(soc_top_u_uart_u_uart_core_fifo_read_size[4]),
+	.B(soc_top_u_uart_u_uart_core_fifo_read_size[3]),
+	.X(n_311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g784489 (
+	.A(n_12975),
+	.B(soc_top_u_uart_u_uart_core_read_fifo_raddr[4]),
+	.Y(n_13165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g784490 (
+	.A(n_12968),
+	.B(soc_top_u_uart_u_uart_core_read_fifo_raddr[5]),
+	.Y(n_13163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g784491 (
+	.A(soc_top_u_uart_u_uart_core_read_fifo_raddr[7]),
+	.B(soc_top_u_uart_u_uart_core_read_fifo_raddr[6]),
+	.Y(n_13159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g784492 (
+	.A_N(soc_top_u_uart_u_uart_core_read_fifo_raddr[6]),
+	.B(soc_top_u_uart_u_uart_core_read_fifo_raddr[7]),
+	.X(n_13155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g784493 (
+	.A(soc_top_u_uart_u_uart_core_read_fifo_raddr[6]),
+	.B(soc_top_u_uart_u_uart_core_read_fifo_raddr[7]),
+	.X(n_13143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g784494 (
+	.A_N(soc_top_u_uart_u_uart_core_read_fifo_raddr[7]),
+	.B(soc_top_u_uart_u_uart_core_read_fifo_raddr[6]),
+	.X(n_13139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g784495 (
+	.A(soc_top_GPIO_cio_gpio_en_q[8]),
+	.Y(io_oeb[16]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g784498 (
+	.A(n_29509),
+	.Y(n_13037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g784499 (
+	.A(soc_top_GPIO_cio_gpio_en_q[15]),
+	.Y(io_oeb[23]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g784501 (
+	.A(soc_top_GPIO_cio_gpio_en_q[1]),
+	.Y(io_oeb[9]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g784503 (
+	.A(soc_top_GPIO_cio_gpio_en_q[6]),
+	.Y(io_oeb[14]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g784505 (
+	.A(soc_top_GPIO_cio_gpio_en_q[13]),
+	.Y(io_oeb[21]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g784506 (
+	.A(soc_top_GPIO_cio_gpio_en_q[29]),
+	.Y(io_oeb[37]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g784507 (
+	.A(soc_top_GPIO_cio_gpio_en_q[28]),
+	.Y(io_oeb[36]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g784509 (
+	.A(soc_top_GPIO_cio_gpio_en_q[23]),
+	.Y(io_oeb[31]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g784510 (
+	.A(soc_top_GPIO_cio_gpio_en_q[11]),
+	.Y(io_oeb[19]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g784511 (
+	.A(n_30685),
+	.Y(n_13032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g784514 (
+	.A(soc_top_GPIO_cio_gpio_en_q[0]),
+	.Y(io_oeb[8]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g784515 (
+	.A(n_29510),
+	.Y(n_13029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g784516 (
+	.A(n_30675),
+	.Y(n_13028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g784517 (
+	.A(n_53592),
+	.Y(n_13027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g784519 (
+	.A(soc_top_GPIO_cio_gpio_en_q[4]),
+	.Y(io_oeb[12]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g784520 (
+	.A(soc_top_GPIO_cio_gpio_en_q[14]),
+	.Y(io_oeb[22]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g784524 (
+	.A(soc_top_GPIO_cio_gpio_en_q[12]),
+	.Y(io_oeb[20]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g784525 (
+	.A(soc_top_GPIO_cio_gpio_en_q[3]),
+	.Y(io_oeb[11]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g784526 (
+	.A(soc_top_GPIO_cio_gpio_en_q[7]),
+	.Y(io_oeb[15]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g784529 (
+	.A(n_31178),
+	.Y(n_13020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g784531 (
+	.A(n_31184),
+	.Y(n_13018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g784535 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [32]),
+	.Y(n_516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g784539 (
+	.A(n_31183),
+	.Y(n_13010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g784541 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [42]),
+	.Y(n_13008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g784544 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [33]),
+	.Y(n_13005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g784545 (
+	.A(n_29357),
+	.Y(n_12246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g784549 (
+	.A(n_35003),
+	.Y(n_13000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g784550 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [23]),
+	.Y(n_12999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g784557 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[3]),
+	.Y(n_12992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g784558 (
+	.A(pwm2_oe),
+	.Y(n_12991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g784559 (
+	.A(pwm1_oe),
+	.Y(n_12990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g784560 (
+	.A(n_30768),
+	.Y(n_12989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g784561 (
+	.A(n_76345),
+	.Y(n_12988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g784567 (
+	.A(n_85475),
+	.Y(n_12982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g784569 (
+	.A(n_29698),
+	.Y(n_12980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g784570 (
+	.A(n_30763),
+	.Y(n_12979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g784573 (
+	.A(n_75798),
+	.Y(n_12976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g784587 (
+	.A(n_30664),
+	.Y(n_12962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g784589 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [31]),
+	.Y(n_12960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g784602 (
+	.A(n_29898),
+	.Y(n_12953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g784615 (
+	.A(n_15974),
+	.Y(n_12943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g784627 (
+	.A(n_29362),
+	.Y(n_12931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g784628 (
+	.A(n_29377),
+	.Y(n_12248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g784637 (
+	.A(io_out[4]),
+	.Y(n_12921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g784638 (
+	.A(n_42370),
+	.Y(n_12920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g784654 (
+	.A(n_38885),
+	.Y(n_12904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g784657 (
+	.A(n_30358),
+	.Y(n_12901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g784665 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [28]),
+	.Y(n_12893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g784693 (
+	.A(n_57861),
+	.Y(n_12865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g784694 (
+	.A(soc_top_prog_rst_ni),
+	.Y(n_12864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g721022 (
+	.A(n_12792),
+	.B(n_12807),
+	.Y(soc_top_u_top_u_core_id_stage_i_branch_set), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721027 (
+	.A(FE_DBTN112_n_12763),
+	.B(n_12791),
+	.Y(n_12808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g721029 (
+	.A(n_71683),
+	.Y(n_12807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g721035 (
+	.A(n_12804),
+	.Y(n_12805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g721036 (
+	.A(n_12787),
+	.B(n_47037),
+	.Y(n_12804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g721039 (
+	.A1(n_12764),
+	.A2(soc_top_u_top_u_core_csr_access),
+	.B1(n_12667),
+	.Y(n_344111_BAR), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g721040 (
+	.A(n_12799),
+	.Y(n_12802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g721041 (
+	.A(n_12797),
+	.Y(n_12801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g721044 (
+	.A(n_12786),
+	.B(n_64180),
+	.Y(n_12799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g721046 (
+	.A(n_12796),
+	.B(n_58816),
+	.Y(n_12797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g721047 (
+	.A(n_218),
+	.Y(n_29793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g721048 (
+	.A(FE_DBTN112_n_12763),
+	.B(n_12550),
+	.Y(n_12796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g721052 (
+	.A_N(n_29726),
+	.B(soc_top_u_top_u_core_id_stage_i_branch_in_dec),
+	.Y(n_12792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g721053 (
+	.A(n_29726),
+	.B(n_29762),
+	.Y(n_29795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g721056 (
+	.A(n_80419),
+	.B(n_12762),
+	.X(n_12791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g721059 (
+	.A(n_12767),
+	.B(n_12723),
+	.Y(n_12788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g721060 (
+	.A(n_56166),
+	.B(n_12768),
+	.Y(n_12787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g721061 (
+	.A(n_56166),
+	.B(n_12769),
+	.Y(n_12786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g721062 (
+	.A_N(n_29726),
+	.B(soc_top_u_top_u_core_id_stage_i_jump_set_dec),
+	.C(soc_top_u_top_u_core_id_stage_i_jump_in_dec),
+	.X(soc_top_u_top_u_core_id_stage_i_jump_set), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g721071 (
+	.A(n_12731),
+	.B(soc_top_u_top_u_core_csr_op[0]),
+	.C(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
+	.D(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.X(n_344238_BAR), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g721073 (
+	.A(n_36165),
+	.B(n_12684),
+	.Y(n_12777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g721075 (
+	.A(n_80419),
+	.B(n_12762),
+	.Y(n_12775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g721076 (
+	.A_N(n_72257),
+	.B(soc_top_u_top_u_core_id_stage_i_lsu_req_dec),
+	.Y(n_29318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g721077 (
+	.A(soc_top_u_top_u_core_id_stage_i_id_fsm_q),
+	.B(n_72257),
+	.X(n_29726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g721084 (
+	.A(n_12754),
+	.B(n_62537),
+	.Y(n_12769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g721085 (
+	.A(n_12759),
+	.B(n_62537),
+	.Y(n_12768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721086 (
+	.A(n_12747),
+	.B(n_31409),
+	.Y(n_12767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g721089 (
+	.A1(soc_top_u_top_u_core_debug_mode),
+	.A2(n_29335),
+	.B1(n_12729),
+	.C1(n_12725),
+	.D1(n_12721),
+	.Y(n_12764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g721091 (
+	.A(n_56963),
+	.B(n_56166),
+	.Y(n_12763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g721093 (
+	.A(n_27055),
+	.B(n_12717),
+	.COUT(n_12762),
+	.SUM(n_12760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721094 (
+	.A(n_56961),
+	.B(n_73858),
+	.Y(n_12759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g721095 (
+	.A(n_56961),
+	.B_N(n_64150),
+	.Y(n_12758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g721097 (
+	.A(n_56964),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [1]),
+	.Y(n_12756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g721099 (
+	.A_N(n_81755),
+	.B(n_56961),
+	.Y(n_12754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g721104 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[26]),
+	.C(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.D(n_12730),
+	.X(soc_top_u_top_u_core_id_stage_i_n_516_BAR), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721106 (
+	.A(n_12740),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_23 ),
+	.Y(n_12747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 g721107 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[1] [1]),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[1] [0]),
+	.B1_N(n_29544),
+	.X(n_30180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721115 (
+	.A(n_12727),
+	.B(n_29616),
+	.Y(n_29762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g721117 (
+	.A(n_12740),
+	.Y(n_12741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g721119 (
+	.A(n_12724),
+	.B(n_12728),
+	.Y(n_12738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721120 (
+	.A(n_75601),
+	.B(n_12733),
+	.Y(n_12737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_4 g721121 (
+	.A1(n_29575),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.B1_N(n_12700),
+	.Y(n_12740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721124 (
+	.A(n_86914),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [4]),
+	.Y(n_12733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g721126 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[26]),
+	.B(n_12692),
+	.C_N(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
+	.Y(n_12731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g721127 (
+	.A_N(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
+	.B(n_12669),
+	.C(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.D(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
+	.Y(n_12730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g721128 (
+	.A1(n_12414),
+	.A2(n_12661),
+	.B1(n_12503),
+	.B2(n_12571),
+	.C1(n_12676),
+	.C2(n_29326),
+	.Y(n_12729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g721129 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [44]),
+	.A2(n_12311),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [38]),
+	.B2(n_12248),
+	.C1(n_12715),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[1] [1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g721133 (
+	.A1(n_12670),
+	.A2(n_12618),
+	.B1(n_12610),
+	.B2(n_12414),
+	.C1(n_12716),
+	.Y(n_12725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_1 g721134 (
+	.A(n_12622),
+	.B(n_12690),
+	.C_N(n_71676),
+	.X(n_12724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g721135 (
+	.A(n_31410),
+	.B(n_12701),
+	.C(n_12702),
+	.Y(n_12723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g721136 (
+	.A(soc_top_u_top_u_core_id_stage_i_controller_run),
+	.B(n_12703),
+	.C(soc_top_u_top_u_core_instr_valid_id),
+	.Y(n_12722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o311a_1 g721137 (
+	.A1(n_12503),
+	.A2(n_12571),
+	.A3(n_12620),
+	.B1(n_12693),
+	.C1(n_12707),
+	.X(n_12721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g721138 (
+	.A0(n_72440),
+	.A1(n_12689),
+	.S(n_30703),
+	.Y(n_12728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g721139 (
+	.A0(n_12673),
+	.A1(soc_top_u_top_u_core_out_valid_fpu2c),
+	.S(soc_top_u_top_u_core_is_fp_instr),
+	.Y(n_12727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g721142 (
+	.A(n_61094),
+	.B(n_12415),
+	.COUT(n_12717),
+	.SUM(n_12718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g721143 (
+	.A1(n_12616),
+	.A2(n_29336),
+	.B1(n_12611),
+	.B2(soc_top_u_top_u_core_cs_registers_i_n_6282),
+	.Y(n_12716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g721145 (
+	.A1(n_15974),
+	.A2(n_12308),
+	.B1(n_12617),
+	.C1(n_12379),
+	.D1(n_12380),
+	.Y(n_12715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g721152 (
+	.A1(n_12632),
+	.A2(n_12591),
+	.B1(n_12615),
+	.B2(n_29321),
+	.C1(n_12697),
+	.Y(n_12707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4bb_1 g721155 (
+	.A(n_34518),
+	.B(n_74961),
+	.C_N(n_29310),
+	.D_N(n_35208),
+	.Y(\soc_top_dccm_to_xbar[a_ready] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g721157 (
+	.A(n_12700),
+	.B(n_12639),
+	.Y(n_29575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g721158 (
+	.A(n_12698),
+	.Y(n_29876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g721160 (
+	.A(n_29597),
+	.Y(n_12700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g721161 (
+	.A(soc_top_u_top_u_core_id_stage_i_lsu_req_dec),
+	.B_N(n_29765),
+	.Y(n_29696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g721162 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [34]),
+	.A2(n_12406),
+	.B1(n_12662),
+	.Y(n_30218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g721164 (
+	.A1(soc_top_u_top_u_core_instr_rdata_id[8]),
+	.A2(n_36191),
+	.B1(soc_top_u_top_u_core_rf_raddr_b[1]),
+	.B2(n_12413),
+	.C1(n_35242),
+	.C2(soc_top_u_top_u_core_instr_is_compressed_id),
+	.Y(n_12698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 g721165 (
+	.A1(n_29331),
+	.A2(soc_top_u_top_u_core_cs_registers_i_n_6776),
+	.B1_N(n_29319),
+	.X(n_12697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g721169 (
+	.A1(n_29320),
+	.A2(n_12619),
+	.B1(n_12665),
+	.Y(n_12693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g721170 (
+	.A(n_12543),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.C(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.D(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
+	.Y(n_12692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g721172 (
+	.A(n_29314),
+	.B(n_29782),
+	.C(n_12551),
+	.Y(soc_top_u_top_u_core_out_valid_fpu2c), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g721173 (
+	.A(n_29331),
+	.B(soc_top_u_top_u_core_cs_registers_i_n_6223),
+	.C(n_29332),
+	.X(n_29335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g721174 (
+	.A_N(n_29373),
+	.B(n_12666),
+	.Y(n_12703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g721175 (
+	.A(n_29777),
+	.B_N(FE_DBTN68_n_54295),
+	.Y(n_12702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g721176 (
+	.A(n_29761),
+	.B(FE_DBTN68_n_54295),
+	.Y(n_12701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g721177 (
+	.A(n_12650),
+	.B(n_12651),
+	.Y(n_29597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g721178 (
+	.A1(FE_DBTN156_soc_top_u_top_u_core_instr_rdata_id_20),
+	.A2(n_29468),
+	.B1(soc_top_u_top_u_core_id_stage_i_bt_b_mux_sel[1]),
+	.B2(n_29467),
+	.C1(n_12629),
+	.Y(n_29887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g721179 (
+	.A(n_12565),
+	.B(n_12566),
+	.C(n_12636),
+	.Y(n_30217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g721180 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [47]),
+	.A2(n_12406),
+	.B1(n_12659),
+	.Y(n_30231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g721181 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [41]),
+	.A2(n_12406),
+	.B1(n_12658),
+	.Y(n_30225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g721182 (
+	.A1(n_12407),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [40]),
+	.B1(n_12657),
+	.X(n_30224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g721183 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [50]),
+	.A2(n_12406),
+	.B1(n_12664),
+	.Y(n_30234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g721184 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [46]),
+	.A2(n_12406),
+	.B1(n_12654),
+	.Y(n_30230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g721185 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [39]),
+	.A2(n_12406),
+	.B1(n_12655),
+	.Y(n_30223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g721186 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [49]),
+	.A2(n_12406),
+	.B1(n_12656),
+	.Y(n_30233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g721187 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [35]),
+	.A2(n_12406),
+	.B1(n_12663),
+	.Y(n_30219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g721188 (
+	.A1(soc_top_u_top_u_core_instr_is_compressed_id),
+	.A2(n_12569),
+	.B1(n_12653),
+	.Y(n_29877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g721189 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [45]),
+	.A2(n_12406),
+	.B1(n_12652),
+	.Y(n_30229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g721190 (
+	.A1(n_12407),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [48]),
+	.B1(n_12660),
+	.X(n_30232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g721191 (
+	.A(n_12597),
+	.B(n_29863),
+	.X(n_12689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o311ai_1 g721193 (
+	.A1(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]),
+	.A2(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]),
+	.A3(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
+	.B1(soc_top_u_top_u_core_instr_valid_id),
+	.C1(n_12641),
+	.Y(n_12687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g721195 (
+	.A1(n_12612),
+	.A2(n_29338),
+	.B1(n_29779),
+	.C1(n_12553),
+	.Y(n_12690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g721203 (
+	.A_N(soc_top_u_top_u_core_cs_registers_i_n_6876),
+	.B(soc_top_u_top_u_core_cs_registers_i_n_6243),
+	.Y(n_12676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g721204 (
+	.A(n_72954),
+	.B(\soc_top_lsu_to_xbar[a_address] [26]),
+	.Y(n_12675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721207 (
+	.A(n_72256),
+	.B(soc_top_u_top_u_core_csr_access),
+	.Y(n_29523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g721209 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [0]),
+	.A2(n_29305),
+	.B1(n_29615),
+	.B2(soc_top_u_top_u_core_multdiv_operator_ex[1]),
+	.C1(n_34952),
+	.Y(n_12673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g721210 (
+	.A1(n_29338),
+	.A2(n_12441),
+	.B1(soc_top_u_top_u_core_alu_operator_ex[0]),
+	.B2(n_29506),
+	.C1(n_12541),
+	.Y(n_12672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721212 (
+	.A(n_12623),
+	.B(n_29330),
+	.Y(n_12670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g721213 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.B(soc_top_u_top_u_core_instr_rdata_id[31]),
+	.C_N(n_36189),
+	.Y(n_12669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g721215 (
+	.A1(soc_top_u_top_u_core_priv_mode_id[0]),
+	.A2(n_29326),
+	.B1(soc_top_u_top_u_core_priv_mode_id[1]),
+	.B2(n_29321),
+	.C1(n_12546),
+	.Y(n_12667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g721216 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [43]),
+	.A2(n_12406),
+	.B1(n_12607),
+	.Y(n_30227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g721217 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [42]),
+	.A2(n_12406),
+	.B1(n_12605),
+	.Y(n_30226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g721218 (
+	.A(n_76176),
+	.B(n_12564),
+	.Y(n_30216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g721219 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [31]),
+	.A2(n_12406),
+	.B1(n_12604),
+	.Y(n_30215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g721220 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [30]),
+	.A2(n_12406),
+	.B1(n_12603),
+	.Y(n_30214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g721221 (
+	.A(n_87138),
+	.B(n_12559),
+	.Y(n_30213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g721222 (
+	.A1(n_29367),
+	.A2(n_12538),
+	.B1(n_29372),
+	.B2(n_12368),
+	.Y(n_12666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g721223 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [38]),
+	.A2(n_12406),
+	.B1(n_12601),
+	.Y(n_30222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g721224 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [37]),
+	.A2(n_12406),
+	.B1(n_12600),
+	.Y(n_30221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g721225 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [44]),
+	.A2(n_12406),
+	.B1(n_12621),
+	.Y(n_30228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g721226 (
+	.A1(n_12407),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [36]),
+	.B1(n_12599),
+	.X(n_30220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g721227 (
+	.A1(n_29323),
+	.A2(n_29331),
+	.A3(n_29325),
+	.B1(n_12631),
+	.Y(n_12665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g721231 (
+	.A(n_12643),
+	.B_N(soc_top_u_top_u_core_fp_frm_fpnew[2]),
+	.Y(n_31410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4bb_1 g721232 (
+	.A_N(soc_top_u_top_u_core_cs_registers_i_n_6223),
+	.B_N(n_29329),
+	.C(n_29333),
+	.D(n_29331),
+	.Y(n_29336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4bb_1 g721233 (
+	.A(n_29333),
+	.B(soc_top_u_top_u_core_cs_registers_i_n_6269),
+	.C_N(n_29329),
+	.D_N(n_29330),
+	.X(soc_top_u_top_u_core_cs_registers_i_n_6282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g721234 (
+	.A(n_29729),
+	.B(soc_top_u_top_u_core_fp_flush),
+	.X(n_29314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g721235 (
+	.A_N(n_29324),
+	.B(n_12590),
+	.C(n_12591),
+	.Y(n_29332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g721236 (
+	.A_N(\soc_top_xbar_to_lsu[d_valid] ),
+	.B(n_12641),
+	.Y(n_29765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_1 g721238 (
+	.A(soc_top_u_top_u_core_fp_frm_fpnew[2]),
+	.B(soc_top_u_top_u_core_fp_frm_fpnew[0]),
+	.C_N(soc_top_u_top_u_core_fp_frm_fpnew[1]),
+	.X(n_29777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2111o_1 g721239 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [43]),
+	.A2(n_12311),
+	.B1(n_12381),
+	.C1(n_12544),
+	.D1(n_12609),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[1] [0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_8 g721240 (
+	.A(soc_top_u_top_u_core_fp_frm_fpnew[2]),
+	.B(n_12643),
+	.Y(n_31409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721241 (
+	.A(n_12643),
+	.B(soc_top_u_top_u_core_fp_frm_fpnew[2]),
+	.Y(n_12684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g721242 (
+	.A(soc_top_u_top_u_core_fp_frm_fpnew[2]),
+	.B(n_29488),
+	.X(n_29761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g721245 (
+	.A1(n_12589),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [3]),
+	.B1(n_12405),
+	.B2(n_30587),
+	.X(n_30184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g721249 (
+	.A1(n_12577),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [16]),
+	.B1(n_12405),
+	.B2(n_29900),
+	.X(n_30197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g721250 (
+	.A1(n_12576),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [53]),
+	.B1(n_12407),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [50]),
+	.Y(n_12664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g721251 (
+	.A1(n_12572),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [38]),
+	.B1(n_12407),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [35]),
+	.Y(n_12663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g721252 (
+	.A1(n_12577),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [15]),
+	.B1(n_12405),
+	.B2(n_29899),
+	.X(n_30196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g721253 (
+	.A1(n_12585),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [14]),
+	.B1(n_12405),
+	.B2(n_29898),
+	.X(n_30195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g721254 (
+	.A1(n_12585),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [13]),
+	.B1(n_12405),
+	.B2(n_29897),
+	.X(n_30194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g721255 (
+	.A1(n_12572),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [37]),
+	.B1(n_12568),
+	.Y(n_12662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g721256 (
+	.A1(n_12585),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [12]),
+	.B1(n_12405),
+	.B2(n_30593),
+	.X(n_30193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g721257 (
+	.A1(n_12573),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [11]),
+	.B1(n_12405),
+	.B2(n_30592),
+	.X(n_30192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g721258 (
+	.A1(n_12573),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [10]),
+	.B1(n_12405),
+	.B2(n_30591),
+	.X(n_30191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g721259 (
+	.A1_N(n_12500),
+	.A2_N(soc_top_u_top_u_core_cs_registers_i_n_6243),
+	.B1(n_29328),
+	.B2(n_29329),
+	.Y(n_12661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g721260 (
+	.A1(n_12573),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [9]),
+	.B1(n_12405),
+	.B2(n_30590),
+	.X(n_30190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g721261 (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [51]),
+	.A2_N(n_12576),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [48]),
+	.B2(n_12406),
+	.Y(n_12660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g721262 (
+	.A1(n_12575),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [8]),
+	.B1(n_12405),
+	.B2(n_29509),
+	.X(n_30189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g721263 (
+	.A1(n_12575),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [7]),
+	.B1(n_12405),
+	.B2(n_29510),
+	.X(n_30188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g721264 (
+	.A1(n_12575),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [6]),
+	.B1(n_12405),
+	.B2(n_75584),
+	.X(n_30187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g721265 (
+	.A1(n_12584),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [50]),
+	.B1(n_12407),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [47]),
+	.Y(n_12659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g721266 (
+	.A1(n_12589),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [5]),
+	.B1(n_12405),
+	.B2(n_30589),
+	.X(n_30186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g721267 (
+	.A1(n_12589),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [4]),
+	.B1(n_12405),
+	.B2(n_30588),
+	.X(n_30185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g721269 (
+	.A1(n_12578),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [44]),
+	.B1(n_12407),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [41]),
+	.Y(n_12658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g721270 (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [43]),
+	.A2_N(n_12578),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [40]),
+	.B2(n_12406),
+	.Y(n_12657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g721271 (
+	.A1(n_12579),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [27]),
+	.B1(n_12405),
+	.B2(n_29911),
+	.X(n_30208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g721272 (
+	.A1(n_12574),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [26]),
+	.B1(n_12405),
+	.B2(n_29910),
+	.X(n_30207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g721273 (
+	.A1(n_12576),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [52]),
+	.B1(n_12407),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [49]),
+	.Y(n_12656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g721274 (
+	.A1(n_12578),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [42]),
+	.B1(n_12407),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [39]),
+	.Y(n_12655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g721275 (
+	.A1(n_12574),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [25]),
+	.B1(n_12405),
+	.B2(n_29909),
+	.X(n_30206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g721276 (
+	.A1(n_12574),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [24]),
+	.B1(n_12405),
+	.B2(n_29908),
+	.X(n_30205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g721277 (
+	.A1(n_12584),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [49]),
+	.B1(n_12407),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [46]),
+	.Y(n_12654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g721278 (
+	.A1(n_12582),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [23]),
+	.B1(n_12405),
+	.B2(n_29907),
+	.X(n_30204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g721279 (
+	.A1(n_36191),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[11]),
+	.B1(n_12413),
+	.B2(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.X(n_29880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g721280 (
+	.A1(n_12582),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [22]),
+	.B1(n_12405),
+	.B2(n_29906),
+	.X(n_30203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g721281 (
+	.A1(n_36191),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[10]),
+	.B1(n_12413),
+	.B2(soc_top_u_top_u_core_rf_raddr_b[3]),
+	.X(n_29879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g721282 (
+	.A1(n_36191),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[9]),
+	.B1(n_12413),
+	.B2(soc_top_u_top_u_core_rf_raddr_b[2]),
+	.Y(n_12653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g721283 (
+	.A1(n_12582),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [21]),
+	.B1(n_12405),
+	.B2(n_29905),
+	.X(n_30202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g721284 (
+	.A1(n_12584),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [48]),
+	.B1(n_12407),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [45]),
+	.Y(n_12652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g721285 (
+	.A1(n_12583),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [20]),
+	.B1(n_12405),
+	.B2(n_29904),
+	.X(n_30201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g721286 (
+	.A(n_12627),
+	.B(n_84362),
+	.Y(n_12651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g721287 (
+	.A1(n_12583),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [19]),
+	.B1(n_12405),
+	.B2(n_29903),
+	.X(n_30200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g721288 (
+	.A(n_12625),
+	.B(n_12624),
+	.Y(n_12650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g721289 (
+	.A1(n_12583),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [18]),
+	.B1(n_12405),
+	.B2(n_29902),
+	.X(n_30199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g721290 (
+	.A1(n_12577),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [17]),
+	.B1(n_12405),
+	.B2(n_29901),
+	.X(n_30198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g721298 (
+	.A1(n_12502),
+	.A2(n_16425),
+	.B1_N(n_29517),
+	.Y(n_12640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g721299 (
+	.A(n_12530),
+	.B(n_12529),
+	.Y(n_12639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g721300 (
+	.A(n_12586),
+	.B(n_12594),
+	.Y(n_12638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g721301 (
+	.A(n_12286),
+	.B(n_57525),
+	.Y(n_12637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721302 (
+	.A(n_12572),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [36]),
+	.Y(n_12636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g721303 (
+	.A1(n_12502),
+	.A2(n_45053),
+	.B1_N(n_29517),
+	.Y(n_12635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g721305 (
+	.A(n_12579),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [28]),
+	.X(n_30209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g721306 (
+	.A1(n_12502),
+	.A2(n_31404),
+	.B1_N(n_29517),
+	.Y(n_12634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g721307 (
+	.A1(n_12502),
+	.A2(n_31405),
+	.B1_N(n_29517),
+	.Y(n_12633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721308 (
+	.A(n_12590),
+	.B(n_29330),
+	.Y(n_12632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721309 (
+	.A(soc_top_u_top_u_core_cs_registers_i_n_6269),
+	.B(n_29327),
+	.Y(n_12631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g721310 (
+	.A1(n_12502),
+	.A2(n_36009),
+	.B1_N(n_29517),
+	.Y(n_12630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721311 (
+	.A(n_36191),
+	.B(soc_top_u_top_u_core_instr_rdata_id[7]),
+	.Y(n_12629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g721312 (
+	.A(n_35242),
+	.B_N(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.Y(n_29886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g721313 (
+	.A(n_35242),
+	.B_N(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.Y(n_29885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g721314 (
+	.A(n_35242),
+	.B_N(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
+	.Y(n_29884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g721315 (
+	.A(n_35242),
+	.B_N(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
+	.Y(n_29883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g721317 (
+	.A(n_35242),
+	.B_N(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
+	.Y(n_29881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g721318 (
+	.A1(n_12502),
+	.A2(n_31407),
+	.B1_N(n_29517),
+	.Y(n_12628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g721319 (
+	.A(n_12103),
+	.B(n_12517),
+	.Y(n_30413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g721320 (
+	.A(n_12528),
+	.B(n_12527),
+	.Y(n_12627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721322 (
+	.A(n_12523),
+	.B(n_12524),
+	.Y(n_12625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721323 (
+	.A(n_12521),
+	.B(n_12522),
+	.Y(n_12624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g721324 (
+	.A_N(n_29325),
+	.B(soc_top_u_top_u_core_cs_registers_i_n_6240),
+	.Y(n_12623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g721325 (
+	.A_N(n_29322),
+	.B(n_12503),
+	.Y(n_29324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g721326 (
+	.A_N(n_12571),
+	.B(n_29321),
+	.Y(soc_top_u_top_u_core_cs_registers_i_n_6876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721328 (
+	.A(soc_top_u_top_u_core_fp_frm_fpnew[1]),
+	.B(soc_top_u_top_u_core_fp_frm_fpnew[0]),
+	.Y(n_29488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g721329 (
+	.A_N(soc_top_u_top_u_core_cs_registers_i_n_6243),
+	.B(n_12500),
+	.Y(soc_top_u_top_u_core_cs_registers_i_n_6776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g721331 (
+	.A(soc_top_u_top_u_core_fp_frm_fpnew[0]),
+	.B(soc_top_u_top_u_core_fp_frm_fpnew[1]),
+	.X(n_12643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g721333 (
+	.A(n_35447),
+	.B(n_12518),
+	.Y(n_12642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g721334 (
+	.A1(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [1]),
+	.A2(n_12388),
+	.B1(n_29373),
+	.Y(n_12641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g721335 (
+	.A1(n_12510),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [47]),
+	.B1(n_12407),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [44]),
+	.Y(n_12621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721337 (
+	.A(n_12406),
+	.B(n_12556),
+	.Y(n_30212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g721338 (
+	.A1(soc_top_u_top_u_core_id_stage_i_branch_in_dec),
+	.A2(soc_top_u_top_u_core_id_stage_i_jump_in_dec),
+	.A3(n_29616),
+	.B1(soc_top_u_top_u_core_id_stage_i_id_fsm_q),
+	.Y(n_29524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g721339 (
+	.A1(n_29326),
+	.A2(n_29329),
+	.B1(n_29328),
+	.X(n_12620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g721340 (
+	.A1(n_29325),
+	.A2(n_29328),
+	.B1(n_29323),
+	.Y(n_12619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g721341 (
+	.A(n_12411),
+	.B(n_29331),
+	.C(n_29320),
+	.X(n_12618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g721342 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [39]),
+	.A2(n_12312),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [33]),
+	.B2(n_12250),
+	.C1(n_12246),
+	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [45]),
+	.Y(n_12617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g721343 (
+	.A(n_29326),
+	.B(n_12411),
+	.C(n_12571),
+	.X(n_12616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g721344 (
+	.A(n_29330),
+	.B(n_29327),
+	.C(n_29326),
+	.Y(n_12615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g721346 (
+	.A(n_12542),
+	.B(\soc_top_lsu_to_xbar[a_address] [11]),
+	.Y(n_12613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g721347 (
+	.A1(soc_top_u_top_u_core_alu_operator_ex[3]),
+	.A2(n_17730),
+	.B1(soc_top_u_top_u_core_alu_operator_ex[2]),
+	.C1(n_12373),
+	.Y(n_12612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g721348 (
+	.A1(n_12503),
+	.A2(n_29328),
+	.B1_N(n_29320),
+	.Y(n_12611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g721349 (
+	.A1(n_12412),
+	.A2(n_29328),
+	.B1(n_29320),
+	.Y(n_12610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g721350 (
+	.A1(n_15977),
+	.A2(n_12308),
+	.B1(n_12382),
+	.C1(n_12450),
+	.D1(n_12352),
+	.Y(n_12609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g721352 (
+	.A1(n_12510),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [46]),
+	.B1(n_12407),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [43]),
+	.Y(n_12607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g721354 (
+	.A1(n_31292),
+	.A2(n_12283),
+	.B1(n_12560),
+	.X(n_31259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g721355 (
+	.A1(n_12510),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [45]),
+	.B1(n_12407),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [42]),
+	.Y(n_12605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g721356 (
+	.A1(n_12508),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [34]),
+	.B1(n_12407),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [31]),
+	.Y(n_12604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g721357 (
+	.A1(n_12418),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [2]),
+	.B1(n_12405),
+	.B2(n_30586),
+	.X(n_30183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g721358 (
+	.A1(n_12329),
+	.A2(n_12509),
+	.B1(n_12313),
+	.B2(n_12408),
+	.X(n_12603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g721359 (
+	.A1_N(n_30585),
+	.A2_N(n_12405),
+	.B1(n_12257),
+	.B2(n_12419),
+	.Y(n_30182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g721360 (
+	.A1_N(n_30584),
+	.A2_N(n_12405),
+	.B1(FE_DBTN139_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_0),
+	.B2(n_12419),
+	.Y(n_30181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g721362 (
+	.A1(n_12416),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [41]),
+	.B1(n_12407),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [38]),
+	.Y(n_12601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g721363 (
+	.A1(n_12416),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [40]),
+	.B1(n_12407),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [37]),
+	.Y(n_12600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g721364 (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [39]),
+	.A2_N(n_12416),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [36]),
+	.B2(n_12406),
+	.Y(n_12599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g721365 (
+	.A(n_87553),
+	.B(FE_DBTN113_n_35471),
+	.C(n_35473),
+	.X(n_12598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g721366 (
+	.A0(n_12406),
+	.A1(n_12408),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [51]),
+	.Y(n_30235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g721367 (
+	.A0(n_12406),
+	.A1(n_12408),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [52]),
+	.Y(n_30236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g721368 (
+	.A(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.C(n_12531),
+	.D(soc_top_dccm_adapter_data_mem_u_sramreqfifo_n_69),
+	.X(n_29310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g721369 (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [0]),
+	.A2_N(n_29771),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [1]),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [0]),
+	.Y(n_29729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g721370 (
+	.A1(soc_top_u_top_u_core_alu_operator_ex[2]),
+	.A2(n_12244),
+	.B1(soc_top_u_top_u_core_alu_operator_ex[0]),
+	.C1(n_12536),
+	.Y(n_12597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g721371 (
+	.A(n_17730),
+	.B(n_29779),
+	.C(n_12420),
+	.Y(n_12622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g721375 (
+	.A(n_12593),
+	.Y(n_29689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g721379 (
+	.A(n_57525),
+	.Y(n_12586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g721382 (
+	.A(n_35242),
+	.Y(n_12569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g721383 (
+	.A1(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.A2(n_35447),
+	.B1_N(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.Y(n_29546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721384 (
+	.A0(n_30501),
+	.A1(n_30500),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721385 (
+	.A0(n_30502),
+	.A1(n_30501),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721386 (
+	.A0(n_30502),
+	.A1(n_30503),
+	.S(n_12103),
+	.X(n_30449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721387 (
+	.A0(n_30504),
+	.A1(n_30503),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g721388 (
+	.A1(n_30505),
+	.A2(n_12103),
+	.B1(n_30504),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g721389 (
+	.A(n_12408),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [34]),
+	.Y(n_12568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g721390 (
+	.A1(n_30506),
+	.A2(n_12103),
+	.B1(n_30505),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g721391 (
+	.A(soc_top_u_top_u_core_csr_op[0]),
+	.B_N(n_29505),
+	.Y(n_12567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g721392 (
+	.A1(n_30507),
+	.A2(n_12103),
+	.B1(n_30506),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721393 (
+	.A(n_12407),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [33]),
+	.Y(n_12566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g721394 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [33]),
+	.B(n_30237),
+	.Y(n_12565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721395 (
+	.A0(n_30508),
+	.A1(n_30507),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g721396 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [32]),
+	.B(n_30237),
+	.Y(n_12564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g721399 (
+	.A(n_12509),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [35]),
+	.Y(n_12561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g721400 (
+	.A(n_61063),
+	.B(n_35231),
+	.Y(n_12560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721401 (
+	.A0(n_30509),
+	.A1(n_30508),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721402 (
+	.A0(n_30510),
+	.A1(n_30509),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g721403 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [29]),
+	.B(n_30237),
+	.Y(n_12559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721406 (
+	.A(n_12417),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [31]),
+	.Y(n_12556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721407 (
+	.A(\soc_top_xbar_to_lsu[d_valid] ),
+	.B(n_12423),
+	.Y(n_12555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g721408 (
+	.A(n_12417),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [30]),
+	.X(n_30211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g721409 (
+	.A1(n_30511),
+	.A2(n_12103),
+	.B1(n_30510),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721410 (
+	.A0(n_30512),
+	.A1(n_30511),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721411 (
+	.A0(n_30513),
+	.A1(n_30512),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g721412 (
+	.A1(n_30514),
+	.A2(n_12103),
+	.B1(n_30513),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g721414 (
+	.A1(n_30515),
+	.A2(n_12103),
+	.B1(n_30514),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721415 (
+	.A0(n_30516),
+	.A1(n_30515),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g721416 (
+	.A(soc_top_u_top_u_core_alu_adder_result_ex[15]),
+	.B(n_35257),
+	.Y(n_12554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721417 (
+	.A0(n_30517),
+	.A1(n_30516),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721418 (
+	.A0(n_30518),
+	.A1(n_30517),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721419 (
+	.A0(n_30518),
+	.A1(n_30519),
+	.S(n_12103),
+	.X(n_30465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721420 (
+	.A0(n_30520),
+	.A1(n_30519),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721421 (
+	.A0(n_30521),
+	.A1(n_30520),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721422 (
+	.A0(n_30522),
+	.A1(n_30521),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 g721423 (
+	.A0(n_30524),
+	.A1(n_30523),
+	.S(n_12103),
+	.X(n_30469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721424 (
+	.A0(n_30475),
+	.A1(n_30474),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721425 (
+	.A0(n_30483),
+	.A1(n_30482),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721426 (
+	.A0(n_30481),
+	.A1(n_30480),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g721427 (
+	.A(n_29338),
+	.B_N(n_12420),
+	.Y(n_12553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g721429 (
+	.A1(soc_top_u_top_u_core_fp_alu_operator[1]),
+	.A2(soc_top_u_top_u_core_fp_alu_operator[3]),
+	.B1(soc_top_u_top_u_core_instr_valid_id),
+	.C1(soc_top_u_top_u_core_is_fp_instr),
+	.Y(n_12551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g721430 (
+	.A1(n_81755),
+	.A2(n_40688),
+	.B1(n_12415),
+	.X(n_12550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g721434 (
+	.A_N(soc_top_u_top_u_core_id_stage_i_bt_b_mux_sel[2]),
+	.B(n_12413),
+	.C(soc_top_u_top_u_core_instr_rdata_id[20]),
+	.X(n_29878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g721435 (
+	.A1(soc_top_u_top_u_core_fp_frm_csr[0]),
+	.A2(soc_top_u_top_u_core_fp_frm_csr[1]),
+	.B1_N(n_12422),
+	.Y(n_12546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g721437 (
+	.A1(n_29361),
+	.A2(n_12253),
+	.B1(n_29362),
+	.B2(n_12331),
+	.Y(n_12544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g721438 (
+	.A(soc_top_u_top_u_core_rf_raddr_b[3]),
+	.B(soc_top_u_top_u_core_rf_raddr_b[2]),
+	.C(soc_top_u_top_u_core_instr_rdata_id[31]),
+	.Y(n_12543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721439 (
+	.A(n_12421),
+	.B(n_12362),
+	.Y(n_12542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g721441 (
+	.A1(n_773),
+	.A2(n_18028),
+	.B1(n_29338),
+	.Y(n_12541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_1 g721442 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]),
+	.B(\soc_top_xbar_to_lsu[d_valid] ),
+	.C_N(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]),
+	.X(n_29521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721444 (
+	.A(n_12406),
+	.B(n_12377),
+	.Y(n_30444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g721445 (
+	.A1(n_71109),
+	.A2(\soc_top_xbar_to_lsu[d_valid] ),
+	.B1(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
+	.Y(n_12539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g721446 (
+	.A(soc_top_u_top_u_core_id_stage_i_n_1176),
+	.B(soc_top_u_top_u_core_id_stage_i_rf_ren_b),
+	.C(soc_top_u_top_u_core_use_fp_rs2),
+	.Y(n_12538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g721448 (
+	.A1(soc_top_u_top_u_core_alu_operator_ex[3]),
+	.A2(n_18028),
+	.B1(soc_top_u_top_u_core_alu_operator_ex[2]),
+	.Y(n_12536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g721450 (
+	.A(n_58717),
+	.B(n_12286),
+	.Y(n_12594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721451 (
+	.A(n_58717),
+	.B(n_56948),
+	.Y(n_12593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_2 g721452 (
+	.A(soc_top_u_top_u_core_id_stage_i_bt_b_mux_sel[0]),
+	.B(soc_top_u_top_u_core_id_stage_i_bt_b_mux_sel[2]),
+	.C_N(soc_top_u_top_u_core_instr_rdata_id[31]),
+	.X(n_29467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g721453 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
+	.B(n_29720),
+	.Y(n_29792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721455 (
+	.A(n_12413),
+	.B(soc_top_u_top_u_core_id_stage_i_bt_b_mux_sel[2]),
+	.Y(n_29468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g721456 (
+	.A(n_29699),
+	.B(n_29770),
+	.X(n_29782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g721458 (
+	.A(n_29323),
+	.B_N(n_29320),
+	.Y(n_12591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g721459 (
+	.A1(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [0]),
+	.A2(n_12309),
+	.B1(n_29598),
+	.Y(n_31544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721460 (
+	.A(n_29333),
+	.B(n_12412),
+	.Y(soc_top_u_top_u_core_cs_registers_i_n_6240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g721461 (
+	.A_N(n_29321),
+	.B(n_29334),
+	.Y(n_29322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g721462 (
+	.A(n_29326),
+	.B(n_29328),
+	.Y(n_12590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g721463 (
+	.A(n_29323),
+	.B(n_29320),
+	.X(n_29319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g721464 (
+	.A(n_29346),
+	.B(n_12410),
+	.Y(n_12589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g721466 (
+	.A(n_35122),
+	.B(n_12410),
+	.Y(n_12585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g721467 (
+	.A(n_29708),
+	.B(n_12285),
+	.Y(n_12584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g721468 (
+	.A(n_29339),
+	.B(n_12410),
+	.Y(n_12583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g721469 (
+	.A(n_29342),
+	.B(n_12410),
+	.Y(n_12582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721470 (
+	.A(n_29330),
+	.B(n_29325),
+	.Y(soc_top_u_top_u_core_cs_registers_i_n_6223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g721472 (
+	.A(n_29345),
+	.B(n_12410),
+	.Y(n_12579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g721473 (
+	.A(n_29787),
+	.B(n_12410),
+	.Y(n_12578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g721474 (
+	.A(n_29340),
+	.B(n_12410),
+	.Y(n_12577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721475 (
+	.A(n_29331),
+	.B(n_29325),
+	.Y(soc_top_u_top_u_core_cs_registers_i_n_6269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g721476 (
+	.A(n_29788),
+	.B(n_12410),
+	.Y(n_12576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g721477 (
+	.A(n_29784),
+	.B(n_12410),
+	.Y(n_12575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g721478 (
+	.A(n_29785),
+	.B(n_12410),
+	.Y(n_12574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g721479 (
+	.A(n_29347),
+	.B(n_12410),
+	.Y(n_12573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g721480 (
+	.A(n_29786),
+	.B(n_12410),
+	.Y(n_12572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721481 (
+	.A(n_29333),
+	.B(n_29329),
+	.Y(soc_top_u_top_u_core_cs_registers_i_n_6243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721482 (
+	.A(n_29320),
+	.B(n_29334),
+	.Y(n_12571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g721484 (
+	.A1(n_12231),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[14]),
+	.B1_N(n_12422),
+	.X(soc_top_u_top_u_core_fp_frm_fpnew[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721489 (
+	.A0(n_30498),
+	.A1(n_30499),
+	.S(n_12103),
+	.X(n_30445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721490 (
+	.A0(n_30497),
+	.A1(n_30496),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721491 (
+	.A0(n_30495),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] [28]),
+	.S(n_12103),
+	.X(n_30441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721492 (
+	.A0(n_30495),
+	.A1(n_30494),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721493 (
+	.A0(n_30494),
+	.A1(n_30493),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721494 (
+	.A0(n_30493),
+	.A1(n_30492),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721495 (
+	.A0(n_30491),
+	.A1(n_30492),
+	.S(n_12103),
+	.X(n_30437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721496 (
+	.A0(n_30491),
+	.A1(n_30490),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721497 (
+	.A0(n_30490),
+	.A1(n_30489),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721498 (
+	.A0(n_30489),
+	.A1(n_30488),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721499 (
+	.A0(n_30487),
+	.A1(n_30488),
+	.S(n_12103),
+	.X(n_30433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721500 (
+	.A0(n_30487),
+	.A1(n_30486),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721501 (
+	.A0(n_30486),
+	.A1(n_30485),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721502 (
+	.A0(n_30485),
+	.A1(n_30484),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721503 (
+	.A0(n_30484),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] [15]),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721504 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] [15]),
+	.A1(n_30483),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721505 (
+	.A0(n_30482),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] [12]),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721506 (
+	.A0(n_30481),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] [12]),
+	.S(n_12103),
+	.X(n_30425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721507 (
+	.A0(n_30480),
+	.A1(n_30479),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721508 (
+	.A0(n_30479),
+	.A1(n_30478),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721509 (
+	.A0(n_30478),
+	.A1(n_30477),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721510 (
+	.A0(n_30476),
+	.A1(n_30475),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721511 (
+	.A0(n_30473),
+	.A1(n_30472),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721512 (
+	.A0(n_30474),
+	.A1(n_30473),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721513 (
+	.A0(n_30477),
+	.A1(n_30476),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g721514 (
+	.A(n_12498),
+	.B(n_12496),
+	.Y(n_12530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g721515 (
+	.A(n_12494),
+	.B(n_12495),
+	.Y(n_12529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g721516 (
+	.A(n_12366),
+	.B(n_12365),
+	.Y(n_12528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g721517 (
+	.A(n_12370),
+	.B(n_12369),
+	.Y(n_12527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g721518 (
+	.A(n_12374),
+	.B(n_12372),
+	.Y(n_12526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g721520 (
+	.A(n_12378),
+	.B(n_12383),
+	.Y(n_12524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g721521 (
+	.A(n_12387),
+	.B(n_12386),
+	.Y(n_12523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g721522 (
+	.A(n_12404),
+	.B(n_12393),
+	.Y(n_12522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g721523 (
+	.A(n_12353),
+	.B(n_12481),
+	.Y(n_12521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 g721536 (
+	.A0(n_31289),
+	.A1(n_31281),
+	.S(n_12283),
+	.X(n_31248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721539 (
+	.A0(n_30500),
+	.A1(n_30499),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_2 g721540 (
+	.A1(n_31277),
+	.A2(n_12283),
+	.B1(n_31285),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.X(n_31244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g721541 (
+	.A(n_12389),
+	.B(n_12478),
+	.Y(n_31243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_2 g721543 (
+	.A1(n_31272),
+	.A2(n_12283),
+	.B1(n_31281),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.X(n_31240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g721547 (
+	.A1(n_31273),
+	.A2(n_12283),
+	.B1(n_31282),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.X(n_31241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_2 g721548 (
+	.A1(n_30894),
+	.A2(n_86613),
+	.B1(n_35235),
+	.X(n_30818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g721549 (
+	.A1(n_30893),
+	.A2(n_86613),
+	.B1(n_35237),
+	.X(n_30817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_2 g721562 (
+	.A1(n_30880),
+	.A2(n_86613),
+	.B1(n_35223),
+	.X(n_30804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g721568 (
+	.A1(n_30874),
+	.A2(n_86613),
+	.B1(n_35229),
+	.X(n_30798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g721573 (
+	.A1(n_29622),
+	.A2(n_12229),
+	.B1(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.B2(soc_top_u_top_u_core_pc_id[2]),
+	.X(n_31644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721574 (
+	.A0(n_29639),
+	.A1(soc_top_u_top_u_core_pc_id[11]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_31653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721575 (
+	.A0(n_29637),
+	.A1(soc_top_u_top_u_core_pc_id[10]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_31652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721576 (
+	.A0(n_29635),
+	.A1(soc_top_u_top_u_core_pc_id[9]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_31651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g721577 (
+	.A1(n_29633),
+	.A2(n_12229),
+	.B1(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.B2(soc_top_u_top_u_core_pc_id[8]),
+	.X(n_31650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721578 (
+	.A0(n_35508),
+	.A1(soc_top_u_top_u_core_pc_id[7]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_31649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g721580 (
+	.A1(n_29627),
+	.A2(n_12229),
+	.B1(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.B2(soc_top_u_top_u_core_pc_id[5]),
+	.X(n_31647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g721581 (
+	.A1(n_29680),
+	.A2(n_12229),
+	.B1(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.B2(soc_top_u_top_u_core_pc_id[4]),
+	.X(n_31646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g721582 (
+	.A1(n_29624),
+	.A2(n_12229),
+	.B1(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.B2(soc_top_u_top_u_core_pc_id[3]),
+	.X(n_31645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g721584 (
+	.A(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.X(n_12518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g721585 (
+	.A(n_35114),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[0] [1]),
+	.X(n_12517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_2 g721586 (
+	.A1(n_31268),
+	.A2(n_12283),
+	.B1(n_31277),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.X(n_31236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g721587 (
+	.A(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.X(n_12531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g721588 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[31]),
+	.B(n_29863),
+	.X(n_30703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721589 (
+	.A0(soc_top_u_top_u_core_fp_frm_csr[1]),
+	.A1(soc_top_u_top_u_core_instr_rdata_id[13]),
+	.S(n_12231),
+	.X(soc_top_u_top_u_core_fp_frm_fpnew[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g721590 (
+	.A0(soc_top_u_top_u_core_fp_frm_csr[0]),
+	.A1(soc_top_u_top_u_core_instr_rdata_id[12]),
+	.S(n_12231),
+	.X(soc_top_u_top_u_core_fp_frm_fpnew[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g721593 (
+	.A(n_12428),
+	.Y(n_12514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g721595 (
+	.A(n_12508),
+	.Y(n_12509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g721599 (
+	.A(n_29327),
+	.Y(n_12503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g721600 (
+	.A(n_35231),
+	.Y(n_12502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g721601 (
+	.A(n_29330),
+	.Y(n_12500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g721603 (
+	.A(n_31273),
+	.B(n_31274),
+	.Y(n_12498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g721605 (
+	.A(n_31272),
+	.B(n_31270),
+	.Y(n_12496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g721606 (
+	.A(n_31271),
+	.B(n_31269),
+	.Y(n_12495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g721607 (
+	.A(n_31268),
+	.B(n_31234),
+	.Y(n_12494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g721616 (
+	.A_N(n_29784),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.Y(n_29691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721621 (
+	.A(n_75940),
+	.B(n_75139),
+	.Y(n_12481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g721622 (
+	.A_N(n_29787),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.Y(n_29723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721625 (
+	.A(n_31284),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.Y(n_12478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g721627 (
+	.A(n_73858),
+	.B(n_81755),
+	.X(n_12476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g721629 (
+	.A(FE_DBTN158_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_leading_zero_count_0),
+	.B(n_73858),
+	.Y(n_12474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721645 (
+	.A(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.B(soc_top_u_top_u_core_pc_id[1]),
+	.Y(n_12458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g721649 (
+	.A_N(n_57519),
+	.B(n_12286),
+	.Y(n_12454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g721652 (
+	.A_N(n_35447),
+	.B(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.Y(n_1973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g721654 (
+	.A_N(n_15974),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [31]),
+	.Y(n_12450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g721661 (
+	.A_N(n_29347),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.Y(n_29707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g721664 (
+	.A_N(n_29788),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.Y(n_29709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g721667 (
+	.A(soc_top_u_top_u_core_alu_operator_ex[2]),
+	.B(n_29779),
+	.Y(n_12441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g721669 (
+	.A(n_12103),
+	.B_N(n_30471),
+	.Y(n_30415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g721676 (
+	.A_N(n_29340),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.Y(n_29717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g721678 (
+	.A_N(n_29345),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.Y(n_29718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g721688 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [1]),
+	.B(n_81756),
+	.Y(n_12428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g721689 (
+	.A_N(n_30594),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
+	.Y(n_30584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g721692 (
+	.A(n_73859),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [2]),
+	.Y(n_12511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g721693 (
+	.A(n_29724),
+	.B(n_12285),
+	.Y(n_12510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g721694 (
+	.A(n_29732),
+	.B(n_12285),
+	.Y(n_12508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g721695 (
+	.A(soc_top_u_top_u_core_id_stage_i_mult_en_dec),
+	.B(soc_top_u_top_u_core_id_stage_i_div_en_dec),
+	.X(n_29616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721699 (
+	.A(n_85475),
+	.B(soc_top_u_top_u_core_csr_access),
+	.Y(n_29329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721700 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[5]),
+	.B(soc_top_u_top_u_core_csr_access),
+	.Y(n_29327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721701 (
+	.A(n_72749),
+	.B(soc_top_u_top_u_core_csr_access),
+	.Y(n_29331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721703 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[2]),
+	.B(soc_top_u_top_u_core_csr_access),
+	.Y(n_29330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g721707 (
+	.A(n_12421),
+	.Y(n_29783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g721708 (
+	.A(n_12418),
+	.Y(n_12419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g721709 (
+	.A(n_29334),
+	.Y(n_12414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g721710 (
+	.A(n_29323),
+	.Y(n_12412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g721711 (
+	.A(n_29328),
+	.Y(n_12411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 g721713 (
+	.A(n_12408),
+	.Y(n_12407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g721714 (
+	.A(n_30237),
+	.Y(n_12406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 g721715 (
+	.A(n_12349),
+	.Y(n_12405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g721716 (
+	.A(n_93006),
+	.B(n_75122),
+	.Y(n_12404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g721718 (
+	.A(n_31280),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.Y(n_12402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g721723 (
+	.A(n_85990),
+	.B(n_72440),
+	.Y(n_12397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721727 (
+	.A(n_75123),
+	.B(n_86458),
+	.Y(n_12393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g721731 (
+	.A(n_31275),
+	.B(n_12283),
+	.Y(n_12389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721732 (
+	.A(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
+	.B(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [0]),
+	.Y(n_12388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g721733 (
+	.A(n_40732),
+	.B(n_12260),
+	.Y(n_12387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721734 (
+	.A(n_12315),
+	.B(n_37509),
+	.Y(n_12386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g721737 (
+	.A_N(n_29786),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.Y(n_29716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g721738 (
+	.A(n_12347),
+	.B(n_75136),
+	.Y(n_12383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721739 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [38]),
+	.B(n_12312),
+	.Y(n_12382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g721740 (
+	.A(n_29357),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [44]),
+	.Y(n_12381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g721741 (
+	.A_N(n_29362),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [50]),
+	.Y(n_12380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g721742 (
+	.A_N(n_29361),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [51]),
+	.Y(n_12379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g721743 (
+	.A(n_12348),
+	.B(n_12281),
+	.Y(n_12378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721744 (
+	.A(n_30497),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.Y(n_12377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g721747 (
+	.A(n_12340),
+	.B(n_75949),
+	.Y(n_12374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721748 (
+	.A(n_17730),
+	.B(soc_top_u_top_u_core_alu_operator_ex[3]),
+	.Y(n_12373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g721749 (
+	.A(n_75151),
+	.B(n_12328),
+	.Y(n_12372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g721752 (
+	.A(n_87612),
+	.B(n_86934),
+	.Y(n_12370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g721753 (
+	.A(n_12273),
+	.B(n_12316),
+	.Y(n_12369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g721754 (
+	.A(n_12103),
+	.B(n_35114),
+	.Y(n_30412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g721755 (
+	.A(soc_top_u_top_u_core_id_stage_i_rf_ren_a),
+	.B(soc_top_u_top_u_core_id_stage_i_n_609),
+	.Y(n_12368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g721757 (
+	.A(n_75158),
+	.B(n_12332),
+	.Y(n_12366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g721758 (
+	.A(n_87498),
+	.B(n_12337),
+	.Y(n_12365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g721759 (
+	.A(soc_top_u_top_u_core_lsu_type[0]),
+	.B(soc_top_u_top_u_core_lsu_type[1]),
+	.X(soc_top_u_top_u_core_load_store_unit_i_n_861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g721760 (
+	.A_N(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]),
+	.B(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]),
+	.Y(n_29589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g721764 (
+	.A(n_12103),
+	.B_N(n_30470),
+	.Y(n_30414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g721765 (
+	.A(n_72622),
+	.B(soc_top_u_top_u_core_alu_adder_result_ex[1]),
+	.Y(n_12362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721768 (
+	.A(n_31279),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.Y(n_12359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g721769 (
+	.A(\soc_top_lsu_to_xbar[a_address] [13]),
+	.B(n_69940),
+	.Y(n_12358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721772 (
+	.A(soc_top_u_top_u_core_fp_alu_operator[1]),
+	.B(soc_top_u_top_u_core_instr_valid_id),
+	.Y(n_29302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g721774 (
+	.A(\soc_top_lsu_to_xbar[a_address] [12]),
+	.B(soc_top_u_top_u_core_alu_adder_result_ex[14]),
+	.Y(n_12355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g721777 (
+	.A(n_12346),
+	.B(n_86466),
+	.Y(n_12353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g721778 (
+	.A_N(n_35122),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.Y(n_29714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721779 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [37]),
+	.B(n_12248),
+	.Y(n_12352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g721780 (
+	.A(n_12286),
+	.B(n_57519),
+	.Y(n_349109_BAR), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g721781 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [1]),
+	.B(n_81755),
+	.Y(n_29572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721783 (
+	.A(soc_top_u_top_u_core_fp_alu_operator[2]),
+	.B(soc_top_u_top_u_core_is_fp_instr),
+	.Y(n_29698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g721785 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [1]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [2]),
+	.Y(n_12424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721787 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
+	.Y(n_29305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g721788 (
+	.A(soc_top_u_top_u_core_csr_op[1]),
+	.B(soc_top_u_top_u_core_csr_op[0]),
+	.X(n_29799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g721789 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
+	.B(n_71109),
+	.Y(n_12423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721790 (
+	.A(soc_top_u_top_u_core_fp_frm_csr[2]),
+	.B(soc_top_u_top_u_core_fp_rm_dynamic),
+	.Y(n_12422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g721791 (
+	.A(soc_top_u_top_u_core_csr_op[0]),
+	.B_N(soc_top_u_top_u_core_csr_op[1]),
+	.X(n_29505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g721792 (
+	.A(n_69931),
+	.B(\soc_top_xbar_to_timer[a_address] [3]),
+	.Y(n_12421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g721793 (
+	.A_N(n_29599),
+	.B(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]),
+	.Y(n_29720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g721794 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [1]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_unit_done ),
+	.Y(n_29771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g721795 (
+	.A_N(n_29724),
+	.B(n_29727),
+	.Y(n_29708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721796 (
+	.A(n_773),
+	.B(soc_top_u_top_u_core_alu_operator_ex[3]),
+	.Y(n_12420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721797 (
+	.A(soc_top_u_top_u_core_fp_alu_operator[3]),
+	.B(soc_top_u_top_u_core_instr_valid_id),
+	.Y(n_29301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g721798 (
+	.A(FE_DBTN115_n_72188),
+	.B(n_31),
+	.Y(n_29745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g721799 (
+	.A_N(soc_top_u_top_u_core_fp_alu_operator[3]),
+	.B(soc_top_u_top_u_core_instr_valid_id),
+	.Y(n_29770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g721800 (
+	.A_N(soc_top_u_top_u_core_fp_alu_operator[2]),
+	.B(soc_top_u_top_u_core_is_fp_instr),
+	.Y(n_29699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g721801 (
+	.A(n_29731),
+	.B(n_12285),
+	.Y(n_12418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g721802 (
+	.A(n_29693),
+	.B(n_12285),
+	.Y(n_12417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g721803 (
+	.A(n_29719),
+	.B(n_12285),
+	.Y(n_12416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721804 (
+	.A(n_40688),
+	.B(n_81755),
+	.Y(n_12415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721805 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[6]),
+	.B(soc_top_u_top_u_core_csr_access),
+	.Y(n_29334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721806 (
+	.A(soc_top_u_top_u_core_alu_operator_ex[1]),
+	.B(soc_top_u_top_u_core_alu_operator_ex[0]),
+	.Y(n_29338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721807 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[9]),
+	.B(soc_top_u_top_u_core_csr_access),
+	.Y(n_29321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721808 (
+	.A(n_25726),
+	.B(soc_top_u_top_u_core_csr_access),
+	.Y(n_29333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721809 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[3]),
+	.B(soc_top_u_top_u_core_csr_access),
+	.Y(n_29325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g721810 (
+	.A(soc_top_u_top_u_core_id_stage_i_bt_b_mux_sel[0]),
+	.B(soc_top_u_top_u_core_id_stage_i_bt_b_mux_sel[1]),
+	.Y(n_12413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721811 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[10]),
+	.B(soc_top_u_top_u_core_csr_access),
+	.Y(n_29323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721812 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[8]),
+	.B(soc_top_u_top_u_core_csr_access),
+	.Y(n_29326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721813 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[11]),
+	.B(soc_top_u_top_u_core_csr_access),
+	.Y(n_29320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721814 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[7]),
+	.B(soc_top_u_top_u_core_csr_access),
+	.Y(n_29328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g721815 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] [28]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.Y(n_12410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g721816 (
+	.A(n_30524),
+	.B(n_12103),
+	.Y(n_12408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_8 g721817 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.B(n_30524),
+	.Y(n_30237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g721818 (
+	.A(n_12285),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.Y(n_12349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g721819 (
+	.A(n_31233),
+	.Y(n_12348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g721820 (
+	.A(n_31228),
+	.Y(n_12347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g721821 (
+	.A(n_31202),
+	.Y(n_12346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g721827 (
+	.A(n_31220),
+	.Y(n_12340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g721830 (
+	.A(n_31222),
+	.Y(n_12337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g721835 (
+	.A(n_31218),
+	.Y(n_12332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g721836 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [49]),
+	.Y(n_12331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g721838 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [33]),
+	.Y(n_12329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g721839 (
+	.A(n_31223),
+	.Y(n_12328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g721851 (
+	.A(n_31215),
+	.Y(n_12316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g721852 (
+	.A(n_31229),
+	.Y(n_12315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g721854 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [30]),
+	.Y(n_12313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g721856 (
+	.A(n_29356),
+	.Y(n_12311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g721857 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [29]),
+	.Y(n_12310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g721859 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [32]),
+	.Y(n_12308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g721874 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [2]),
+	.Y(n_12293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g721881 (
+	.A(n_79425),
+	.Y(n_12286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g721882 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] [28]),
+	.Y(n_12285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__bufinv_8 g721884 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.Y(n_12283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g721886 (
+	.A(n_31231),
+	.Y(n_12281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g721894 (
+	.A(n_31217),
+	.Y(n_12273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g721903 (
+	.A(n_31212),
+	.Y(n_12264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g721907 (
+	.A(n_31226),
+	.Y(n_12260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g721910 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [1]),
+	.Y(n_12257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g721914 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [50]),
+	.Y(n_12253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g721923 (
+	.A(n_29779),
+	.Y(n_12244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g721934 (
+	.A(n_80419),
+	.Y(n_12233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g721936 (
+	.A(soc_top_u_top_u_core_fp_rm_dynamic),
+	.Y(n_12231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g721938 (
+	.A(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.Y(n_12229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g913886 (
+	.A(n_29517),
+	.B(n_75176),
+	.Y(n_31316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g913887 (
+	.A(n_29517),
+	.B(n_75159),
+	.Y(n_31336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g913888 (
+	.A(n_29517),
+	.B(n_75183),
+	.Y(n_31334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g710251 (
+	.A(n_29517),
+	.B(n_75170),
+	.Y(n_31321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g710252 (
+	.A(n_29517),
+	.B(n_75174),
+	.Y(n_31329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g710253 (
+	.A(n_29517),
+	.B(n_75180),
+	.Y(n_31320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g710255 (
+	.A(n_29517),
+	.B(n_75181),
+	.Y(n_31333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g710256 (
+	.A(n_29517),
+	.B(n_75160),
+	.Y(n_31328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g710257 (
+	.A(n_29517),
+	.B(n_75175),
+	.Y(n_31318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g710258 (
+	.A(n_29517),
+	.B(n_75161),
+	.Y(n_31317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g710259 (
+	.A(n_29517),
+	.B(n_75162),
+	.Y(n_31327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g710260 (
+	.A(n_29517),
+	.B(n_75177),
+	.Y(n_31337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g710261 (
+	.A(n_29517),
+	.B(n_75179),
+	.Y(n_31307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g710264 (
+	.A(n_29517),
+	.B(n_75165),
+	.Y(n_31326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g710265 (
+	.A(n_29517),
+	.B(n_75164),
+	.Y(n_31314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g913892 (
+	.A(n_29517),
+	.B(n_75172),
+	.Y(n_31313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g913893 (
+	.A(n_29517),
+	.B(n_75166),
+	.Y(n_31325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g913895 (
+	.A(n_29517),
+	.B(n_75167),
+	.Y(n_31311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g913896 (
+	.A(n_29517),
+	.B(n_75178),
+	.Y(n_31331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g913897 (
+	.A(n_29517),
+	.B(n_75169),
+	.Y(n_31324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g913898 (
+	.A(n_29517),
+	.B(n_75168),
+	.Y(n_31310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g913899 (
+	.A(n_29517),
+	.B(n_75182),
+	.Y(n_31309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g913900 (
+	.A(n_29517),
+	.B(n_75171),
+	.Y(n_31323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g913902 (
+	.A(n_29517),
+	.B(n_75163),
+	.Y(n_31315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g712365 (
+	.A(n_12156),
+	.Y(n_30650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g712366 (
+	.A(n_12155),
+	.Y(n_30643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g712367 (
+	.A(n_12154),
+	.Y(n_30634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g712368 (
+	.A(n_12153),
+	.Y(n_30633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g712369 (
+	.A(n_12152),
+	.Y(n_30648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g712370 (
+	.A(n_12151),
+	.Y(n_30632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g712371 (
+	.A(n_12150),
+	.Y(n_30642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g712372 (
+	.A(n_12149),
+	.Y(n_30631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g712373 (
+	.A(n_12148),
+	.Y(n_30630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g712374 (
+	.A(n_12147),
+	.Y(n_30647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g712375 (
+	.A(n_12146),
+	.Y(n_30629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g712376 (
+	.A(n_12145),
+	.Y(n_30641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g712377 (
+	.A(n_12144),
+	.Y(n_30651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g712378 (
+	.A(n_12143),
+	.Y(n_30628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g712379 (
+	.A(n_12142),
+	.Y(n_30640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g712380 (
+	.A(n_12141),
+	.Y(n_30646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g712381 (
+	.A(n_12140),
+	.Y(n_30649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g712382 (
+	.A(n_12139),
+	.Y(n_30639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g712383 (
+	.A(n_12138),
+	.Y(n_30645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g712384 (
+	.A(n_12137),
+	.Y(n_30638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g712385 (
+	.A(n_12136),
+	.Y(n_30637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g712386 (
+	.A(n_12135),
+	.Y(n_30644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g712387 (
+	.A(n_12134),
+	.Y(n_30636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g712388 (
+	.A(n_12133),
+	.Y(n_30635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g712389 (
+	.A1(n_12105),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [54]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [51]),
+	.B2(n_12106),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [55]),
+	.C2(n_12107),
+	.Y(n_12156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g712390 (
+	.A1(n_12105),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [47]),
+	.B1(n_12106),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [44]),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [48]),
+	.C2(n_12107),
+	.Y(n_12155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g712391 (
+	.A1(n_12107),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [39]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [35]),
+	.B2(n_12106),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [38]),
+	.C2(n_12105),
+	.Y(n_12154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g712392 (
+	.A1(n_12105),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [37]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [34]),
+	.B2(n_12106),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [38]),
+	.C2(n_12107),
+	.Y(n_12153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g712393 (
+	.A1(n_12105),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [52]),
+	.B1(n_12106),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [49]),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [53]),
+	.C2(n_12107),
+	.Y(n_12152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g712394 (
+	.A1(n_12105),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [36]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [33]),
+	.B2(n_12106),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [37]),
+	.C2(n_12107),
+	.Y(n_12151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g712395 (
+	.A1(n_12105),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [46]),
+	.B1(n_12106),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [43]),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [47]),
+	.C2(n_12107),
+	.Y(n_12150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g712396 (
+	.A1(n_12107),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [36]),
+	.B1(n_12106),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [32]),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [35]),
+	.C2(n_12105),
+	.Y(n_12149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g712397 (
+	.A1(n_12107),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [35]),
+	.B1(n_12106),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [31]),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [34]),
+	.C2(n_12105),
+	.Y(n_12148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g712398 (
+	.A1(n_12105),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [51]),
+	.B1(n_12107),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [52]),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [48]),
+	.C2(n_12106),
+	.Y(n_12147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g712399 (
+	.A1(n_12105),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [33]),
+	.B1(n_12106),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [30]),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [34]),
+	.C2(n_12107),
+	.Y(n_12146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g712400 (
+	.A1(n_12105),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [45]),
+	.B1(n_12106),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [42]),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [46]),
+	.C2(n_12107),
+	.Y(n_12145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g712401 (
+	.A1(n_12105),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [55]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [52]),
+	.B2(n_12106),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [56]),
+	.C2(n_12107),
+	.Y(n_12144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g712402 (
+	.A1(n_12105),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [32]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [29]),
+	.B2(n_12106),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [33]),
+	.C2(n_12107),
+	.Y(n_12143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g712403 (
+	.A1(n_12105),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [44]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [41]),
+	.B2(n_12106),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [45]),
+	.C2(n_12107),
+	.Y(n_12142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g712404 (
+	.A1(n_12105),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [50]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [47]),
+	.B2(n_12106),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [51]),
+	.C2(n_12107),
+	.Y(n_12141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g712405 (
+	.A1(n_12105),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [53]),
+	.B1(n_12106),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [50]),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [54]),
+	.C2(n_12107),
+	.Y(n_12140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g712406 (
+	.A1(n_12107),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [44]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [40]),
+	.B2(n_12106),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [43]),
+	.C2(n_12105),
+	.Y(n_12139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g712407 (
+	.A1(n_12105),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [49]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [46]),
+	.B2(n_12106),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [50]),
+	.C2(n_12107),
+	.Y(n_12138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g712408 (
+	.A1(n_12105),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [42]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [39]),
+	.B2(n_12106),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [43]),
+	.C2(n_12107),
+	.Y(n_12137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g712409 (
+	.A1(n_12105),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [41]),
+	.B1(n_12106),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [38]),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [42]),
+	.C2(n_12107),
+	.Y(n_12136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g712410 (
+	.A1(n_12105),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [48]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [45]),
+	.B2(n_12106),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [49]),
+	.C2(n_12107),
+	.Y(n_12135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g712411 (
+	.A1(n_12105),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [40]),
+	.B1(n_12106),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [37]),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [41]),
+	.C2(n_12107),
+	.Y(n_12134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g712412 (
+	.A1(n_12107),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [40]),
+	.B1(n_12106),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [36]),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [39]),
+	.C2(n_12105),
+	.Y(n_12133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g712413 (
+	.A0(n_12109),
+	.A1(n_12110),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [52]),
+	.Y(n_30582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g712414 (
+	.A0(n_12109),
+	.A1(n_12110),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [51]),
+	.Y(n_30581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g712415 (
+	.A0(n_12109),
+	.A1(n_12110),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [50]),
+	.Y(n_30580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g712416 (
+	.A0(n_30583),
+	.A1(n_12111),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [49]),
+	.Y(n_12132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g712417 (
+	.A0(n_30583),
+	.A1(n_12111),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [48]),
+	.Y(n_12131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g712418 (
+	.A0(n_30583),
+	.A1(n_12111),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [47]),
+	.Y(n_12130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g712419 (
+	.A0(n_30583),
+	.A1(n_12111),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [46]),
+	.Y(n_12129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g712420 (
+	.A0(n_30583),
+	.A1(n_12111),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [45]),
+	.Y(n_12128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g712421 (
+	.A0(n_30583),
+	.A1(n_12111),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [44]),
+	.Y(n_12127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g712422 (
+	.A0(n_30583),
+	.A1(n_12111),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [43]),
+	.Y(n_12126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g712423 (
+	.A0(n_30583),
+	.A1(n_12111),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [42]),
+	.Y(n_12125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g712424 (
+	.A0(n_30583),
+	.A1(n_12111),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [41]),
+	.Y(n_12124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g712425 (
+	.A0(n_30583),
+	.A1(n_12111),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [40]),
+	.Y(n_12123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g712426 (
+	.A0(n_30583),
+	.A1(n_12111),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [39]),
+	.Y(n_12122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g712427 (
+	.A0(n_30583),
+	.A1(n_12111),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [38]),
+	.Y(n_12121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g712428 (
+	.A0(n_30583),
+	.A1(n_12111),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [37]),
+	.Y(n_12120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g712429 (
+	.A0(n_30583),
+	.A1(n_12111),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [36]),
+	.Y(n_12119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g712430 (
+	.A0(n_30583),
+	.A1(n_12111),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [35]),
+	.Y(n_12118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g712431 (
+	.A0(n_30583),
+	.A1(n_12111),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [34]),
+	.Y(n_12117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g712432 (
+	.A0(n_30583),
+	.A1(n_12111),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [33]),
+	.Y(n_12116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g712433 (
+	.A0(n_30583),
+	.A1(n_12111),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [32]),
+	.Y(n_12115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g712434 (
+	.A0(n_30583),
+	.A1(n_12111),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [31]),
+	.Y(n_12114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g712435 (
+	.A0(n_30583),
+	.A1(n_12111),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [30]),
+	.Y(n_12113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g712436 (
+	.A0(n_30583),
+	.A1(n_12111),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [29]),
+	.Y(n_12112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g712437 (
+	.A1(n_12105),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [8]),
+	.B1(n_12107),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [9]),
+	.X(n_30604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g712438 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [32]),
+	.A2(n_12107),
+	.B1(n_30583),
+	.X(n_30627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g712439 (
+	.A1(n_12105),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [12]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [13]),
+	.B2(n_12107),
+	.X(n_30608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g712440 (
+	.A1(n_12105),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [11]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [12]),
+	.B2(n_12107),
+	.X(n_30607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g712441 (
+	.A1(n_12105),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [10]),
+	.B1(n_12107),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [11]),
+	.X(n_30606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g712442 (
+	.A1(n_12105),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [9]),
+	.B1(n_12107),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [10]),
+	.X(n_30605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g712443 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [56]),
+	.A2(n_12105),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [57]),
+	.B2(n_12107),
+	.X(n_30652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g712445 (
+	.A1(n_12105),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [7]),
+	.B1(n_12107),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [8]),
+	.X(n_30603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g712446 (
+	.A1(n_12105),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [6]),
+	.B1(n_12107),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [7]),
+	.X(n_30602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g712447 (
+	.A1(n_12105),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [5]),
+	.B1(n_12107),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [6]),
+	.X(n_30601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g712448 (
+	.A1(n_12105),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [4]),
+	.B1(n_12107),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [5]),
+	.X(n_30600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g712449 (
+	.A1(n_12105),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [3]),
+	.B1(n_12107),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [4]),
+	.X(n_30599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g712450 (
+	.A1(n_12105),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [25]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [26]),
+	.B2(n_12107),
+	.X(n_30621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g712451 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [30]),
+	.A2(n_12105),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [31]),
+	.B2(n_12107),
+	.X(n_30626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g712452 (
+	.A1(n_12105),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [13]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [14]),
+	.B2(n_12107),
+	.X(n_30609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g712453 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [28]),
+	.A2(n_12105),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [29]),
+	.B2(n_12107),
+	.X(n_30624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g712454 (
+	.A1(n_12105),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [27]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [28]),
+	.B2(n_12107),
+	.X(n_30623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g712455 (
+	.A1(n_12105),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [26]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [27]),
+	.B2(n_12107),
+	.X(n_30622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g712456 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [22]),
+	.A2(n_12105),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [23]),
+	.B2(n_12107),
+	.X(n_30618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g712457 (
+	.A1(n_12105),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [24]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [25]),
+	.B2(n_12107),
+	.X(n_30620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g712458 (
+	.A1(n_12105),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [23]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [24]),
+	.B2(n_12107),
+	.X(n_30619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g712459 (
+	.A1(n_12105),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [21]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [22]),
+	.B2(n_12107),
+	.X(n_30617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g712460 (
+	.A1(n_12105),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [20]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [21]),
+	.B2(n_12107),
+	.X(n_30616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g712461 (
+	.A1(n_12105),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [19]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [20]),
+	.B2(n_12107),
+	.X(n_30615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g712462 (
+	.A1(n_12105),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [18]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [19]),
+	.B2(n_12107),
+	.X(n_30614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g712463 (
+	.A1(n_12105),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [17]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [18]),
+	.B2(n_12107),
+	.X(n_30613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g712464 (
+	.A1(n_12105),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [16]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [17]),
+	.B2(n_12107),
+	.X(n_30612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g712465 (
+	.A1(n_12105),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [15]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [16]),
+	.B2(n_12107),
+	.X(n_30611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g712466 (
+	.A1(n_12105),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [14]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [15]),
+	.B2(n_12107),
+	.X(n_30610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g712467 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [29]),
+	.A2(n_12105),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [30]),
+	.B2(n_12107),
+	.X(n_30625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g712468 (
+	.A(n_12108),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [3]),
+	.Y(n_30598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g712469 (
+	.A(n_12107),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [1]),
+	.X(n_30596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g712470 (
+	.A(n_12108),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [0]),
+	.Y(n_30595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g712471 (
+	.A(n_12111),
+	.Y(n_12110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g712472 (
+	.A(n_12109),
+	.Y(n_30583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g712473 (
+	.A(n_12108),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [2]),
+	.Y(n_30597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g712474 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
+	.B(n_12105),
+	.X(n_12111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_2 g712475 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Div_start_dly_S_8434 ),
+	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.Y(n_12109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_16 g712476 (
+	.A(n_12108),
+	.Y(n_12107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g712477 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.Y(n_12108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g712478 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Div_start_dly_S_8434 ),
+	.X(n_12106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g712479 (
+	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_0),
+	.B(n_12103),
+	.X(n_12105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g710701 (
+	.A0(n_29659),
+	.A1(soc_top_u_top_u_core_pc_id[21]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_31663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g710702 (
+	.A1(FE_DBTN148_soc_top_u_top_u_core_instr_rdata_alu_id_18),
+	.A2(n_29468),
+	.B1(n_29467),
+	.Y(n_29894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g710703 (
+	.A1(n_12101),
+	.A2(n_29468),
+	.B1(n_29467),
+	.Y(n_29891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g710704 (
+	.A1(n_12099),
+	.A2(n_29468),
+	.B1(n_29467),
+	.Y(n_29890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g710705 (
+	.A1(FE_DBTN147_soc_top_u_top_u_core_instr_rdata_alu_id_17),
+	.A2(n_29468),
+	.B1(n_29467),
+	.Y(n_29893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g710706 (
+	.A1(FE_DBTN155_soc_top_u_top_u_core_instr_rdata_id_13),
+	.A2(n_29468),
+	.B1(n_29467),
+	.Y(n_29889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g710707 (
+	.A1(FE_DBTN154_soc_top_u_top_u_core_instr_rdata_id_12),
+	.A2(n_29468),
+	.B1(n_29467),
+	.Y(n_29888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g710708 (
+	.A1(FE_DBTN146_soc_top_u_top_u_core_instr_rdata_alu_id_16),
+	.A2(n_29468),
+	.B1(n_29467),
+	.Y(n_29892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g710709 (
+	.A0(n_29665),
+	.A1(soc_top_u_top_u_core_pc_id[24]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_31666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g710710 (
+	.A0(n_35505),
+	.A1(soc_top_u_top_u_core_pc_id[23]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_31665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g710711 (
+	.A0(n_29677),
+	.A1(soc_top_u_top_u_core_pc_id[30]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_31672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g710712 (
+	.A0(n_29661),
+	.A1(soc_top_u_top_u_core_pc_id[22]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_31664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g710713 (
+	.A0(n_29675),
+	.A1(soc_top_u_top_u_core_pc_id[29]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_31671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g710714 (
+	.A1(n_12096),
+	.A2(n_29468),
+	.B1(n_29467),
+	.Y(n_29895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g710715 (
+	.A0(n_29641),
+	.A1(soc_top_u_top_u_core_pc_id[12]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_31654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g710716 (
+	.A0(n_29673),
+	.A1(soc_top_u_top_u_core_pc_id[28]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_31670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g710717 (
+	.A0(n_29655),
+	.A1(soc_top_u_top_u_core_pc_id[19]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_31661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g710718 (
+	.A0(n_75671),
+	.A1(soc_top_u_top_u_core_pc_id[27]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_31669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g710719 (
+	.A0(n_29653),
+	.A1(soc_top_u_top_u_core_pc_id[18]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_31660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g710720 (
+	.A0(n_35503),
+	.A1(soc_top_u_top_u_core_pc_id[17]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_31659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g710721 (
+	.A0(n_35504),
+	.A1(soc_top_u_top_u_core_pc_id[16]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_31658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g710722 (
+	.A0(n_29647),
+	.A1(soc_top_u_top_u_core_pc_id[15]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_31657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g710723 (
+	.A0(n_29669),
+	.A1(soc_top_u_top_u_core_pc_id[26]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_31668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 g710724 (
+	.A0(n_29645),
+	.A1(soc_top_u_top_u_core_pc_id[14]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_31656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g710725 (
+	.A0(n_29679),
+	.A1(soc_top_u_top_u_core_pc_id[31]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_31673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g710726 (
+	.A0(n_29667),
+	.A1(soc_top_u_top_u_core_pc_id[25]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_31667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g710727 (
+	.A0(n_29643),
+	.A1(soc_top_u_top_u_core_pc_id[13]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_31655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g710728 (
+	.A0(n_29657),
+	.A1(soc_top_u_top_u_core_pc_id[20]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_31662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g710729 (
+	.A_N(n_35242),
+	.B(soc_top_u_top_u_core_instr_rdata_id[31]),
+	.X(n_29896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[0]  (
+	.CLK(CTS_140),
+	.D(n_8648),
+	.Q(soc_top_GPIO_cio_gpio_en_q[0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[1]  (
+	.CLK(CTS_142),
+	.D(n_8650),
+	.Q(soc_top_GPIO_cio_gpio_en_q[1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[3]  (
+	.CLK(CTS_142),
+	.D(n_8657),
+	.Q(soc_top_GPIO_cio_gpio_en_q[3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[4]  (
+	.CLK(CTS_142),
+	.D(n_8654),
+	.Q(soc_top_GPIO_cio_gpio_en_q[4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[6]  (
+	.CLK(CTS_142),
+	.D(n_8835),
+	.Q(soc_top_GPIO_cio_gpio_en_q[6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[7]  (
+	.CLK(CTS_142),
+	.D(n_8838),
+	.Q(soc_top_GPIO_cio_gpio_en_q[7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[8]  (
+	.CLK(CTS_142),
+	.D(n_8836),
+	.Q(soc_top_GPIO_cio_gpio_en_q[8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[11]  (
+	.CLK(CTS_142),
+	.D(n_8831),
+	.Q(soc_top_GPIO_cio_gpio_en_q[11]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[12]  (
+	.CLK(CTS_153),
+	.D(n_8832),
+	.Q(soc_top_GPIO_cio_gpio_en_q[12]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[13]  (
+	.CLK(CTS_153),
+	.D(n_8830),
+	.Q(soc_top_GPIO_cio_gpio_en_q[13]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[14]  (
+	.CLK(CTS_153),
+	.D(n_8829),
+	.Q(soc_top_GPIO_cio_gpio_en_q[14]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[15]  (
+	.CLK(CTS_153),
+	.D(n_8828),
+	.Q(soc_top_GPIO_cio_gpio_en_q[15]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[18]  (
+	.CLK(CTS_142),
+	.D(n_8653),
+	.Q(soc_top_GPIO_cio_gpio_en_q[18]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[19]  (
+	.CLK(CTS_142),
+	.D(n_8656),
+	.Q(soc_top_GPIO_cio_gpio_en_q[19]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[20]  (
+	.CLK(CTS_142),
+	.D(n_8652),
+	.Q(soc_top_GPIO_cio_gpio_en_q[20]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[21]  (
+	.CLK(CTS_142),
+	.D(n_8798),
+	.Q(soc_top_GPIO_cio_gpio_en_q[21]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[23]  (
+	.CLK(CTS_142),
+	.D(n_8790),
+	.Q(soc_top_GPIO_cio_gpio_en_q[23]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[25]  (
+	.CLK(CTS_142),
+	.D(n_8766),
+	.Q(soc_top_GPIO_cio_gpio_en_q[25]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[26]  (
+	.CLK(CTS_142),
+	.D(n_8774),
+	.Q(soc_top_GPIO_cio_gpio_en_q[26]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[28]  (
+	.CLK(CTS_153),
+	.D(n_8765),
+	.Q(soc_top_GPIO_cio_gpio_en_q[28]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[29]  (
+	.CLK(CTS_153),
+	.D(n_8764),
+	.Q(soc_top_GPIO_cio_gpio_en_q[29]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[30]  (
+	.CLK(CTS_142),
+	.D(n_8763),
+	.Q(soc_top_GPIO_cio_gpio_en_q[30]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[31]  (
+	.CLK(CTS_153),
+	.D(n_8762),
+	.Q(soc_top_GPIO_cio_gpio_en_q[31]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[0]  (
+	.CLK(CTS_142),
+	.D(n_9345),
+	.Q(io_out[8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[1]  (
+	.CLK(CTS_142),
+	.D(n_9343),
+	.Q(io_out[9]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[2]  (
+	.CLK(CTS_142),
+	.D(n_9344),
+	.Q(io_out[10]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[3]  (
+	.CLK(CTS_142),
+	.D(n_9342),
+	.Q(io_out[11]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[4]  (
+	.CLK(CTS_142),
+	.D(n_9341),
+	.Q(io_out[12]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[5]  (
+	.CLK(CTS_142),
+	.D(n_9340),
+	.Q(io_out[13]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[6]  (
+	.CLK(CTS_142),
+	.D(n_9339),
+	.Q(io_out[14]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[7]  (
+	.CLK(CTS_142),
+	.D(n_9338),
+	.Q(io_out[15]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[8]  (
+	.CLK(CTS_142),
+	.D(n_9337),
+	.Q(io_out[16]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[9]  (
+	.CLK(CTS_142),
+	.D(n_9336),
+	.Q(io_out[17]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[10]  (
+	.CLK(CTS_142),
+	.D(n_9335),
+	.Q(io_out[18]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[11]  (
+	.CLK(CTS_142),
+	.D(n_9334),
+	.Q(io_out[19]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[12]  (
+	.CLK(CTS_153),
+	.D(n_9333),
+	.Q(io_out[20]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[13]  (
+	.CLK(CTS_153),
+	.D(n_9332),
+	.Q(io_out[21]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[14]  (
+	.CLK(CTS_153),
+	.D(n_9331),
+	.Q(io_out[22]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[15]  (
+	.CLK(CTS_153),
+	.D(n_9330),
+	.Q(io_out[23]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[18]  (
+	.CLK(CTS_142),
+	.D(n_9456),
+	.Q(soc_top_GPIO_cio_gpio_q[18]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[0].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_78),
+	.D(n_4044),
+	.Q(\soc_top_GPIO_gen_filter[0].filter_diff_ctr_q [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[0].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_80),
+	.D(n_3427),
+	.Q(\soc_top_GPIO_gen_filter[0].filter_diff_ctr_q [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[0].filter_stored_value_q_reg  (
+	.CLK(CTS_78),
+	.D(io_in[8]),
+	.Q(\soc_top_GPIO_gen_filter[0].filter_stored_value_q ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_gen_filter[0].filter_stored_value_q ),
+	.SCE(n_2628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[1].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_80),
+	.D(n_4045),
+	.Q(\soc_top_GPIO_gen_filter[1].filter_diff_ctr_q [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[1].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_80),
+	.D(n_3428),
+	.Q(\soc_top_GPIO_gen_filter[1].filter_diff_ctr_q [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[1].filter_stored_value_q_reg  (
+	.CLK(CTS_80),
+	.D(io_in[9]),
+	.Q(\soc_top_GPIO_gen_filter[1].filter_stored_value_q ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_gen_filter[1].filter_stored_value_q ),
+	.SCE(n_2605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[2].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_80),
+	.D(n_4046),
+	.Q(\soc_top_GPIO_gen_filter[2].filter_diff_ctr_q [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[2].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_80),
+	.D(n_3429),
+	.Q(\soc_top_GPIO_gen_filter[2].filter_diff_ctr_q [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[2].filter_stored_value_q_reg  (
+	.CLK(CTS_80),
+	.D(io_in[10]),
+	.Q(\soc_top_GPIO_gen_filter[2].filter_stored_value_q ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_gen_filter[2].filter_stored_value_q ),
+	.SCE(n_2622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[3].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_80),
+	.D(n_4047),
+	.Q(\soc_top_GPIO_gen_filter[3].filter_diff_ctr_q [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[3].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_80),
+	.D(n_3430),
+	.Q(\soc_top_GPIO_gen_filter[3].filter_diff_ctr_q [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[3].filter_stored_value_q_reg  (
+	.CLK(CTS_80),
+	.D(io_in[11]),
+	.Q(\soc_top_GPIO_gen_filter[3].filter_stored_value_q ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_gen_filter[3].filter_stored_value_q ),
+	.SCE(n_2627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[4].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_139),
+	.D(n_4048),
+	.Q(\soc_top_GPIO_gen_filter[4].filter_diff_ctr_q [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[4].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_139),
+	.D(n_3431),
+	.Q(\soc_top_GPIO_gen_filter[4].filter_diff_ctr_q [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[4].filter_stored_value_q_reg  (
+	.CLK(CTS_139),
+	.D(io_in[12]),
+	.Q(\soc_top_GPIO_gen_filter[4].filter_stored_value_q ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_gen_filter[4].filter_stored_value_q ),
+	.SCE(n_2615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[5].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_80),
+	.D(n_4049),
+	.Q(\soc_top_GPIO_gen_filter[5].filter_diff_ctr_q [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[5].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_80),
+	.D(n_3432),
+	.Q(\soc_top_GPIO_gen_filter[5].filter_diff_ctr_q [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[5].filter_stored_value_q_reg  (
+	.CLK(CTS_80),
+	.D(io_in[13]),
+	.Q(\soc_top_GPIO_gen_filter[5].filter_stored_value_q ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_gen_filter[5].filter_stored_value_q ),
+	.SCE(n_2632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[6].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_139),
+	.D(n_4050),
+	.Q(\soc_top_GPIO_gen_filter[6].filter_diff_ctr_q [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[6].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_139),
+	.D(n_3433),
+	.Q(\soc_top_GPIO_gen_filter[6].filter_diff_ctr_q [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[6].filter_stored_value_q_reg  (
+	.CLK(CTS_139),
+	.D(io_in[14]),
+	.Q(\soc_top_GPIO_gen_filter[6].filter_stored_value_q ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_gen_filter[6].filter_stored_value_q ),
+	.SCE(n_2611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[7].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_80),
+	.D(n_4051),
+	.Q(\soc_top_GPIO_gen_filter[7].filter_diff_ctr_q [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[7].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_80),
+	.D(n_3434),
+	.Q(\soc_top_GPIO_gen_filter[7].filter_diff_ctr_q [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[7].filter_stored_value_q_reg  (
+	.CLK(CTS_80),
+	.D(io_in[15]),
+	.Q(\soc_top_GPIO_gen_filter[7].filter_stored_value_q ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_gen_filter[7].filter_stored_value_q ),
+	.SCE(n_2613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[8].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_80),
+	.D(n_4052),
+	.Q(\soc_top_GPIO_gen_filter[8].filter_diff_ctr_q [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[8].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_139),
+	.D(n_3435),
+	.Q(\soc_top_GPIO_gen_filter[8].filter_diff_ctr_q [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[8].filter_stored_value_q_reg  (
+	.CLK(CTS_80),
+	.D(io_in[16]),
+	.Q(\soc_top_GPIO_gen_filter[8].filter_stored_value_q ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_gen_filter[8].filter_stored_value_q ),
+	.SCE(n_2607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[9].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_139),
+	.D(n_4053),
+	.Q(\soc_top_GPIO_gen_filter[9].filter_diff_ctr_q [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[9].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_139),
+	.D(n_3436),
+	.Q(\soc_top_GPIO_gen_filter[9].filter_diff_ctr_q [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[9].filter_stored_value_q_reg  (
+	.CLK(CTS_139),
+	.D(io_in[17]),
+	.Q(\soc_top_GPIO_gen_filter[9].filter_stored_value_q ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_gen_filter[9].filter_stored_value_q ),
+	.SCE(n_2602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[10].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_139),
+	.D(n_4054),
+	.Q(\soc_top_GPIO_gen_filter[10].filter_diff_ctr_q [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[10].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_139),
+	.D(n_3437),
+	.Q(\soc_top_GPIO_gen_filter[10].filter_diff_ctr_q [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[10].filter_stored_value_q_reg  (
+	.CLK(CTS_139),
+	.D(io_in[18]),
+	.Q(\soc_top_GPIO_gen_filter[10].filter_stored_value_q ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_gen_filter[10].filter_stored_value_q ),
+	.SCE(n_2629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[11].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_139),
+	.D(n_4055),
+	.Q(\soc_top_GPIO_gen_filter[11].filter_diff_ctr_q [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[11].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_139),
+	.D(n_3452),
+	.Q(\soc_top_GPIO_gen_filter[11].filter_diff_ctr_q [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[11].filter_stored_value_q_reg  (
+	.CLK(CTS_139),
+	.D(io_in[19]),
+	.Q(\soc_top_GPIO_gen_filter[11].filter_stored_value_q ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_gen_filter[11].filter_stored_value_q ),
+	.SCE(n_2621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[12].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_139),
+	.D(n_4073),
+	.Q(\soc_top_GPIO_gen_filter[12].filter_diff_ctr_q [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[12].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_139),
+	.D(n_3438),
+	.Q(\soc_top_GPIO_gen_filter[12].filter_diff_ctr_q [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[12].filter_stored_value_q_reg  (
+	.CLK(CTS_141),
+	.D(io_in[20]),
+	.Q(\soc_top_GPIO_gen_filter[12].filter_stored_value_q ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_gen_filter[12].filter_stored_value_q ),
+	.SCE(n_2617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[13].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_145),
+	.D(n_4072),
+	.Q(\soc_top_GPIO_gen_filter[13].filter_diff_ctr_q [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[13].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_145),
+	.D(n_3451),
+	.Q(\soc_top_GPIO_gen_filter[13].filter_diff_ctr_q [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[13].filter_stored_value_q_reg  (
+	.CLK(CTS_145),
+	.D(io_in[21]),
+	.Q(\soc_top_GPIO_gen_filter[13].filter_stored_value_q ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_gen_filter[13].filter_stored_value_q ),
+	.SCE(n_2612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[14].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_145),
+	.D(n_4071),
+	.Q(\soc_top_GPIO_gen_filter[14].filter_diff_ctr_q [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[14].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_147),
+	.D(n_3439),
+	.Q(\soc_top_GPIO_gen_filter[14].filter_diff_ctr_q [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[14].filter_stored_value_q_reg  (
+	.CLK(CTS_145),
+	.D(io_in[22]),
+	.Q(\soc_top_GPIO_gen_filter[14].filter_stored_value_q ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_gen_filter[14].filter_stored_value_q ),
+	.SCE(n_2631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[15].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_147),
+	.D(n_4056),
+	.Q(\soc_top_GPIO_gen_filter[15].filter_diff_ctr_q [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[15].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_147),
+	.D(n_3453),
+	.Q(\soc_top_GPIO_gen_filter[15].filter_diff_ctr_q [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[15].filter_stored_value_q_reg  (
+	.CLK(CTS_145),
+	.D(io_in[23]),
+	.Q(\soc_top_GPIO_gen_filter[15].filter_stored_value_q ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_gen_filter[15].filter_stored_value_q ),
+	.SCE(n_2609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[16].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_156),
+	.D(n_4057),
+	.Q(\soc_top_GPIO_gen_filter[16].filter_diff_ctr_q [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[16].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_156),
+	.D(n_3138),
+	.Q(\soc_top_GPIO_gen_filter[16].filter_diff_ctr_q [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[16].filter_stored_value_q_reg  (
+	.CLK(CTS_156),
+	.D(io_in[24]),
+	.Q(\soc_top_GPIO_gen_filter[16].filter_stored_value_q ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_gen_filter[16].filter_stored_value_q ),
+	.SCE(n_2608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[17].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_145),
+	.D(n_4074),
+	.Q(\soc_top_GPIO_gen_filter[17].filter_diff_ctr_q [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[17].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_145),
+	.D(n_3426),
+	.Q(\soc_top_GPIO_gen_filter[17].filter_diff_ctr_q [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[17].filter_stored_value_q_reg  (
+	.CLK(CTS_145),
+	.D(io_in[25]),
+	.Q(\soc_top_GPIO_gen_filter[17].filter_stored_value_q ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_gen_filter[17].filter_stored_value_q ),
+	.SCE(n_2604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[19].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_156),
+	.D(n_4058),
+	.Q(\soc_top_GPIO_gen_filter[19].filter_diff_ctr_q [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[19].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_156),
+	.D(n_3425),
+	.Q(\soc_top_GPIO_gen_filter[19].filter_diff_ctr_q [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[19].filter_stored_value_q_reg  (
+	.CLK(CTS_156),
+	.D(io_in[27]),
+	.Q(\soc_top_GPIO_gen_filter[19].filter_stored_value_q ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_gen_filter[19].filter_stored_value_q ),
+	.SCE(n_2625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[20].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_156),
+	.D(n_4059),
+	.Q(\soc_top_GPIO_gen_filter[20].filter_diff_ctr_q [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[20].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_156),
+	.D(n_3440),
+	.Q(\soc_top_GPIO_gen_filter[20].filter_diff_ctr_q [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[20].filter_stored_value_q_reg  (
+	.CLK(CTS_157),
+	.D(io_in[28]),
+	.Q(\soc_top_GPIO_gen_filter[20].filter_stored_value_q ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_gen_filter[20].filter_stored_value_q ),
+	.SCE(n_2619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[21].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_120),
+	.D(n_4060),
+	.Q(\soc_top_GPIO_gen_filter[21].filter_diff_ctr_q [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[21].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_120),
+	.D(n_3441),
+	.Q(\soc_top_GPIO_gen_filter[21].filter_diff_ctr_q [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[21].filter_stored_value_q_reg  (
+	.CLK(CTS_120),
+	.D(io_in[29]),
+	.Q(\soc_top_GPIO_gen_filter[21].filter_stored_value_q ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_gen_filter[21].filter_stored_value_q ),
+	.SCE(n_2630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[22].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_120),
+	.D(n_4061),
+	.Q(\soc_top_GPIO_gen_filter[22].filter_diff_ctr_q [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[22].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_120),
+	.D(n_3449),
+	.Q(\soc_top_GPIO_gen_filter[22].filter_diff_ctr_q [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[22].filter_stored_value_q_reg  (
+	.CLK(CTS_123),
+	.D(io_in[30]),
+	.Q(\soc_top_GPIO_gen_filter[22].filter_stored_value_q ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_gen_filter[22].filter_stored_value_q ),
+	.SCE(n_2610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[23].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_132),
+	.D(n_4062),
+	.Q(\soc_top_GPIO_gen_filter[23].filter_diff_ctr_q [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[23].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_132),
+	.D(n_3442),
+	.Q(\soc_top_GPIO_gen_filter[23].filter_diff_ctr_q [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[23].filter_stored_value_q_reg  (
+	.CLK(CTS_132),
+	.D(io_in[31]),
+	.Q(\soc_top_GPIO_gen_filter[23].filter_stored_value_q ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_gen_filter[23].filter_stored_value_q ),
+	.SCE(n_2626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[24].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_132),
+	.D(n_4063),
+	.Q(\soc_top_GPIO_gen_filter[24].filter_diff_ctr_q [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[24].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_132),
+	.D(n_3443),
+	.Q(\soc_top_GPIO_gen_filter[24].filter_diff_ctr_q [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[24].filter_stored_value_q_reg  (
+	.CLK(CTS_123),
+	.D(io_in[32]),
+	.Q(\soc_top_GPIO_gen_filter[24].filter_stored_value_q ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_gen_filter[24].filter_stored_value_q ),
+	.SCE(n_2623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[25].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_132),
+	.D(n_4064),
+	.Q(\soc_top_GPIO_gen_filter[25].filter_diff_ctr_q [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[25].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_120),
+	.D(n_3444),
+	.Q(\soc_top_GPIO_gen_filter[25].filter_diff_ctr_q [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[25].filter_stored_value_q_reg  (
+	.CLK(CTS_123),
+	.D(io_in[33]),
+	.Q(\soc_top_GPIO_gen_filter[25].filter_stored_value_q ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_gen_filter[25].filter_stored_value_q ),
+	.SCE(n_2603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[26].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_132),
+	.D(n_4065),
+	.Q(\soc_top_GPIO_gen_filter[26].filter_diff_ctr_q [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[26].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_132),
+	.D(n_3445),
+	.Q(\soc_top_GPIO_gen_filter[26].filter_diff_ctr_q [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[26].filter_stored_value_q_reg  (
+	.CLK(CTS_132),
+	.D(io_in[34]),
+	.Q(\soc_top_GPIO_gen_filter[26].filter_stored_value_q ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_gen_filter[26].filter_stored_value_q ),
+	.SCE(n_2620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[27].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_123),
+	.D(n_4066),
+	.Q(\soc_top_GPIO_gen_filter[27].filter_diff_ctr_q [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[27].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_132),
+	.D(n_3454),
+	.Q(\soc_top_GPIO_gen_filter[27].filter_diff_ctr_q [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[27].filter_stored_value_q_reg  (
+	.CLK(CTS_123),
+	.D(io_in[35]),
+	.Q(\soc_top_GPIO_gen_filter[27].filter_stored_value_q ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_gen_filter[27].filter_stored_value_q ),
+	.SCE(n_2601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[28].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_132),
+	.D(n_4067),
+	.Q(\soc_top_GPIO_gen_filter[28].filter_diff_ctr_q [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[28].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_132),
+	.D(n_3446),
+	.Q(\soc_top_GPIO_gen_filter[28].filter_diff_ctr_q [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[28].filter_stored_value_q_reg  (
+	.CLK(CTS_132),
+	.D(io_in[36]),
+	.Q(\soc_top_GPIO_gen_filter[28].filter_stored_value_q ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_gen_filter[28].filter_stored_value_q ),
+	.SCE(n_2616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[29].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_132),
+	.D(n_4068),
+	.Q(\soc_top_GPIO_gen_filter[29].filter_diff_ctr_q [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[29].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_132),
+	.D(n_3447),
+	.Q(\soc_top_GPIO_gen_filter[29].filter_diff_ctr_q [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[29].filter_stored_value_q_reg  (
+	.CLK(CTS_132),
+	.D(io_in[37]),
+	.Q(\soc_top_GPIO_gen_filter[29].filter_stored_value_q ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_gen_filter[29].filter_stored_value_q ),
+	.SCE(n_2614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[30].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_51),
+	.D(n_4069),
+	.Q(\soc_top_GPIO_gen_filter[30].filter_diff_ctr_q [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[30].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_12),
+	.D(n_3450),
+	.Q(\soc_top_GPIO_gen_filter[30].filter_diff_ctr_q [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[30].filter_stored_value_q_reg  (
+	.CLK(CTS_12),
+	.D(io_in[1]),
+	.Q(\soc_top_GPIO_gen_filter[30].filter_stored_value_q ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_gen_filter[30].filter_stored_value_q ),
+	.SCE(n_2624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[31].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_78),
+	.D(n_4070),
+	.Q(\soc_top_GPIO_gen_filter[31].filter_diff_ctr_q [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[31].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_78),
+	.D(n_3448),
+	.Q(\soc_top_GPIO_gen_filter[31].filter_diff_ctr_q [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[31].filter_stored_value_q_reg  (
+	.CLK(CTS_78),
+	.D(io_in[3]),
+	.Q(\soc_top_GPIO_gen_filter[31].filter_stored_value_q ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_gen_filter[31].filter_stored_value_q ),
+	.SCE(n_2606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[0]  (
+	.CLK(CTS_143),
+	.D(n_84419),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [0]),
+	.SCE(n_7042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[1]  (
+	.CLK(CTS_143),
+	.D(n_75549),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [1]),
+	.SCE(n_7042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[2]  (
+	.CLK(CTS_143),
+	.D(n_87578),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [2]),
+	.SCE(n_7042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[3]  (
+	.CLK(CTS_143),
+	.D(n_84427),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [3]),
+	.SCE(n_7042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[4]  (
+	.CLK(CTS_139),
+	.D(n_70008),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [4]),
+	.SCE(n_7042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[5]  (
+	.CLK(CTS_139),
+	.D(n_84455),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [5]),
+	.SCE(n_7042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[6]  (
+	.CLK(CTS_139),
+	.D(n_84431),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [6]),
+	.SCE(n_7042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[7]  (
+	.CLK(CTS_80),
+	.D(n_70004),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [7]),
+	.SCE(n_7042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[8]  (
+	.CLK(CTS_139),
+	.D(n_93122),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [8]),
+	.SCE(n_7042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[9]  (
+	.CLK(CTS_139),
+	.D(n_72528),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [9]),
+	.SCE(n_7042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[10]  (
+	.CLK(CTS_139),
+	.D(n_70064),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [10]),
+	.SCE(n_7042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[11]  (
+	.CLK(CTS_139),
+	.D(n_69280),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [11]),
+	.SCE(n_7042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[12]  (
+	.CLK(CTS_141),
+	.D(n_74612),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [12]),
+	.SCE(n_7042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[13]  (
+	.CLK(CTS_153),
+	.D(n_72176),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [13]),
+	.SCE(n_7042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[14]  (
+	.CLK(CTS_157),
+	.D(\soc_top_xbar_to_timer[a_data] [14]),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [14]),
+	.SCE(n_7042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[15]  (
+	.CLK(CTS_157),
+	.D(n_70012),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [15]),
+	.SCE(n_7042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[16]  (
+	.CLK(CTS_157),
+	.D(n_93141),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [16]),
+	.SCE(n_7042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[17]  (
+	.CLK(CTS_157),
+	.D(n_72527),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [17]),
+	.SCE(n_7042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[18]  (
+	.CLK(CTS_143),
+	.D(n_72578),
+	.Q(soc_top_GPIO_u_reg_ctrl_en_input_filter_qs[18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_GPIO_u_reg_ctrl_en_input_filter_qs[18]),
+	.SCE(n_7042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[19]  (
+	.CLK(CTS_157),
+	.D(n_84182),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [19]),
+	.SCE(n_7042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[20]  (
+	.CLK(CTS_157),
+	.D(\soc_top_xbar_to_timer[a_data] [20]),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [20]),
+	.SCE(n_7042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[21]  (
+	.CLK(CTS_138),
+	.D(\soc_top_xbar_to_timer[a_data] [21]),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [21]),
+	.SCE(n_7042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[22]  (
+	.CLK(CTS_123),
+	.D(n_72887),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [22]),
+	.SCE(n_7042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[23]  (
+	.CLK(CTS_123),
+	.D(n_74743),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [23]),
+	.SCE(n_7042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[24]  (
+	.CLK(CTS_123),
+	.D(n_68334),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [24]),
+	.SCE(n_7042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[25]  (
+	.CLK(CTS_123),
+	.D(n_68259),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [25]),
+	.SCE(n_7042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[26]  (
+	.CLK(CTS_123),
+	.D(n_68466),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [26]),
+	.SCE(n_7042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[27]  (
+	.CLK(CTS_123),
+	.D(\soc_top_xbar_to_timer[a_data] [27]),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [27]),
+	.SCE(n_7042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[28]  (
+	.CLK(CTS_123),
+	.D(\soc_top_xbar_to_timer[a_data] [28]),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [28]),
+	.SCE(n_7042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[29]  (
+	.CLK(CTS_138),
+	.D(\soc_top_xbar_to_timer[a_data] [29]),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [29]),
+	.SCE(n_7042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[30]  (
+	.CLK(CTS_129),
+	.D(\soc_top_xbar_to_timer[a_data] [30]),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [30]),
+	.SCE(n_7042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[31]  (
+	.CLK(CTS_133),
+	.D(n_68268),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [31]),
+	.SCE(n_7042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[0]  (
+	.CLK(CTS_143),
+	.D(n_84419),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [0]),
+	.SCE(n_7047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[1]  (
+	.CLK(CTS_143),
+	.D(n_75549),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [1]),
+	.SCE(n_7047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[2]  (
+	.CLK(CTS_143),
+	.D(n_87578),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [2]),
+	.SCE(n_7047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[3]  (
+	.CLK(CTS_143),
+	.D(n_84427),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [3]),
+	.SCE(n_7047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[4]  (
+	.CLK(CTS_139),
+	.D(n_70008),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [4]),
+	.SCE(n_7047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[5]  (
+	.CLK(CTS_139),
+	.D(n_84455),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [5]),
+	.SCE(n_7047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[6]  (
+	.CLK(CTS_139),
+	.D(n_84431),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [6]),
+	.SCE(n_7047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[7]  (
+	.CLK(CTS_143),
+	.D(n_70004),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [7]),
+	.SCE(n_7047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[8]  (
+	.CLK(CTS_143),
+	.D(n_93122),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [8]),
+	.SCE(n_7047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[9]  (
+	.CLK(CTS_139),
+	.D(n_72528),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [9]),
+	.SCE(n_7047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[10]  (
+	.CLK(CTS_139),
+	.D(n_70064),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [10]),
+	.SCE(n_7047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[11]  (
+	.CLK(CTS_142),
+	.D(n_69280),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [11]),
+	.SCE(n_7047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[12]  (
+	.CLK(CTS_141),
+	.D(n_74612),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [12]),
+	.SCE(n_7047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[13]  (
+	.CLK(CTS_153),
+	.D(n_72176),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [13]),
+	.SCE(n_7047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[14]  (
+	.CLK(CTS_157),
+	.D(\soc_top_xbar_to_timer[a_data] [14]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [14]),
+	.SCE(n_7047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[15]  (
+	.CLK(CTS_153),
+	.D(n_70012),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [15]),
+	.SCE(n_7047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[16]  (
+	.CLK(CTS_141),
+	.D(n_93141),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [16]),
+	.SCE(n_7047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[17]  (
+	.CLK(CTS_141),
+	.D(n_72527),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [17]),
+	.SCE(n_7047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[18]  (
+	.CLK(CTS_143),
+	.D(n_72578),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [18]),
+	.SCE(n_7047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[19]  (
+	.CLK(CTS_141),
+	.D(n_84182),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [19]),
+	.SCE(n_7047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[20]  (
+	.CLK(CTS_153),
+	.D(\soc_top_xbar_to_timer[a_data] [20]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [20]),
+	.SCE(n_7047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[21]  (
+	.CLK(CTS_153),
+	.D(\soc_top_xbar_to_timer[a_data] [21]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [21]),
+	.SCE(n_7047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[22]  (
+	.CLK(CTS_138),
+	.D(n_72887),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [22]),
+	.SCE(n_7047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[23]  (
+	.CLK(CTS_138),
+	.D(n_74743),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [23]),
+	.SCE(n_7047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[24]  (
+	.CLK(CTS_138),
+	.D(n_68334),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [24]),
+	.SCE(n_7047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[25]  (
+	.CLK(CTS_138),
+	.D(n_68259),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [25]),
+	.SCE(n_7047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[26]  (
+	.CLK(CTS_138),
+	.D(n_68466),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [26]),
+	.SCE(n_7047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[27]  (
+	.CLK(CTS_138),
+	.D(\soc_top_xbar_to_timer[a_data] [27]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [27]),
+	.SCE(n_7047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[28]  (
+	.CLK(CTS_138),
+	.D(\soc_top_xbar_to_timer[a_data] [28]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [28]),
+	.SCE(n_7047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[29]  (
+	.CLK(CTS_138),
+	.D(\soc_top_xbar_to_timer[a_data] [29]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [29]),
+	.SCE(n_7047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[30]  (
+	.CLK(CTS_133),
+	.D(\soc_top_xbar_to_timer[a_data] [30]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [30]),
+	.SCE(n_7047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[31]  (
+	.CLK(CTS_143),
+	.D(n_68268),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [31]),
+	.SCE(n_7047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[0]  (
+	.CLK(CTS_143),
+	.D(n_84419),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [0]),
+	.SCE(n_7045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[1]  (
+	.CLK(CTS_143),
+	.D(n_75549),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [1]),
+	.SCE(n_7045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[2]  (
+	.CLK(CTS_143),
+	.D(n_87578),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [2]),
+	.SCE(n_7045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[3]  (
+	.CLK(CTS_143),
+	.D(n_84427),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [3]),
+	.SCE(n_7045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[4]  (
+	.CLK(CTS_139),
+	.D(n_70008),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [4]),
+	.SCE(n_7045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[5]  (
+	.CLK(CTS_139),
+	.D(n_84455),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [5]),
+	.SCE(n_7045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[6]  (
+	.CLK(CTS_139),
+	.D(n_84431),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [6]),
+	.SCE(n_7045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[7]  (
+	.CLK(CTS_143),
+	.D(n_70004),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [7]),
+	.SCE(n_7045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[8]  (
+	.CLK(CTS_143),
+	.D(n_93122),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [8]),
+	.SCE(n_7045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[9]  (
+	.CLK(CTS_141),
+	.D(n_72528),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [9]),
+	.SCE(n_7045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[10]  (
+	.CLK(CTS_139),
+	.D(n_70064),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [10]),
+	.SCE(n_7045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[11]  (
+	.CLK(CTS_141),
+	.D(n_69280),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [11]),
+	.SCE(n_7045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[12]  (
+	.CLK(CTS_141),
+	.D(n_74612),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [12]),
+	.SCE(n_7045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[13]  (
+	.CLK(CTS_153),
+	.D(n_72176),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [13]),
+	.SCE(n_7045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[14]  (
+	.CLK(CTS_157),
+	.D(\soc_top_xbar_to_timer[a_data] [14]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [14]),
+	.SCE(n_7045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[15]  (
+	.CLK(CTS_153),
+	.D(n_70012),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [15]),
+	.SCE(n_7045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[16]  (
+	.CLK(CTS_141),
+	.D(n_93141),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [16]),
+	.SCE(n_7045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[17]  (
+	.CLK(CTS_141),
+	.D(n_72527),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [17]),
+	.SCE(n_7045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[18]  (
+	.CLK(CTS_143),
+	.D(n_72578),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [18]),
+	.SCE(n_7045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[19]  (
+	.CLK(CTS_141),
+	.D(n_84182),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [19]),
+	.SCE(n_7045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[20]  (
+	.CLK(CTS_142),
+	.D(\soc_top_xbar_to_timer[a_data] [20]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [20]),
+	.SCE(n_7045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[21]  (
+	.CLK(CTS_153),
+	.D(\soc_top_xbar_to_timer[a_data] [21]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [21]),
+	.SCE(n_7045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[22]  (
+	.CLK(CTS_138),
+	.D(n_72887),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [22]),
+	.SCE(n_7045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[23]  (
+	.CLK(CTS_138),
+	.D(n_74743),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [23]),
+	.SCE(n_7045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[24]  (
+	.CLK(CTS_138),
+	.D(n_68334),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [24]),
+	.SCE(n_7045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[25]  (
+	.CLK(CTS_138),
+	.D(n_68259),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [25]),
+	.SCE(n_7045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[26]  (
+	.CLK(CTS_138),
+	.D(n_68466),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [26]),
+	.SCE(n_7045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[27]  (
+	.CLK(CTS_138),
+	.D(\soc_top_xbar_to_timer[a_data] [27]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [27]),
+	.SCE(n_7045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[28]  (
+	.CLK(CTS_138),
+	.D(\soc_top_xbar_to_timer[a_data] [28]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [28]),
+	.SCE(n_7045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[29]  (
+	.CLK(CTS_138),
+	.D(\soc_top_xbar_to_timer[a_data] [29]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [29]),
+	.SCE(n_7045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[30]  (
+	.CLK(CTS_140),
+	.D(\soc_top_xbar_to_timer[a_data] [30]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [30]),
+	.SCE(n_7045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[31]  (
+	.CLK(CTS_143),
+	.D(n_68268),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [31]),
+	.SCE(n_7045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[0]  (
+	.CLK(CTS_143),
+	.D(n_84419),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [0]),
+	.SCE(n_7040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[1]  (
+	.CLK(CTS_143),
+	.D(n_75549),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [1]),
+	.SCE(n_7040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[2]  (
+	.CLK(CTS_143),
+	.D(n_87578),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [2]),
+	.SCE(n_7040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[3]  (
+	.CLK(CTS_143),
+	.D(n_84427),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [3]),
+	.SCE(n_7040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[4]  (
+	.CLK(CTS_139),
+	.D(n_70008),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [4]),
+	.SCE(n_7040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[5]  (
+	.CLK(CTS_139),
+	.D(n_84455),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [5]),
+	.SCE(n_7040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[6]  (
+	.CLK(CTS_139),
+	.D(n_84431),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [6]),
+	.SCE(n_7040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[7]  (
+	.CLK(CTS_143),
+	.D(n_70004),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [7]),
+	.SCE(n_7040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[8]  (
+	.CLK(CTS_143),
+	.D(n_93122),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [8]),
+	.SCE(n_7040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[9]  (
+	.CLK(CTS_139),
+	.D(n_72528),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [9]),
+	.SCE(n_7040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[10]  (
+	.CLK(CTS_139),
+	.D(n_70064),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [10]),
+	.SCE(n_7040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[11]  (
+	.CLK(CTS_142),
+	.D(n_69280),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [11]),
+	.SCE(n_7040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[12]  (
+	.CLK(CTS_142),
+	.D(n_74612),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [12]),
+	.SCE(n_7040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[13]  (
+	.CLK(CTS_153),
+	.D(n_72176),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [13]),
+	.SCE(n_7040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[14]  (
+	.CLK(CTS_157),
+	.D(\soc_top_xbar_to_timer[a_data] [14]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [14]),
+	.SCE(n_7040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[15]  (
+	.CLK(CTS_153),
+	.D(n_70012),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [15]),
+	.SCE(n_7040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[16]  (
+	.CLK(CTS_142),
+	.D(n_93141),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [16]),
+	.SCE(n_7040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[17]  (
+	.CLK(CTS_142),
+	.D(n_72527),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [17]),
+	.SCE(n_7040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[18]  (
+	.CLK(CTS_143),
+	.D(n_72578),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [18]),
+	.SCE(n_7040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[19]  (
+	.CLK(CTS_142),
+	.D(n_84182),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [19]),
+	.SCE(n_7040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[20]  (
+	.CLK(CTS_157),
+	.D(\soc_top_xbar_to_timer[a_data] [20]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [20]),
+	.SCE(n_7040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[21]  (
+	.CLK(CTS_153),
+	.D(\soc_top_xbar_to_timer[a_data] [21]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [21]),
+	.SCE(n_7040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[22]  (
+	.CLK(CTS_138),
+	.D(n_72887),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [22]),
+	.SCE(n_7040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[23]  (
+	.CLK(CTS_138),
+	.D(n_74743),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [23]),
+	.SCE(n_7040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[24]  (
+	.CLK(CTS_138),
+	.D(n_68334),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [24]),
+	.SCE(n_7040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[25]  (
+	.CLK(CTS_138),
+	.D(n_68259),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [25]),
+	.SCE(n_7040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[26]  (
+	.CLK(CTS_138),
+	.D(n_68466),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [26]),
+	.SCE(n_7040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[27]  (
+	.CLK(CTS_138),
+	.D(\soc_top_xbar_to_timer[a_data] [27]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [27]),
+	.SCE(n_7040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[28]  (
+	.CLK(CTS_138),
+	.D(\soc_top_xbar_to_timer[a_data] [28]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [28]),
+	.SCE(n_7040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[29]  (
+	.CLK(CTS_138),
+	.D(\soc_top_xbar_to_timer[a_data] [29]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [29]),
+	.SCE(n_7040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[30]  (
+	.CLK(CTS_133),
+	.D(\soc_top_xbar_to_timer[a_data] [30]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [30]),
+	.SCE(n_7040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[31]  (
+	.CLK(CTS_143),
+	.D(n_68268),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [31]),
+	.SCE(n_7040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[0]  (
+	.CLK(CTS_143),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [0]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_84419),
+	.SCE(n_7052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[1]  (
+	.CLK(CTS_143),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [1]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_75549),
+	.SCE(n_7052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[2]  (
+	.CLK(CTS_143),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [2]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_87578),
+	.SCE(n_7052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[3]  (
+	.CLK(CTS_143),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [3]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_84427),
+	.SCE(n_7052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[4]  (
+	.CLK(CTS_139),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [4]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_70008),
+	.SCE(n_7052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[5]  (
+	.CLK(CTS_139),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [5]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_84455),
+	.SCE(n_7052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[6]  (
+	.CLK(CTS_139),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [6]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_84431),
+	.SCE(n_7052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[7]  (
+	.CLK(CTS_143),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [7]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_70004),
+	.SCE(n_7052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[8]  (
+	.CLK(CTS_139),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [8]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_93122),
+	.SCE(n_7052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[9]  (
+	.CLK(CTS_139),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [9]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_72528),
+	.SCE(n_7052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[10]  (
+	.CLK(CTS_139),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [10]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_70064),
+	.SCE(n_7052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[11]  (
+	.CLK(CTS_141),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [11]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_69280),
+	.SCE(n_7052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[12]  (
+	.CLK(CTS_141),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [12]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_74612),
+	.SCE(n_7052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[13]  (
+	.CLK(CTS_153),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [13]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_72176),
+	.SCE(n_7052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[14]  (
+	.CLK(CTS_157),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [14]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_xbar_to_timer[a_data] [14]),
+	.SCE(n_7052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[15]  (
+	.CLK(CTS_157),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [15]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_70012),
+	.SCE(n_7052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[16]  (
+	.CLK(CTS_141),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [16]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_93141),
+	.SCE(n_7052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[17]  (
+	.CLK(CTS_141),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [17]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_72527),
+	.SCE(n_7052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[18]  (
+	.CLK(CTS_143),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [18]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_72578),
+	.SCE(n_7052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[19]  (
+	.CLK(CTS_141),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [19]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_84182),
+	.SCE(n_7052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[20]  (
+	.CLK(CTS_157),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [20]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_xbar_to_timer[a_data] [20]),
+	.SCE(n_7052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[21]  (
+	.CLK(CTS_153),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [21]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_xbar_to_timer[a_data] [21]),
+	.SCE(n_7052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[22]  (
+	.CLK(CTS_123),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [22]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_72887),
+	.SCE(n_7052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[23]  (
+	.CLK(CTS_123),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [23]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_74743),
+	.SCE(n_7052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[24]  (
+	.CLK(CTS_123),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [24]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_68334),
+	.SCE(n_7052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[25]  (
+	.CLK(CTS_123),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [25]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_68259),
+	.SCE(n_7052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[26]  (
+	.CLK(CTS_138),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [26]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_68466),
+	.SCE(n_7052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[27]  (
+	.CLK(CTS_123),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [27]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_xbar_to_timer[a_data] [27]),
+	.SCE(n_7052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[28]  (
+	.CLK(CTS_123),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [28]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_xbar_to_timer[a_data] [28]),
+	.SCE(n_7052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[29]  (
+	.CLK(CTS_123),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [29]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_xbar_to_timer[a_data] [29]),
+	.SCE(n_7052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[30]  (
+	.CLK(CTS_133),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [30]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_xbar_to_timer[a_data] [30]),
+	.SCE(n_7052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[31]  (
+	.CLK(CTS_143),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [31]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_68268),
+	.SCE(n_7052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[0]  (
+	.CLK(CTS_143),
+	.D(n_84419),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_enable][q] [0]),
+	.SCE(n_7054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[1]  (
+	.CLK(CTS_143),
+	.D(n_75549),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_enable][q] [1]),
+	.SCE(n_7054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[2]  (
+	.CLK(CTS_143),
+	.D(n_87578),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_enable][q] [2]),
+	.SCE(n_7054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[3]  (
+	.CLK(CTS_143),
+	.D(n_84427),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_enable][q] [3]),
+	.SCE(n_7054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[4]  (
+	.CLK(CTS_143),
+	.D(n_70008),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_enable][q] [4]),
+	.SCE(n_7054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[5]  (
+	.CLK(CTS_143),
+	.D(n_84455),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_enable][q] [5]),
+	.SCE(n_7054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[6]  (
+	.CLK(CTS_143),
+	.D(n_84431),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_enable][q] [6]),
+	.SCE(n_7054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[7]  (
+	.CLK(CTS_143),
+	.D(n_70004),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_enable][q] [7]),
+	.SCE(n_7054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[8]  (
+	.CLK(CTS_143),
+	.D(n_93122),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_enable][q] [8]),
+	.SCE(n_7054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[9]  (
+	.CLK(CTS_142),
+	.D(n_72528),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_enable][q] [9]),
+	.SCE(n_7054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[10]  (
+	.CLK(CTS_143),
+	.D(n_70064),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_enable][q] [10]),
+	.SCE(n_7054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[11]  (
+	.CLK(CTS_142),
+	.D(n_69280),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_enable][q] [11]),
+	.SCE(n_7054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[12]  (
+	.CLK(CTS_142),
+	.D(n_74612),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_enable][q] [12]),
+	.SCE(n_7054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[13]  (
+	.CLK(CTS_153),
+	.D(n_72176),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_enable][q] [13]),
+	.SCE(n_7054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[14]  (
+	.CLK(CTS_142),
+	.D(\soc_top_xbar_to_timer[a_data] [14]),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_enable][q] [14]),
+	.SCE(n_7054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[15]  (
+	.CLK(CTS_153),
+	.D(n_70012),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_enable][q] [15]),
+	.SCE(n_7054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[16]  (
+	.CLK(CTS_142),
+	.D(n_93141),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_enable][q] [16]),
+	.SCE(n_7054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[17]  (
+	.CLK(CTS_142),
+	.D(n_72527),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_enable][q] [17]),
+	.SCE(n_7054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[18]  (
+	.CLK(CTS_143),
+	.D(n_72578),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_enable][q] [18]),
+	.SCE(n_7054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[19]  (
+	.CLK(CTS_142),
+	.D(n_84182),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_enable][q] [19]),
+	.SCE(n_7054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[20]  (
+	.CLK(CTS_142),
+	.D(\soc_top_xbar_to_timer[a_data] [20]),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_enable][q] [20]),
+	.SCE(n_7054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[21]  (
+	.CLK(CTS_138),
+	.D(\soc_top_xbar_to_timer[a_data] [21]),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_enable][q] [21]),
+	.SCE(n_7054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[22]  (
+	.CLK(CTS_138),
+	.D(n_72887),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_enable][q] [22]),
+	.SCE(n_7054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[23]  (
+	.CLK(CTS_131),
+	.D(n_74743),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_enable][q] [23]),
+	.SCE(n_7054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[24]  (
+	.CLK(CTS_138),
+	.D(n_68334),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_enable][q] [24]),
+	.SCE(n_7054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[25]  (
+	.CLK(CTS_138),
+	.D(n_68259),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_enable][q] [25]),
+	.SCE(n_7054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[26]  (
+	.CLK(CTS_138),
+	.D(n_68466),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_enable][q] [26]),
+	.SCE(n_7054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[27]  (
+	.CLK(CTS_138),
+	.D(\soc_top_xbar_to_timer[a_data] [27]),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_enable][q] [27]),
+	.SCE(n_7054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[28]  (
+	.CLK(CTS_140),
+	.D(\soc_top_xbar_to_timer[a_data] [28]),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_enable][q] [28]),
+	.SCE(n_7054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[29]  (
+	.CLK(CTS_138),
+	.D(\soc_top_xbar_to_timer[a_data] [29]),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_enable][q] [29]),
+	.SCE(n_7054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[30]  (
+	.CLK(CTS_133),
+	.D(\soc_top_xbar_to_timer[a_data] [30]),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_enable][q] [30]),
+	.SCE(n_7054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[31]  (
+	.CLK(CTS_140),
+	.D(n_68268),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_GPIO_reg2hw[intr_enable][q] [31]),
+	.SCE(n_7054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[0]  (
+	.CLK(CTS_143),
+	.D(n_9713),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[1]  (
+	.CLK(CTS_143),
+	.D(n_9712),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[2]  (
+	.CLK(CTS_143),
+	.D(n_9711),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[3]  (
+	.CLK(CTS_143),
+	.D(n_9709),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[4]  (
+	.CLK(CTS_143),
+	.D(n_9710),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[5]  (
+	.CLK(CTS_139),
+	.D(n_9708),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[6]  (
+	.CLK(CTS_143),
+	.D(n_9704),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[7]  (
+	.CLK(CTS_143),
+	.D(n_9707),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[8]  (
+	.CLK(CTS_143),
+	.D(n_93135),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[9]  (
+	.CLK(CTS_143),
+	.D(n_9705),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [9]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[10]  (
+	.CLK(CTS_143),
+	.D(n_9703),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [10]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[11]  (
+	.CLK(CTS_142),
+	.D(n_9702),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [11]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[12]  (
+	.CLK(CTS_142),
+	.D(n_9701),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [12]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[13]  (
+	.CLK(CTS_153),
+	.D(n_9700),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [13]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[14]  (
+	.CLK(CTS_141),
+	.D(n_9699),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [14]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[15]  (
+	.CLK(CTS_153),
+	.D(n_9698),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [15]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[16]  (
+	.CLK(CTS_142),
+	.D(n_92691),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [16]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[17]  (
+	.CLK(CTS_142),
+	.D(n_9696),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [17]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[18]  (
+	.CLK(CTS_143),
+	.D(n_9468),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [18]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[19]  (
+	.CLK(CTS_142),
+	.D(n_9695),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [19]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[20]  (
+	.CLK(CTS_153),
+	.D(n_9694),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [20]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[21]  (
+	.CLK(CTS_153),
+	.D(n_9692),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [21]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[22]  (
+	.CLK(CTS_138),
+	.D(n_9693),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [22]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[23]  (
+	.CLK(CTS_132),
+	.D(n_9691),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [23]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[24]  (
+	.CLK(CTS_138),
+	.D(n_9690),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [24]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[25]  (
+	.CLK(CTS_138),
+	.D(n_9689),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [25]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[26]  (
+	.CLK(CTS_138),
+	.D(n_68474),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [26]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[27]  (
+	.CLK(CTS_138),
+	.D(n_9686),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [27]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[28]  (
+	.CLK(CTS_138),
+	.D(n_9688),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [28]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[29]  (
+	.CLK(CTS_138),
+	.D(n_9685),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [29]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[30]  (
+	.CLK(CTS_133),
+	.D(n_9684),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [30]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[31]  (
+	.CLK(CTS_143),
+	.D(n_9683),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [31]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 soc_top_GPIO_u_reg_u_reg_if_error_reg (
+	.CLK(CTS_152),
+	.D(n_6713),
+	.Q(\soc_top_gpio_to_xbarp[d_error] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_gpio_to_xbarp[d_error] ),
+	.SCE(n_2125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 soc_top_GPIO_u_reg_u_reg_if_outstanding_reg (
+	.CLK(CTS_152),
+	.D(n_2124),
+	.Q(\soc_top_gpio_to_xbarp[d_valid] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_gpio_to_xbarp[d_valid] ),
+	.SCE(n_2709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[0]  (
+	.CLK(CTS_143),
+	.D(n_8902),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[1]  (
+	.CLK(CTS_143),
+	.D(n_8901),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[2]  (
+	.CLK(CTS_143),
+	.D(n_8900),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[3]  (
+	.CLK(CTS_143),
+	.D(n_8899),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[4]  (
+	.CLK(CTS_143),
+	.D(n_8898),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[5]  (
+	.CLK(CTS_143),
+	.D(n_8897),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[6]  (
+	.CLK(CTS_143),
+	.D(n_8896),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[7]  (
+	.CLK(CTS_143),
+	.D(n_8895),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[8]  (
+	.CLK(CTS_143),
+	.D(n_8894),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[9]  (
+	.CLK(CTS_142),
+	.D(n_8893),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [9]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[10]  (
+	.CLK(CTS_143),
+	.D(n_8891),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [10]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[11]  (
+	.CLK(CTS_142),
+	.D(n_8892),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [11]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[12]  (
+	.CLK(CTS_153),
+	.D(n_8890),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [12]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[13]  (
+	.CLK(CTS_153),
+	.D(n_8889),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [13]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[14]  (
+	.CLK(CTS_153),
+	.D(n_8888),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [14]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[15]  (
+	.CLK(CTS_153),
+	.D(n_8887),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [15]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[16]  (
+	.CLK(CTS_142),
+	.D(n_7428),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [16]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[17]  (
+	.CLK(CTS_142),
+	.D(n_7429),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [17]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[18]  (
+	.CLK(CTS_142),
+	.D(n_8486),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [18]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[19]  (
+	.CLK(CTS_142),
+	.D(n_7430),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [19]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[20]  (
+	.CLK(CTS_142),
+	.D(n_7431),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [20]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[21]  (
+	.CLK(CTS_138),
+	.D(n_7432),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [21]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[22]  (
+	.CLK(CTS_138),
+	.D(n_7433),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [22]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[23]  (
+	.CLK(CTS_138),
+	.D(n_7434),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [23]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[24]  (
+	.CLK(CTS_138),
+	.D(n_7435),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [24]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[25]  (
+	.CLK(CTS_138),
+	.D(n_7436),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [25]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[26]  (
+	.CLK(CTS_131),
+	.D(n_7437),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [26]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[27]  (
+	.CLK(CTS_138),
+	.D(n_7438),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [27]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[28]  (
+	.CLK(CTS_138),
+	.D(n_7439),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [28]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[29]  (
+	.CLK(CTS_138),
+	.D(n_7440),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [29]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[30]  (
+	.CLK(CTS_133),
+	.D(n_7441),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [30]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[31]  (
+	.CLK(CTS_140),
+	.D(n_7442),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [31]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rspop_reg[0]  (
+	.CLK(CTS_152),
+	.D(n_24),
+	.Q(\soc_top_gpio_to_xbarp[d_opcode] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_gpio_to_xbarp[d_opcode] [0]),
+	.SCE(n_2125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[0]  (
+	.CLK(CTS_12),
+	.D(n_2888),
+	.Q(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[2]  (
+	.CLK(CTS_12),
+	.D(n_4505),
+	.Q(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[0]  (
+	.CLK(CTS_12),
+	.D(n_7193),
+	.Q(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_3574),
+	.SCE(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[1]  (
+	.CLK(CTS_12),
+	.D(n_8485),
+	.Q(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[2]  (
+	.CLK(CTS_12),
+	.D(n_3348),
+	.Q(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_7269),
+	.SCE(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage_reg[0][11]  (
+	.CLK(CTS_51),
+	.D(n_6678),
+	.Q(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[0] [11]),
+	.RESET_B(n_1862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage_reg[1][11]  (
+	.CLK(CTS_51),
+	.D(n_6717),
+	.Q(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[1] [11]),
+	.RESET_B(n_2123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage_reg[2][11]  (
+	.CLK(CTS_51),
+	.D(n_6743),
+	.Q(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[2] [11]),
+	.RESET_B(n_1453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage_reg[3][11]  (
+	.CLK(CTS_51),
+	.D(n_6742),
+	.Q(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[3] [11]),
+	.RESET_B(n_2091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[0]  (
+	.CLK(CTS_12),
+	.D(n_7688),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_29915),
+	.SCE(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[1]  (
+	.CLK(CTS_12),
+	.D(n_9132),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[2]  (
+	.CLK(CTS_12),
+	.D(n_9985),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[0]  (
+	.CLK(CTS_12),
+	.D(n_7671),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_3963),
+	.SCE(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[2]  (
+	.CLK(CTS_12),
+	.D(n_4292),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_8420),
+	.SCE(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][1]  (
+	.CLK(CTS_18),
+	.D(n_79471),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [1]),
+	.RESET_B(n_2136),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [1]),
+	.SCE(n_35321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][2]  (
+	.CLK(CTS_55),
+	.D(n_88107),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [2]),
+	.RESET_B(n_2136),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [2]),
+	.SCE(n_35321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][3]  (
+	.CLK(CTS_18),
+	.D(n_58189),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [3]),
+	.RESET_B(n_2136),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [3]),
+	.SCE(n_35321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][4]  (
+	.CLK(CTS_22),
+	.D(n_58702),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [4]),
+	.RESET_B(n_2136),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [4]),
+	.SCE(n_35321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][5]  (
+	.CLK(CTS_18),
+	.D(n_25513),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [5]),
+	.RESET_B(n_2136),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [5]),
+	.SCE(n_35321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][6]  (
+	.CLK(CTS_55),
+	.D(n_41134),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [6]),
+	.RESET_B(n_2136),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [6]),
+	.SCE(n_35321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][7]  (
+	.CLK(CTS_29),
+	.D(n_38094),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [7]),
+	.RESET_B(n_2136),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [7]),
+	.SCE(n_35321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][8]  (
+	.CLK(CTS_18),
+	.D(n_75214),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [8]),
+	.RESET_B(n_2136),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [8]),
+	.SCE(n_35321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][9]  (
+	.CLK(CTS_18),
+	.D(\soc_top_dccm_adapter_data_mem_rdata[0] [8]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [9]),
+	.RESET_B(n_2136),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [9]),
+	.SCE(n_35321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][10]  (
+	.CLK(CTS_22),
+	.D(\soc_top_dccm_adapter_data_mem_rdata[0] [9]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [10]),
+	.RESET_B(n_2136),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [10]),
+	.SCE(n_35321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][11]  (
+	.CLK(CTS_18),
+	.D(n_88130),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [11]),
+	.RESET_B(n_2136),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [11]),
+	.SCE(n_35321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][12]  (
+	.CLK(CTS_55),
+	.D(n_35016),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [12]),
+	.RESET_B(n_2136),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [12]),
+	.SCE(n_35321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][13]  (
+	.CLK(CTS_55),
+	.D(\soc_top_dccm_adapter_data_mem_rdata[0] [12]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [13]),
+	.RESET_B(n_2136),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [13]),
+	.SCE(n_35321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][14]  (
+	.CLK(CTS_18),
+	.D(n_49557),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [14]),
+	.RESET_B(n_2136),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [14]),
+	.SCE(n_35321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][15]  (
+	.CLK(CTS_22),
+	.D(n_35017),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [15]),
+	.RESET_B(n_2136),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [15]),
+	.SCE(n_35321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][16]  (
+	.CLK(CTS_55),
+	.D(\soc_top_dccm_adapter_data_mem_rdata[0] [15]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [16]),
+	.RESET_B(n_2136),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [16]),
+	.SCE(n_35321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][17]  (
+	.CLK(CTS_18),
+	.D(n_59011),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [17]),
+	.RESET_B(n_2136),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [17]),
+	.SCE(n_35321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][18]  (
+	.CLK(CTS_18),
+	.D(n_41127),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [18]),
+	.RESET_B(n_2136),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [18]),
+	.SCE(n_35321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][19]  (
+	.CLK(CTS_55),
+	.D(n_58203),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [19]),
+	.RESET_B(n_2136),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [19]),
+	.SCE(n_35321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][20]  (
+	.CLK(CTS_18),
+	.D(n_58129),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [20]),
+	.RESET_B(n_2136),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [20]),
+	.SCE(n_35321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][21]  (
+	.CLK(CTS_29),
+	.D(n_35015),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [21]),
+	.RESET_B(n_2136),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [21]),
+	.SCE(n_35321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][22]  (
+	.CLK(CTS_22),
+	.D(n_80412),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [22]),
+	.RESET_B(n_2136),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [22]),
+	.SCE(n_35321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][23]  (
+	.CLK(CTS_18),
+	.D(n_78779),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [23]),
+	.RESET_B(n_2136),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [23]),
+	.SCE(n_35321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][24]  (
+	.CLK(CTS_29),
+	.D(n_47763),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [24]),
+	.RESET_B(n_2136),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [24]),
+	.SCE(n_35321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][25]  (
+	.CLK(CTS_29),
+	.D(n_63533),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [25]),
+	.RESET_B(n_2136),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [25]),
+	.SCE(n_35321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][26]  (
+	.CLK(CTS_29),
+	.D(n_81748),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [26]),
+	.RESET_B(n_2136),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [26]),
+	.SCE(n_35321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][27]  (
+	.CLK(CTS_18),
+	.D(n_80403),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [27]),
+	.RESET_B(n_2136),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [27]),
+	.SCE(n_35321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][28]  (
+	.CLK(CTS_29),
+	.D(n_59105),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [28]),
+	.RESET_B(n_2136),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [28]),
+	.SCE(n_35321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][29]  (
+	.CLK(CTS_18),
+	.D(n_25510),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [29]),
+	.RESET_B(n_2136),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [29]),
+	.SCE(n_35321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][30]  (
+	.CLK(CTS_29),
+	.D(\soc_top_dccm_adapter_data_mem_rdata[0] [29]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [30]),
+	.RESET_B(n_2136),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [30]),
+	.SCE(n_35321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][31]  (
+	.CLK(CTS_18),
+	.D(n_57066),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [31]),
+	.RESET_B(n_2136),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [31]),
+	.SCE(n_35321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][32]  (
+	.CLK(CTS_18),
+	.D(n_40149),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [32]),
+	.RESET_B(n_2136),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [32]),
+	.SCE(n_35321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][1]  (
+	.CLK(CTS_18),
+	.D(n_79471),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [1]),
+	.RESET_B(n_2137),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [1]),
+	.SCE(n_7055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][2]  (
+	.CLK(CTS_55),
+	.D(n_88107),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [2]),
+	.RESET_B(n_2137),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [2]),
+	.SCE(n_7055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][3]  (
+	.CLK(CTS_55),
+	.D(n_58189),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [3]),
+	.RESET_B(n_2137),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [3]),
+	.SCE(n_7055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][4]  (
+	.CLK(CTS_22),
+	.D(n_58702),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [4]),
+	.RESET_B(n_2137),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [4]),
+	.SCE(n_7055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][5]  (
+	.CLK(CTS_18),
+	.D(n_25513),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [5]),
+	.RESET_B(n_2137),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [5]),
+	.SCE(n_7055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][6]  (
+	.CLK(CTS_55),
+	.D(n_41134),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [6]),
+	.RESET_B(n_2137),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [6]),
+	.SCE(n_7055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][7]  (
+	.CLK(CTS_29),
+	.D(n_38094),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [7]),
+	.RESET_B(n_2137),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [7]),
+	.SCE(n_7055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][8]  (
+	.CLK(CTS_18),
+	.D(n_75214),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [8]),
+	.RESET_B(n_2137),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [8]),
+	.SCE(n_7055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][9]  (
+	.CLK(CTS_18),
+	.D(\soc_top_dccm_adapter_data_mem_rdata[0] [8]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [9]),
+	.RESET_B(n_2137),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [9]),
+	.SCE(n_7055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][10]  (
+	.CLK(CTS_22),
+	.D(\soc_top_dccm_adapter_data_mem_rdata[0] [9]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [10]),
+	.RESET_B(n_2137),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [10]),
+	.SCE(n_7055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][11]  (
+	.CLK(CTS_18),
+	.D(n_88130),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [11]),
+	.RESET_B(n_2137),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [11]),
+	.SCE(n_7055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][12]  (
+	.CLK(CTS_55),
+	.D(n_35016),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [12]),
+	.RESET_B(n_2137),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [12]),
+	.SCE(n_7055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][13]  (
+	.CLK(CTS_55),
+	.D(\soc_top_dccm_adapter_data_mem_rdata[0] [12]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [13]),
+	.RESET_B(n_2137),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [13]),
+	.SCE(n_7055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][14]  (
+	.CLK(CTS_55),
+	.D(n_49557),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [14]),
+	.RESET_B(n_2137),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [14]),
+	.SCE(n_7055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][15]  (
+	.CLK(CTS_22),
+	.D(n_35017),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [15]),
+	.RESET_B(n_2137),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [15]),
+	.SCE(n_7055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][16]  (
+	.CLK(CTS_55),
+	.D(\soc_top_dccm_adapter_data_mem_rdata[0] [15]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [16]),
+	.RESET_B(n_2137),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [16]),
+	.SCE(n_7055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][17]  (
+	.CLK(CTS_18),
+	.D(n_59011),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [17]),
+	.RESET_B(n_2137),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [17]),
+	.SCE(n_7055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][18]  (
+	.CLK(CTS_18),
+	.D(n_41127),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [18]),
+	.RESET_B(n_2137),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [18]),
+	.SCE(n_7055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][19]  (
+	.CLK(CTS_55),
+	.D(n_58203),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [19]),
+	.RESET_B(n_2137),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [19]),
+	.SCE(n_7055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][20]  (
+	.CLK(CTS_18),
+	.D(n_58129),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [20]),
+	.RESET_B(n_2137),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [20]),
+	.SCE(n_7055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][21]  (
+	.CLK(CTS_29),
+	.D(n_35015),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [21]),
+	.RESET_B(n_2137),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [21]),
+	.SCE(n_7055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][22]  (
+	.CLK(CTS_22),
+	.D(n_80412),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [22]),
+	.RESET_B(n_2137),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [22]),
+	.SCE(n_7055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][23]  (
+	.CLK(CTS_18),
+	.D(n_78779),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [23]),
+	.RESET_B(n_2137),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [23]),
+	.SCE(n_7055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][24]  (
+	.CLK(CTS_29),
+	.D(n_47763),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [24]),
+	.RESET_B(n_2137),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [24]),
+	.SCE(n_7055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][25]  (
+	.CLK(CTS_29),
+	.D(n_63533),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [25]),
+	.RESET_B(n_2137),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [25]),
+	.SCE(n_7055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][26]  (
+	.CLK(CTS_29),
+	.D(n_81748),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [26]),
+	.RESET_B(n_2137),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [26]),
+	.SCE(n_7055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][27]  (
+	.CLK(CTS_18),
+	.D(n_80403),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [27]),
+	.RESET_B(n_2137),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [27]),
+	.SCE(n_7055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][28]  (
+	.CLK(CTS_29),
+	.D(n_59105),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [28]),
+	.RESET_B(n_2137),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [28]),
+	.SCE(n_7055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][29]  (
+	.CLK(CTS_18),
+	.D(n_25510),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [29]),
+	.RESET_B(n_2137),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [29]),
+	.SCE(n_7055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][30]  (
+	.CLK(CTS_29),
+	.D(\soc_top_dccm_adapter_data_mem_rdata[0] [29]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [30]),
+	.RESET_B(n_2137),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [30]),
+	.SCE(n_7055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][31]  (
+	.CLK(CTS_18),
+	.D(n_57066),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [31]),
+	.RESET_B(n_2137),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [31]),
+	.SCE(n_7055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][32]  (
+	.CLK(CTS_18),
+	.D(n_40149),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [32]),
+	.RESET_B(n_2137),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [32]),
+	.SCE(n_7055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][1]  (
+	.CLK(CTS_18),
+	.D(n_79471),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [1]),
+	.RESET_B(n_2135),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [1]),
+	.SCE(n_7051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][2]  (
+	.CLK(CTS_55),
+	.D(n_88107),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [2]),
+	.RESET_B(n_2135),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [2]),
+	.SCE(n_7051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][3]  (
+	.CLK(CTS_55),
+	.D(n_58189),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [3]),
+	.RESET_B(n_2135),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [3]),
+	.SCE(n_7051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][4]  (
+	.CLK(CTS_22),
+	.D(n_58702),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [4]),
+	.RESET_B(n_2135),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [4]),
+	.SCE(n_7051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][5]  (
+	.CLK(CTS_18),
+	.D(n_25513),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [5]),
+	.RESET_B(n_2135),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [5]),
+	.SCE(n_7051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][6]  (
+	.CLK(CTS_55),
+	.D(n_41134),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [6]),
+	.RESET_B(n_2135),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [6]),
+	.SCE(n_7051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][7]  (
+	.CLK(CTS_29),
+	.D(n_38094),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [7]),
+	.RESET_B(n_2135),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [7]),
+	.SCE(n_7051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][8]  (
+	.CLK(CTS_18),
+	.D(n_75214),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [8]),
+	.RESET_B(n_2135),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [8]),
+	.SCE(n_7051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][9]  (
+	.CLK(CTS_18),
+	.D(\soc_top_dccm_adapter_data_mem_rdata[0] [8]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [9]),
+	.RESET_B(n_2135),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [9]),
+	.SCE(n_7051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][10]  (
+	.CLK(CTS_22),
+	.D(\soc_top_dccm_adapter_data_mem_rdata[0] [9]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [10]),
+	.RESET_B(n_2135),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [10]),
+	.SCE(n_7051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][11]  (
+	.CLK(CTS_18),
+	.D(n_88130),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [11]),
+	.RESET_B(n_2135),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [11]),
+	.SCE(n_7051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][12]  (
+	.CLK(CTS_55),
+	.D(n_35016),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [12]),
+	.RESET_B(n_2135),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [12]),
+	.SCE(n_7051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][13]  (
+	.CLK(CTS_55),
+	.D(\soc_top_dccm_adapter_data_mem_rdata[0] [12]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [13]),
+	.RESET_B(n_2135),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [13]),
+	.SCE(n_7051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][14]  (
+	.CLK(CTS_55),
+	.D(n_49557),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [14]),
+	.RESET_B(n_2135),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [14]),
+	.SCE(n_7051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][15]  (
+	.CLK(CTS_55),
+	.D(n_35017),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [15]),
+	.RESET_B(n_2135),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [15]),
+	.SCE(n_7051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][16]  (
+	.CLK(CTS_55),
+	.D(\soc_top_dccm_adapter_data_mem_rdata[0] [15]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [16]),
+	.RESET_B(n_2135),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [16]),
+	.SCE(n_7051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][17]  (
+	.CLK(CTS_18),
+	.D(n_59011),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [17]),
+	.RESET_B(n_2135),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [17]),
+	.SCE(n_7051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][18]  (
+	.CLK(CTS_18),
+	.D(n_41127),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [18]),
+	.RESET_B(n_2135),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [18]),
+	.SCE(n_7051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][19]  (
+	.CLK(CTS_22),
+	.D(n_58203),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [19]),
+	.RESET_B(n_2135),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [19]),
+	.SCE(n_7051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][20]  (
+	.CLK(CTS_22),
+	.D(n_58129),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [20]),
+	.RESET_B(n_2135),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [20]),
+	.SCE(n_7051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][21]  (
+	.CLK(CTS_29),
+	.D(n_35015),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [21]),
+	.RESET_B(n_2135),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [21]),
+	.SCE(n_7051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][22]  (
+	.CLK(CTS_22),
+	.D(n_80412),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [22]),
+	.RESET_B(n_2135),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [22]),
+	.SCE(n_7051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][23]  (
+	.CLK(CTS_18),
+	.D(n_78779),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [23]),
+	.RESET_B(n_2135),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [23]),
+	.SCE(n_7051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][24]  (
+	.CLK(CTS_29),
+	.D(n_47763),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [24]),
+	.RESET_B(n_2135),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [24]),
+	.SCE(n_7051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][25]  (
+	.CLK(CTS_29),
+	.D(n_63533),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [25]),
+	.RESET_B(n_2135),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [25]),
+	.SCE(n_7051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][26]  (
+	.CLK(CTS_29),
+	.D(n_81748),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [26]),
+	.RESET_B(n_2135),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [26]),
+	.SCE(n_7051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][27]  (
+	.CLK(CTS_18),
+	.D(n_80403),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [27]),
+	.RESET_B(n_2135),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [27]),
+	.SCE(n_7051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][28]  (
+	.CLK(CTS_29),
+	.D(n_59105),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [28]),
+	.RESET_B(n_2135),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [28]),
+	.SCE(n_7051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][29]  (
+	.CLK(CTS_18),
+	.D(n_25510),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [29]),
+	.RESET_B(n_2135),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [29]),
+	.SCE(n_7051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][30]  (
+	.CLK(CTS_29),
+	.D(\soc_top_dccm_adapter_data_mem_rdata[0] [29]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [30]),
+	.RESET_B(n_2135),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [30]),
+	.SCE(n_7051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][31]  (
+	.CLK(CTS_18),
+	.D(n_57066),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [31]),
+	.RESET_B(n_2135),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [31]),
+	.SCE(n_7051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][32]  (
+	.CLK(CTS_18),
+	.D(n_40149),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [32]),
+	.RESET_B(n_2135),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [32]),
+	.SCE(n_7051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][1]  (
+	.CLK(CTS_18),
+	.D(n_79471),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [1]),
+	.RESET_B(n_2138),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [1]),
+	.SCE(n_4665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][2]  (
+	.CLK(CTS_55),
+	.D(n_88107),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [2]),
+	.RESET_B(n_2138),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [2]),
+	.SCE(n_4665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][3]  (
+	.CLK(CTS_18),
+	.D(n_58189),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [3]),
+	.RESET_B(n_2138),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [3]),
+	.SCE(n_4665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][4]  (
+	.CLK(CTS_22),
+	.D(n_58702),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [4]),
+	.RESET_B(n_2138),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [4]),
+	.SCE(n_4665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][5]  (
+	.CLK(CTS_18),
+	.D(n_25513),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [5]),
+	.RESET_B(n_2138),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [5]),
+	.SCE(n_4665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][6]  (
+	.CLK(CTS_55),
+	.D(n_41134),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [6]),
+	.RESET_B(n_2138),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [6]),
+	.SCE(n_4665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][7]  (
+	.CLK(CTS_29),
+	.D(n_38094),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [7]),
+	.RESET_B(n_2138),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [7]),
+	.SCE(n_4665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][8]  (
+	.CLK(CTS_18),
+	.D(n_75214),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [8]),
+	.RESET_B(n_2138),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [8]),
+	.SCE(n_4665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][9]  (
+	.CLK(CTS_55),
+	.D(\soc_top_dccm_adapter_data_mem_rdata[0] [8]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [9]),
+	.RESET_B(n_2138),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [9]),
+	.SCE(n_4665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][10]  (
+	.CLK(CTS_22),
+	.D(\soc_top_dccm_adapter_data_mem_rdata[0] [9]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [10]),
+	.RESET_B(n_2138),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [10]),
+	.SCE(n_4665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][11]  (
+	.CLK(CTS_18),
+	.D(n_88130),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [11]),
+	.RESET_B(n_2138),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [11]),
+	.SCE(n_4665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][12]  (
+	.CLK(CTS_55),
+	.D(n_35016),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [12]),
+	.RESET_B(n_2138),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [12]),
+	.SCE(n_4665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][13]  (
+	.CLK(CTS_55),
+	.D(\soc_top_dccm_adapter_data_mem_rdata[0] [12]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [13]),
+	.RESET_B(n_2138),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [13]),
+	.SCE(n_4665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][14]  (
+	.CLK(CTS_55),
+	.D(n_49557),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [14]),
+	.RESET_B(n_2138),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [14]),
+	.SCE(n_4665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][15]  (
+	.CLK(CTS_22),
+	.D(n_35017),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [15]),
+	.RESET_B(n_2138),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [15]),
+	.SCE(n_4665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][16]  (
+	.CLK(CTS_55),
+	.D(\soc_top_dccm_adapter_data_mem_rdata[0] [15]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [16]),
+	.RESET_B(n_2138),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [16]),
+	.SCE(n_4665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][17]  (
+	.CLK(CTS_18),
+	.D(n_59011),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [17]),
+	.RESET_B(n_2138),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [17]),
+	.SCE(n_4665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][18]  (
+	.CLK(CTS_18),
+	.D(n_41127),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [18]),
+	.RESET_B(n_2138),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [18]),
+	.SCE(n_4665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][19]  (
+	.CLK(CTS_22),
+	.D(n_58203),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [19]),
+	.RESET_B(n_2138),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [19]),
+	.SCE(n_4665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][20]  (
+	.CLK(CTS_22),
+	.D(n_58129),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [20]),
+	.RESET_B(n_2138),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [20]),
+	.SCE(n_4665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][21]  (
+	.CLK(CTS_29),
+	.D(n_35015),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [21]),
+	.RESET_B(n_2138),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [21]),
+	.SCE(n_4665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][22]  (
+	.CLK(CTS_22),
+	.D(n_80412),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [22]),
+	.RESET_B(n_2138),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [22]),
+	.SCE(n_4665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][23]  (
+	.CLK(CTS_18),
+	.D(n_78779),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [23]),
+	.RESET_B(n_2138),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [23]),
+	.SCE(n_4665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][24]  (
+	.CLK(CTS_29),
+	.D(n_47763),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [24]),
+	.RESET_B(n_2138),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [24]),
+	.SCE(n_4665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][25]  (
+	.CLK(CTS_29),
+	.D(n_63533),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [25]),
+	.RESET_B(n_2138),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [25]),
+	.SCE(n_4665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][26]  (
+	.CLK(CTS_29),
+	.D(n_81748),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [26]),
+	.RESET_B(n_2138),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [26]),
+	.SCE(n_4665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][27]  (
+	.CLK(CTS_18),
+	.D(n_80403),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [27]),
+	.RESET_B(n_2138),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [27]),
+	.SCE(n_4665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][28]  (
+	.CLK(CTS_29),
+	.D(n_59105),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [28]),
+	.RESET_B(n_2138),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [28]),
+	.SCE(n_4665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][29]  (
+	.CLK(CTS_18),
+	.D(n_25510),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [29]),
+	.RESET_B(n_2138),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [29]),
+	.SCE(n_4665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][30]  (
+	.CLK(CTS_29),
+	.D(\soc_top_dccm_adapter_data_mem_rdata[0] [29]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [30]),
+	.RESET_B(n_2138),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [30]),
+	.SCE(n_4665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][31]  (
+	.CLK(CTS_18),
+	.D(n_57066),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [31]),
+	.RESET_B(n_2138),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [31]),
+	.SCE(n_4665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][32]  (
+	.CLK(CTS_18),
+	.D(n_40149),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [32]),
+	.RESET_B(n_2138),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [32]),
+	.SCE(n_4665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[0]  (
+	.CLK(CTS_12),
+	.D(n_2046),
+	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2288),
+	.SCE(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[1]  (
+	.CLK(CTS_12),
+	.D(n_3718),
+	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[2]  (
+	.CLK(CTS_12),
+	.D(n_7344),
+	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[0]  (
+	.CLK(CTS_12),
+	.D(n_7712),
+	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[1]  (
+	.CLK(CTS_12),
+	.D(n_9628),
+	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[2]  (
+	.CLK(CTS_12),
+	.D(n_10221),
+	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][1]  (
+	.CLK(CTS_12),
+	.D(\soc_top_xbar_to_timer[a_mask] [0]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [1]),
+	.RESET_B(n_2258),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [1]),
+	.SCE(n_3315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][2]  (
+	.CLK(CTS_12),
+	.D(\soc_top_xbar_to_timer[a_mask] [1]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [2]),
+	.RESET_B(n_2258),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [2]),
+	.SCE(n_3315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][3]  (
+	.CLK(CTS_12),
+	.D(\soc_top_xbar_to_timer[a_mask] [2]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [3]),
+	.RESET_B(n_2258),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [3]),
+	.SCE(n_3315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][4]  (
+	.CLK(CTS_12),
+	.D(\soc_top_xbar_to_timer[a_mask] [3]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [4]),
+	.RESET_B(n_2258),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [4]),
+	.SCE(n_3315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][1]  (
+	.CLK(CTS_12),
+	.D(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [1]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [1]),
+	.RESET_B(n_2243),
+	.SCD(\soc_top_xbar_to_timer[a_mask] [0]),
+	.SCE(n_3317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][2]  (
+	.CLK(CTS_12),
+	.D(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [2]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [2]),
+	.RESET_B(n_2243),
+	.SCD(\soc_top_xbar_to_timer[a_mask] [1]),
+	.SCE(n_3317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][3]  (
+	.CLK(CTS_12),
+	.D(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [3]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [3]),
+	.RESET_B(n_2243),
+	.SCD(\soc_top_xbar_to_timer[a_mask] [2]),
+	.SCE(n_3317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][4]  (
+	.CLK(CTS_12),
+	.D(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [4]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [4]),
+	.RESET_B(n_2243),
+	.SCD(\soc_top_xbar_to_timer[a_mask] [3]),
+	.SCE(n_3317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[2][1]  (
+	.CLK(CTS_12),
+	.D(\soc_top_xbar_to_timer[a_mask] [0]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [1]),
+	.RESET_B(n_2238),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [1]),
+	.SCE(n_3316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[2][2]  (
+	.CLK(CTS_12),
+	.D(\soc_top_xbar_to_timer[a_mask] [1]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [2]),
+	.RESET_B(n_2238),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [2]),
+	.SCE(n_3316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[2][3]  (
+	.CLK(CTS_12),
+	.D(\soc_top_xbar_to_timer[a_mask] [2]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [3]),
+	.RESET_B(n_2238),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [3]),
+	.SCE(n_3316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[2][4]  (
+	.CLK(CTS_12),
+	.D(\soc_top_xbar_to_timer[a_mask] [3]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [4]),
+	.RESET_B(n_2238),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [4]),
+	.SCE(n_3316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[3][1]  (
+	.CLK(CTS_12),
+	.D(\soc_top_xbar_to_timer[a_mask] [0]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [1]),
+	.RESET_B(n_2265),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [1]),
+	.SCE(n_3562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[3][2]  (
+	.CLK(CTS_12),
+	.D(\soc_top_xbar_to_timer[a_mask] [1]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [2]),
+	.RESET_B(n_2265),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [2]),
+	.SCE(n_3562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[3][3]  (
+	.CLK(CTS_12),
+	.D(\soc_top_xbar_to_timer[a_mask] [2]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [3]),
+	.RESET_B(n_2265),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [3]),
+	.SCE(n_3562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[3][4]  (
+	.CLK(CTS_12),
+	.D(\soc_top_xbar_to_timer[a_mask] [3]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [4]),
+	.RESET_B(n_2265),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [4]),
+	.SCE(n_3562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 soc_top_dccm_adapter_rvalid_o_reg (
+	.CLK(CTS_12),
+	.D(n_1473),
+	.Q(soc_top_dccm_adapter_rvalid_o),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[1]  (
+	.CLK(CTS_77),
+	.D(n_9161),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[1]  (
+	.CLK(CTS_79),
+	.D(n_7205),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_7288),
+	.SCE(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage_reg[0][9]  (
+	.CLK(CTS_79),
+	.D(n_8500),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[0] [9]),
+	.RESET_B(n_1449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage_reg[1][9]  (
+	.CLK(CTS_79),
+	.D(n_8484),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[1] [9]),
+	.RESET_B(n_1447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[0]  (
+	.CLK(CTS_77),
+	.D(n_6760),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[1]  (
+	.CLK(CTS_77),
+	.D(n_8482),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[1]  (
+	.CLK(CTS_77),
+	.D(n_4602),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_6848),
+	.SCE(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][1]  (
+	.CLK(CTS_77),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [1]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [1]),
+	.RESET_B(n_1497),
+	.SCD(\soc_top_iccm_adapter_inst_mem_rdata[0] [0]),
+	.SCE(n_7048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][2]  (
+	.CLK(CTS_77),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [2]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [2]),
+	.RESET_B(n_1497),
+	.SCD(\soc_top_iccm_adapter_inst_mem_rdata[0] [1]),
+	.SCE(n_7048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][3]  (
+	.CLK(CTS_93),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [3]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [3]),
+	.RESET_B(n_1497),
+	.SCD(n_3387),
+	.SCE(n_7048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][4]  (
+	.CLK(CTS_93),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [4]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [4]),
+	.RESET_B(n_1497),
+	.SCD(n_3355),
+	.SCE(n_7048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][5]  (
+	.CLK(CTS_154),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [5]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [5]),
+	.RESET_B(n_1497),
+	.SCD(n_3382),
+	.SCE(n_7048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][6]  (
+	.CLK(CTS_154),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [6]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [6]),
+	.RESET_B(n_1497),
+	.SCD(n_3381),
+	.SCE(n_7048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][7]  (
+	.CLK(CTS_93),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [7]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [7]),
+	.RESET_B(n_1497),
+	.SCD(n_3380),
+	.SCE(n_7048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][8]  (
+	.CLK(CTS_154),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [8]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [8]),
+	.RESET_B(n_1497),
+	.SCD(n_3379),
+	.SCE(n_7048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][9]  (
+	.CLK(CTS_154),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [9]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [9]),
+	.RESET_B(n_1497),
+	.SCD(n_3378),
+	.SCE(n_7048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][10]  (
+	.CLK(CTS_154),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [10]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [10]),
+	.RESET_B(n_1497),
+	.SCD(n_3377),
+	.SCE(n_7048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][11]  (
+	.CLK(CTS_155),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [11]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [11]),
+	.RESET_B(n_1497),
+	.SCD(n_3376),
+	.SCE(n_7048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][12]  (
+	.CLK(CTS_154),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [12]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [12]),
+	.RESET_B(n_1497),
+	.SCD(n_3375),
+	.SCE(n_7048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][13]  (
+	.CLK(CTS_155),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [13]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [13]),
+	.RESET_B(n_1497),
+	.SCD(n_3374),
+	.SCE(n_7048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][14]  (
+	.CLK(CTS_155),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [14]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [14]),
+	.RESET_B(n_1497),
+	.SCD(n_3373),
+	.SCE(n_7048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][15]  (
+	.CLK(CTS_155),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [15]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [15]),
+	.RESET_B(n_1497),
+	.SCD(n_3372),
+	.SCE(n_7048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][16]  (
+	.CLK(CTS_155),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [16]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [16]),
+	.RESET_B(n_1497),
+	.SCD(n_3371),
+	.SCE(n_7048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][17]  (
+	.CLK(CTS_77),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [17]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [17]),
+	.RESET_B(n_1497),
+	.SCD(\soc_top_iccm_adapter_inst_mem_rdata[0] [16]),
+	.SCE(n_7048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][18]  (
+	.CLK(CTS_77),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [18]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [18]),
+	.RESET_B(n_1497),
+	.SCD(\soc_top_iccm_adapter_inst_mem_rdata[0] [17]),
+	.SCE(n_7048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][19]  (
+	.CLK(CTS_154),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [19]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [19]),
+	.RESET_B(n_1497),
+	.SCD(n_3369),
+	.SCE(n_7048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][20]  (
+	.CLK(CTS_154),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [20]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [20]),
+	.RESET_B(n_1497),
+	.SCD(n_3367),
+	.SCE(n_7048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][21]  (
+	.CLK(CTS_154),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [21]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [21]),
+	.RESET_B(n_1497),
+	.SCD(n_3366),
+	.SCE(n_7048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][22]  (
+	.CLK(CTS_154),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [22]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [22]),
+	.RESET_B(n_1497),
+	.SCD(n_3365),
+	.SCE(n_7048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][23]  (
+	.CLK(CTS_155),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [23]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [23]),
+	.RESET_B(n_1497),
+	.SCD(n_3364),
+	.SCE(n_7048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][24]  (
+	.CLK(CTS_155),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [24]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [24]),
+	.RESET_B(n_1497),
+	.SCD(n_3363),
+	.SCE(n_7048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][25]  (
+	.CLK(CTS_155),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [25]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [25]),
+	.RESET_B(n_1497),
+	.SCD(n_3362),
+	.SCE(n_7048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][26]  (
+	.CLK(CTS_155),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [26]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [26]),
+	.RESET_B(n_1497),
+	.SCD(n_3361),
+	.SCE(n_7048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][27]  (
+	.CLK(CTS_155),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [27]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [27]),
+	.RESET_B(n_1497),
+	.SCD(n_3360),
+	.SCE(n_7048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][28]  (
+	.CLK(CTS_155),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [28]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [28]),
+	.RESET_B(n_1497),
+	.SCD(n_3359),
+	.SCE(n_7048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][29]  (
+	.CLK(CTS_155),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [29]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [29]),
+	.RESET_B(n_1497),
+	.SCD(n_3358),
+	.SCE(n_7048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][30]  (
+	.CLK(CTS_155),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [30]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [30]),
+	.RESET_B(n_1497),
+	.SCD(n_3357),
+	.SCE(n_7048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][31]  (
+	.CLK(CTS_155),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [31]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [31]),
+	.RESET_B(n_1497),
+	.SCD(n_3356),
+	.SCE(n_7048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][32]  (
+	.CLK(CTS_155),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [32]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [32]),
+	.RESET_B(n_1497),
+	.SCD(n_3390),
+	.SCE(n_7048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][1]  (
+	.CLK(CTS_77),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [1]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [1]),
+	.RESET_B(n_1233),
+	.SCD(\soc_top_iccm_adapter_inst_mem_rdata[0] [0]),
+	.SCE(n_7046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][2]  (
+	.CLK(CTS_77),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [2]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [2]),
+	.RESET_B(n_1233),
+	.SCD(\soc_top_iccm_adapter_inst_mem_rdata[0] [1]),
+	.SCE(n_7046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][3]  (
+	.CLK(CTS_93),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [3]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [3]),
+	.RESET_B(n_1233),
+	.SCD(n_3387),
+	.SCE(n_7046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][4]  (
+	.CLK(CTS_93),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [4]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [4]),
+	.RESET_B(n_1233),
+	.SCD(n_3355),
+	.SCE(n_7046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][5]  (
+	.CLK(CTS_154),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [5]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [5]),
+	.RESET_B(n_1233),
+	.SCD(n_3382),
+	.SCE(n_7046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][6]  (
+	.CLK(CTS_154),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [6]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [6]),
+	.RESET_B(n_1233),
+	.SCD(n_3381),
+	.SCE(n_7046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][7]  (
+	.CLK(CTS_93),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [7]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [7]),
+	.RESET_B(n_1233),
+	.SCD(n_3380),
+	.SCE(n_7046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][8]  (
+	.CLK(CTS_154),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [8]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [8]),
+	.RESET_B(n_1233),
+	.SCD(n_3379),
+	.SCE(n_7046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][9]  (
+	.CLK(CTS_154),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [9]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [9]),
+	.RESET_B(n_1233),
+	.SCD(n_3378),
+	.SCE(n_7046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][10]  (
+	.CLK(CTS_154),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [10]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [10]),
+	.RESET_B(n_1233),
+	.SCD(n_3377),
+	.SCE(n_7046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][11]  (
+	.CLK(CTS_155),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [11]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [11]),
+	.RESET_B(n_1233),
+	.SCD(n_3376),
+	.SCE(n_7046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][12]  (
+	.CLK(CTS_154),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [12]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [12]),
+	.RESET_B(n_1233),
+	.SCD(n_3375),
+	.SCE(n_7046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][13]  (
+	.CLK(CTS_155),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [13]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [13]),
+	.RESET_B(n_1233),
+	.SCD(n_3374),
+	.SCE(n_7046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][14]  (
+	.CLK(CTS_155),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [14]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [14]),
+	.RESET_B(n_1233),
+	.SCD(n_3373),
+	.SCE(n_7046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][15]  (
+	.CLK(CTS_155),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [15]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [15]),
+	.RESET_B(n_1233),
+	.SCD(n_3372),
+	.SCE(n_7046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][16]  (
+	.CLK(CTS_155),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [16]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [16]),
+	.RESET_B(n_1233),
+	.SCD(n_3371),
+	.SCE(n_7046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][17]  (
+	.CLK(CTS_77),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [17]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [17]),
+	.RESET_B(n_1233),
+	.SCD(\soc_top_iccm_adapter_inst_mem_rdata[0] [16]),
+	.SCE(n_7046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][18]  (
+	.CLK(CTS_77),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [18]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [18]),
+	.RESET_B(n_1233),
+	.SCD(\soc_top_iccm_adapter_inst_mem_rdata[0] [17]),
+	.SCE(n_7046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][19]  (
+	.CLK(CTS_154),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [19]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [19]),
+	.RESET_B(n_1233),
+	.SCD(n_3369),
+	.SCE(n_7046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][20]  (
+	.CLK(CTS_154),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [20]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [20]),
+	.RESET_B(n_1233),
+	.SCD(n_3367),
+	.SCE(n_7046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][21]  (
+	.CLK(CTS_154),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [21]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [21]),
+	.RESET_B(n_1233),
+	.SCD(n_3366),
+	.SCE(n_7046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][22]  (
+	.CLK(CTS_154),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [22]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [22]),
+	.RESET_B(n_1233),
+	.SCD(n_3365),
+	.SCE(n_7046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][23]  (
+	.CLK(CTS_155),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [23]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [23]),
+	.RESET_B(n_1233),
+	.SCD(n_3364),
+	.SCE(n_7046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][24]  (
+	.CLK(CTS_155),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [24]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [24]),
+	.RESET_B(n_1233),
+	.SCD(n_3363),
+	.SCE(n_7046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][25]  (
+	.CLK(CTS_155),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [25]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [25]),
+	.RESET_B(n_1233),
+	.SCD(n_3362),
+	.SCE(n_7046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][26]  (
+	.CLK(CTS_155),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [26]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [26]),
+	.RESET_B(n_1233),
+	.SCD(n_3361),
+	.SCE(n_7046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][27]  (
+	.CLK(CTS_155),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [27]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [27]),
+	.RESET_B(n_1233),
+	.SCD(n_3360),
+	.SCE(n_7046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][28]  (
+	.CLK(CTS_155),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [28]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [28]),
+	.RESET_B(n_1233),
+	.SCD(n_3359),
+	.SCE(n_7046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][29]  (
+	.CLK(CTS_155),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [29]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [29]),
+	.RESET_B(n_1233),
+	.SCD(n_3358),
+	.SCE(n_7046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][30]  (
+	.CLK(CTS_155),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [30]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [30]),
+	.RESET_B(n_1233),
+	.SCD(n_3357),
+	.SCE(n_7046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][31]  (
+	.CLK(CTS_155),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [31]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [31]),
+	.RESET_B(n_1233),
+	.SCD(n_3356),
+	.SCE(n_7046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][32]  (
+	.CLK(CTS_155),
+	.D(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [32]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [32]),
+	.RESET_B(n_1233),
+	.SCD(n_3390),
+	.SCE(n_7046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[1]  (
+	.CLK(CTS_79),
+	.D(n_7579),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[0]  (
+	.CLK(CTS_79),
+	.D(n_7361),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[1]  (
+	.CLK(CTS_79),
+	.D(n_3049),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_6858),
+	.SCE(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][1]  (
+	.CLK(CTS_79),
+	.D(n_3807),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [1]),
+	.RESET_B(n_1462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][1]  (
+	.CLK(CTS_79),
+	.D(n_3806),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [1]),
+	.RESET_B(n_1195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 soc_top_iccm_adapter_rvalid_reg (
+	.CLK(CTS_79),
+	.D(n_1464),
+	.Q(soc_top_iccm_adapter_rvalid),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[1]  (
+	.CLK(CTS_133),
+	.D(n_10505),
+	.Q(soc_top_intr_controller_u_gateway_ia[1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[2]  (
+	.CLK(CTS_133),
+	.D(n_10503),
+	.Q(soc_top_intr_controller_u_gateway_ia[2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[3]  (
+	.CLK(CTS_78),
+	.D(n_10510),
+	.Q(soc_top_intr_controller_u_gateway_ia[3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[4]  (
+	.CLK(CTS_78),
+	.D(n_10509),
+	.Q(soc_top_intr_controller_u_gateway_ia[4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[5]  (
+	.CLK(CTS_78),
+	.D(n_10508),
+	.Q(soc_top_intr_controller_u_gateway_ia[5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[6]  (
+	.CLK(CTS_78),
+	.D(n_10507),
+	.Q(soc_top_intr_controller_u_gateway_ia[6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[7]  (
+	.CLK(CTS_78),
+	.D(n_10506),
+	.Q(soc_top_intr_controller_u_gateway_ia[7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[8]  (
+	.CLK(CTS_133),
+	.D(n_10504),
+	.Q(soc_top_intr_controller_u_gateway_ia[8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[9]  (
+	.CLK(CTS_133),
+	.D(n_35356),
+	.Q(soc_top_intr_controller_u_gateway_ia[9]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[10]  (
+	.CLK(CTS_133),
+	.D(n_10500),
+	.Q(soc_top_intr_controller_u_gateway_ia[10]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[11]  (
+	.CLK(CTS_133),
+	.D(n_35355),
+	.Q(soc_top_intr_controller_u_gateway_ia[11]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[12]  (
+	.CLK(CTS_133),
+	.D(n_10499),
+	.Q(soc_top_intr_controller_u_gateway_ia[12]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[13]  (
+	.CLK(CTS_133),
+	.D(n_35354),
+	.Q(soc_top_intr_controller_u_gateway_ia[13]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[14]  (
+	.CLK(CTS_140),
+	.D(n_10497),
+	.Q(soc_top_intr_controller_u_gateway_ia[14]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[15]  (
+	.CLK(CTS_133),
+	.D(n_10498),
+	.Q(soc_top_intr_controller_u_gateway_ia[15]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[16]  (
+	.CLK(CTS_138),
+	.D(n_10513),
+	.Q(soc_top_intr_controller_u_gateway_ia[16]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[17]  (
+	.CLK(CTS_140),
+	.D(n_35353),
+	.Q(soc_top_intr_controller_u_gateway_ia[17]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[18]  (
+	.CLK(CTS_140),
+	.D(n_10512),
+	.Q(soc_top_intr_controller_u_gateway_ia[18]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[19]  (
+	.CLK(CTS_140),
+	.D(n_35352),
+	.Q(soc_top_intr_controller_u_gateway_ia[19]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[20]  (
+	.CLK(CTS_140),
+	.D(n_10519),
+	.Q(soc_top_intr_controller_u_gateway_ia[20]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[21]  (
+	.CLK(CTS_140),
+	.D(n_35351),
+	.Q(soc_top_intr_controller_u_gateway_ia[21]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[22]  (
+	.CLK(CTS_140),
+	.D(n_10524),
+	.Q(soc_top_intr_controller_u_gateway_ia[22]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[23]  (
+	.CLK(CTS_140),
+	.D(n_10525),
+	.Q(soc_top_intr_controller_u_gateway_ia[23]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[24]  (
+	.CLK(CTS_131),
+	.D(n_35350),
+	.Q(soc_top_intr_controller_u_gateway_ia[24]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[25]  (
+	.CLK(CTS_131),
+	.D(n_35349),
+	.Q(soc_top_intr_controller_u_gateway_ia[25]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[26]  (
+	.CLK(CTS_131),
+	.D(n_35348),
+	.Q(soc_top_intr_controller_u_gateway_ia[26]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[27]  (
+	.CLK(CTS_131),
+	.D(n_35347),
+	.Q(soc_top_intr_controller_u_gateway_ia[27]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[28]  (
+	.CLK(CTS_131),
+	.D(n_35341),
+	.Q(soc_top_intr_controller_u_gateway_ia[28]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[29]  (
+	.CLK(CTS_131),
+	.D(n_35346),
+	.Q(soc_top_intr_controller_u_gateway_ia[29]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[30]  (
+	.CLK(CTS_131),
+	.D(n_10532),
+	.Q(soc_top_intr_controller_u_gateway_ia[30]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[31]  (
+	.CLK(CTS_133),
+	.D(n_35345),
+	.Q(soc_top_intr_controller_u_gateway_ia[31]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[32]  (
+	.CLK(CTS_129),
+	.D(n_35344),
+	.Q(soc_top_intr_controller_u_gateway_ia[32]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[33]  (
+	.CLK(CTS_118),
+	.D(n_35343),
+	.Q(soc_top_intr_controller_u_gateway_ia[33]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[34]  (
+	.CLK(CTS_118),
+	.D(n_35342),
+	.Q(soc_top_intr_controller_u_gateway_ia[34]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[35]  (
+	.CLK(CTS_118),
+	.D(n_35357),
+	.Q(soc_top_intr_controller_u_gateway_ia[35]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[36]  (
+	.CLK(CTS_118),
+	.D(n_2319),
+	.Q(soc_top_intr_controller_u_gateway_ia[36]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_10269),
+	.SCE(soc_top_intr_controller_u_gateway_ia[36]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[1]  (
+	.CLK(CTS_133),
+	.D(n_8643),
+	.Q(soc_top_intr_controller_ip[1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[2]  (
+	.CLK(CTS_133),
+	.D(n_8850),
+	.Q(soc_top_intr_controller_ip[2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[3]  (
+	.CLK(CTS_78),
+	.D(n_8844),
+	.Q(soc_top_intr_controller_ip[3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[4]  (
+	.CLK(CTS_78),
+	.D(n_8849),
+	.Q(soc_top_intr_controller_ip[4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[5]  (
+	.CLK(CTS_78),
+	.D(n_8843),
+	.Q(soc_top_intr_controller_ip[5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[6]  (
+	.CLK(CTS_78),
+	.D(n_8847),
+	.Q(soc_top_intr_controller_ip[6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[7]  (
+	.CLK(CTS_78),
+	.D(n_8848),
+	.Q(soc_top_intr_controller_ip[7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[8]  (
+	.CLK(CTS_133),
+	.D(n_8642),
+	.Q(soc_top_intr_controller_ip[8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[9]  (
+	.CLK(CTS_133),
+	.D(n_2644),
+	.Q(soc_top_intr_controller_ip[9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_7284),
+	.SCE(soc_top_intr_controller_ip[9]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[10]  (
+	.CLK(CTS_133),
+	.D(n_8842),
+	.Q(soc_top_intr_controller_ip[10]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[11]  (
+	.CLK(CTS_133),
+	.D(n_2647),
+	.Q(soc_top_intr_controller_ip[11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_7289),
+	.SCE(soc_top_intr_controller_ip[11]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[12]  (
+	.CLK(CTS_133),
+	.D(n_8841),
+	.Q(soc_top_intr_controller_ip[12]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[13]  (
+	.CLK(CTS_133),
+	.D(n_2650),
+	.Q(soc_top_intr_controller_ip[13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_7290),
+	.SCE(soc_top_intr_controller_ip[13]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[14]  (
+	.CLK(CTS_140),
+	.D(n_8846),
+	.Q(soc_top_intr_controller_ip[14]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[15]  (
+	.CLK(CTS_133),
+	.D(n_8699),
+	.Q(soc_top_intr_controller_ip[15]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[16]  (
+	.CLK(CTS_140),
+	.D(n_8645),
+	.Q(soc_top_intr_controller_ip[16]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[17]  (
+	.CLK(CTS_140),
+	.D(n_2654),
+	.Q(soc_top_intr_controller_ip[17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_7285),
+	.SCE(soc_top_intr_controller_ip[17]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[18]  (
+	.CLK(CTS_140),
+	.D(n_8840),
+	.Q(soc_top_intr_controller_ip[18]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[19]  (
+	.CLK(CTS_140),
+	.D(n_2657),
+	.Q(soc_top_intr_controller_ip[19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_7291),
+	.SCE(soc_top_intr_controller_ip[19]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[20]  (
+	.CLK(CTS_140),
+	.D(n_8839),
+	.Q(soc_top_intr_controller_ip[20]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[21]  (
+	.CLK(CTS_140),
+	.D(n_2660),
+	.Q(soc_top_intr_controller_ip[21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_7292),
+	.SCE(soc_top_intr_controller_ip[21]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[22]  (
+	.CLK(CTS_140),
+	.D(n_8845),
+	.Q(soc_top_intr_controller_ip[22]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[23]  (
+	.CLK(CTS_140),
+	.D(n_8700),
+	.Q(soc_top_intr_controller_ip[23]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[24]  (
+	.CLK(CTS_131),
+	.D(n_2664),
+	.Q(soc_top_intr_controller_ip[24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_7286),
+	.SCE(soc_top_intr_controller_ip[24]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[25]  (
+	.CLK(CTS_131),
+	.D(n_2666),
+	.Q(soc_top_intr_controller_ip[25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_7274),
+	.SCE(soc_top_intr_controller_ip[25]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[26]  (
+	.CLK(CTS_131),
+	.D(n_2668),
+	.Q(soc_top_intr_controller_ip[26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_7293),
+	.SCE(soc_top_intr_controller_ip[26]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[27]  (
+	.CLK(CTS_131),
+	.D(n_2670),
+	.Q(soc_top_intr_controller_ip[27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_7275),
+	.SCE(soc_top_intr_controller_ip[27]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[28]  (
+	.CLK(CTS_131),
+	.D(n_2705),
+	.Q(soc_top_intr_controller_ip[28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_7294),
+	.SCE(soc_top_intr_controller_ip[28]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[29]  (
+	.CLK(CTS_131),
+	.D(n_2672),
+	.Q(soc_top_intr_controller_ip[29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_7276),
+	.SCE(soc_top_intr_controller_ip[29]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[30]  (
+	.CLK(CTS_131),
+	.D(n_8794),
+	.Q(soc_top_intr_controller_ip[30]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[31]  (
+	.CLK(CTS_140),
+	.D(n_2675),
+	.Q(soc_top_intr_controller_ip[31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_7277),
+	.SCE(soc_top_intr_controller_ip[31]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[32]  (
+	.CLK(CTS_129),
+	.D(n_2677),
+	.Q(soc_top_intr_controller_ip[32]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_7278),
+	.SCE(soc_top_intr_controller_ip[32]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[33]  (
+	.CLK(CTS_118),
+	.D(n_2679),
+	.Q(soc_top_intr_controller_ip[33]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_6740),
+	.SCE(soc_top_intr_controller_ip[33]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[34]  (
+	.CLK(CTS_118),
+	.D(n_2681),
+	.Q(soc_top_intr_controller_ip[34]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_7279),
+	.SCE(soc_top_intr_controller_ip[34]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[35]  (
+	.CLK(CTS_129),
+	.D(n_2635),
+	.Q(soc_top_intr_controller_ip[35]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_6739),
+	.SCE(soc_top_intr_controller_ip[35]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[36]  (
+	.CLK(CTS_118),
+	.D(n_2320),
+	.Q(soc_top_intr_controller_ip[36]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_7280),
+	.SCE(soc_top_intr_controller_ip[36]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_0_q_reg[0]  (
+	.CLK(CTS_129),
+	.D(\soc_top_intr_controller_reg2hw[ie0][0][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][0][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_84419),
+	.SCE(n_4550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_1_q_reg[0]  (
+	.CLK(CTS_129),
+	.D(\soc_top_intr_controller_reg2hw[ie0][1][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][1][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_75549),
+	.SCE(n_4550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_2_q_reg[0]  (
+	.CLK(CTS_129),
+	.D(\soc_top_intr_controller_reg2hw[ie0][2][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][2][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_87578),
+	.SCE(n_4550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_3_q_reg[0]  (
+	.CLK(CTS_129),
+	.D(\soc_top_intr_controller_reg2hw[ie0][3][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][3][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_84427),
+	.SCE(n_4550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_4_q_reg[0]  (
+	.CLK(CTS_133),
+	.D(\soc_top_intr_controller_reg2hw[ie0][4][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][4][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_70008),
+	.SCE(n_4550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_5_q_reg[0]  (
+	.CLK(CTS_129),
+	.D(\soc_top_intr_controller_reg2hw[ie0][5][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][5][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_84455),
+	.SCE(n_4550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_6_q_reg[0]  (
+	.CLK(CTS_133),
+	.D(\soc_top_intr_controller_reg2hw[ie0][6][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][6][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_84431),
+	.SCE(n_4550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_7_q_reg[0]  (
+	.CLK(CTS_133),
+	.D(\soc_top_intr_controller_reg2hw[ie0][7][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][7][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_70004),
+	.SCE(n_4550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_8_q_reg[0]  (
+	.CLK(CTS_133),
+	.D(\soc_top_intr_controller_reg2hw[ie0][8][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][8][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_93122),
+	.SCE(n_4550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_9_q_reg[0]  (
+	.CLK(CTS_133),
+	.D(\soc_top_intr_controller_reg2hw[ie0][9][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][9][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_72528),
+	.SCE(n_4550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_10_q_reg[0]  (
+	.CLK(CTS_133),
+	.D(\soc_top_intr_controller_reg2hw[ie0][10][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][10][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_70064),
+	.SCE(n_4550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_11_q_reg[0]  (
+	.CLK(CTS_129),
+	.D(\soc_top_intr_controller_reg2hw[ie0][11][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][11][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_69280),
+	.SCE(n_4550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_12_q_reg[0]  (
+	.CLK(CTS_133),
+	.D(\soc_top_intr_controller_reg2hw[ie0][12][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][12][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_74612),
+	.SCE(n_4550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_13_q_reg[0]  (
+	.CLK(CTS_129),
+	.D(\soc_top_intr_controller_reg2hw[ie0][13][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][13][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_72176),
+	.SCE(n_4550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_14_q_reg[0]  (
+	.CLK(CTS_140),
+	.D(\soc_top_intr_controller_reg2hw[ie0][14][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][14][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_xbar_to_timer[a_data] [14]),
+	.SCE(n_4550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_15_q_reg[0]  (
+	.CLK(CTS_129),
+	.D(\soc_top_intr_controller_reg2hw[ie0][15][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][15][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_70012),
+	.SCE(n_4550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_16_q_reg[0]  (
+	.CLK(CTS_140),
+	.D(\soc_top_intr_controller_reg2hw[ie0][16][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][16][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_93141),
+	.SCE(n_4550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_17_q_reg[0]  (
+	.CLK(CTS_140),
+	.D(\soc_top_intr_controller_reg2hw[ie0][17][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][17][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_72527),
+	.SCE(n_4550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_18_q_reg[0]  (
+	.CLK(CTS_140),
+	.D(\soc_top_intr_controller_reg2hw[ie0][18][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][18][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_72578),
+	.SCE(n_4550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_19_q_reg[0]  (
+	.CLK(CTS_131),
+	.D(\soc_top_intr_controller_reg2hw[ie0][19][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][19][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_84182),
+	.SCE(n_4550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_20_q_reg[0]  (
+	.CLK(CTS_140),
+	.D(\soc_top_intr_controller_reg2hw[ie0][20][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][20][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_xbar_to_timer[a_data] [20]),
+	.SCE(n_4550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_21_q_reg[0]  (
+	.CLK(CTS_140),
+	.D(\soc_top_intr_controller_reg2hw[ie0][21][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][21][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_xbar_to_timer[a_data] [21]),
+	.SCE(n_4550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_22_q_reg[0]  (
+	.CLK(CTS_131),
+	.D(\soc_top_intr_controller_reg2hw[ie0][22][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][22][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_72887),
+	.SCE(n_4550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_23_q_reg[0]  (
+	.CLK(CTS_131),
+	.D(\soc_top_intr_controller_reg2hw[ie0][23][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][23][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_74743),
+	.SCE(n_4550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_24_q_reg[0]  (
+	.CLK(CTS_131),
+	.D(\soc_top_intr_controller_reg2hw[ie0][24][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][24][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_68334),
+	.SCE(n_4550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_25_q_reg[0]  (
+	.CLK(CTS_131),
+	.D(\soc_top_intr_controller_reg2hw[ie0][25][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][25][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_68259),
+	.SCE(n_4550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_26_q_reg[0]  (
+	.CLK(CTS_131),
+	.D(\soc_top_intr_controller_reg2hw[ie0][26][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][26][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_68466),
+	.SCE(n_4550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_27_q_reg[0]  (
+	.CLK(CTS_131),
+	.D(\soc_top_intr_controller_reg2hw[ie0][27][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][27][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_xbar_to_timer[a_data] [27]),
+	.SCE(n_4550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_28_q_reg[0]  (
+	.CLK(CTS_131),
+	.D(\soc_top_intr_controller_reg2hw[ie0][28][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][28][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_xbar_to_timer[a_data] [28]),
+	.SCE(n_4550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_29_q_reg[0]  (
+	.CLK(CTS_131),
+	.D(\soc_top_intr_controller_reg2hw[ie0][29][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][29][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_xbar_to_timer[a_data] [29]),
+	.SCE(n_4550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_30_q_reg[0]  (
+	.CLK(CTS_131),
+	.D(\soc_top_intr_controller_reg2hw[ie0][30][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][30][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_xbar_to_timer[a_data] [30]),
+	.SCE(n_4550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_31_q_reg[0]  (
+	.CLK(CTS_129),
+	.D(\soc_top_intr_controller_reg2hw[ie0][31][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][31][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_68268),
+	.SCE(n_4550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_1_e_32_q_reg[0]  (
+	.CLK(CTS_129),
+	.D(\soc_top_intr_controller_reg2hw[ie0][32][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][32][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_84419),
+	.SCE(n_4566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_1_e_33_q_reg[0]  (
+	.CLK(CTS_129),
+	.D(\soc_top_intr_controller_reg2hw[ie0][33][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][33][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_75549),
+	.SCE(n_4566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_1_e_34_q_reg[0]  (
+	.CLK(CTS_129),
+	.D(\soc_top_intr_controller_reg2hw[ie0][34][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][34][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_87578),
+	.SCE(n_4566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_1_e_35_q_reg[0]  (
+	.CLK(CTS_129),
+	.D(\soc_top_intr_controller_reg2hw[ie0][35][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][35][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_84427),
+	.SCE(n_4566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_1_e_36_q_reg[0]  (
+	.CLK(CTS_129),
+	.D(\soc_top_intr_controller_reg2hw[ie0][36][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][36][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_70008),
+	.SCE(n_4566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_0_q_reg[0]  (
+	.CLK(CTS_129),
+	.D(n_84419),
+	.Q(soc_top_intr_controller_u_reg_le_0_le_0_qs),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_intr_controller_u_reg_le_0_le_0_qs),
+	.SCE(n_4549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_1_q_reg[0]  (
+	.CLK(CTS_133),
+	.D(n_75549),
+	.Q(\soc_top_intr_controller_reg2hw[le][1][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_intr_controller_reg2hw[le][1][q] ),
+	.SCE(n_4549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_2_q_reg[0]  (
+	.CLK(CTS_133),
+	.D(n_87578),
+	.Q(\soc_top_intr_controller_reg2hw[le][2][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_intr_controller_reg2hw[le][2][q] ),
+	.SCE(n_4549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_3_q_reg[0]  (
+	.CLK(CTS_133),
+	.D(n_84427),
+	.Q(\soc_top_intr_controller_reg2hw[le][3][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_intr_controller_reg2hw[le][3][q] ),
+	.SCE(n_4549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_4_q_reg[0]  (
+	.CLK(CTS_133),
+	.D(n_70008),
+	.Q(\soc_top_intr_controller_reg2hw[le][4][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_intr_controller_reg2hw[le][4][q] ),
+	.SCE(n_4549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_5_q_reg[0]  (
+	.CLK(CTS_133),
+	.D(n_84455),
+	.Q(\soc_top_intr_controller_reg2hw[le][5][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_intr_controller_reg2hw[le][5][q] ),
+	.SCE(n_4549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_6_q_reg[0]  (
+	.CLK(CTS_133),
+	.D(n_84431),
+	.Q(\soc_top_intr_controller_reg2hw[le][6][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_intr_controller_reg2hw[le][6][q] ),
+	.SCE(n_4549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_7_q_reg[0]  (
+	.CLK(CTS_133),
+	.D(n_70004),
+	.Q(\soc_top_intr_controller_reg2hw[le][7][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_intr_controller_reg2hw[le][7][q] ),
+	.SCE(n_4549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_8_q_reg[0]  (
+	.CLK(CTS_133),
+	.D(n_93122),
+	.Q(\soc_top_intr_controller_reg2hw[le][8][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_intr_controller_reg2hw[le][8][q] ),
+	.SCE(n_4549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_9_q_reg[0]  (
+	.CLK(CTS_133),
+	.D(n_72528),
+	.Q(\soc_top_intr_controller_reg2hw[le][9][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_intr_controller_reg2hw[le][9][q] ),
+	.SCE(n_4549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_10_q_reg[0]  (
+	.CLK(CTS_133),
+	.D(n_70064),
+	.Q(\soc_top_intr_controller_reg2hw[le][10][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_intr_controller_reg2hw[le][10][q] ),
+	.SCE(n_4549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_11_q_reg[0]  (
+	.CLK(CTS_133),
+	.D(n_69280),
+	.Q(\soc_top_intr_controller_reg2hw[le][11][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_intr_controller_reg2hw[le][11][q] ),
+	.SCE(n_4549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_12_q_reg[0]  (
+	.CLK(CTS_133),
+	.D(n_74612),
+	.Q(\soc_top_intr_controller_reg2hw[le][12][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_intr_controller_reg2hw[le][12][q] ),
+	.SCE(n_4549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_13_q_reg[0]  (
+	.CLK(CTS_133),
+	.D(n_72176),
+	.Q(\soc_top_intr_controller_reg2hw[le][13][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_intr_controller_reg2hw[le][13][q] ),
+	.SCE(n_4549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_14_q_reg[0]  (
+	.CLK(CTS_140),
+	.D(\soc_top_xbar_to_timer[a_data] [14]),
+	.Q(\soc_top_intr_controller_reg2hw[le][14][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_intr_controller_reg2hw[le][14][q] ),
+	.SCE(n_4549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_15_q_reg[0]  (
+	.CLK(CTS_133),
+	.D(n_70012),
+	.Q(\soc_top_intr_controller_reg2hw[le][15][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_intr_controller_reg2hw[le][15][q] ),
+	.SCE(n_4549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_16_q_reg[0]  (
+	.CLK(CTS_140),
+	.D(n_93141),
+	.Q(\soc_top_intr_controller_reg2hw[le][16][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_intr_controller_reg2hw[le][16][q] ),
+	.SCE(n_4549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_17_q_reg[0]  (
+	.CLK(CTS_140),
+	.D(n_72527),
+	.Q(\soc_top_intr_controller_reg2hw[le][17][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_intr_controller_reg2hw[le][17][q] ),
+	.SCE(n_4549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_18_q_reg[0]  (
+	.CLK(CTS_140),
+	.D(n_72578),
+	.Q(\soc_top_intr_controller_reg2hw[le][18][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_intr_controller_reg2hw[le][18][q] ),
+	.SCE(n_4549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_19_q_reg[0]  (
+	.CLK(CTS_140),
+	.D(n_84182),
+	.Q(\soc_top_intr_controller_reg2hw[le][19][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_intr_controller_reg2hw[le][19][q] ),
+	.SCE(n_4549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_20_q_reg[0]  (
+	.CLK(CTS_140),
+	.D(\soc_top_xbar_to_timer[a_data] [20]),
+	.Q(\soc_top_intr_controller_reg2hw[le][20][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_intr_controller_reg2hw[le][20][q] ),
+	.SCE(n_4549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_21_q_reg[0]  (
+	.CLK(CTS_140),
+	.D(\soc_top_xbar_to_timer[a_data] [21]),
+	.Q(\soc_top_intr_controller_reg2hw[le][21][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_intr_controller_reg2hw[le][21][q] ),
+	.SCE(n_4549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_22_q_reg[0]  (
+	.CLK(CTS_140),
+	.D(n_72887),
+	.Q(\soc_top_intr_controller_reg2hw[le][22][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_intr_controller_reg2hw[le][22][q] ),
+	.SCE(n_4549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_23_q_reg[0]  (
+	.CLK(CTS_140),
+	.D(n_74743),
+	.Q(\soc_top_intr_controller_reg2hw[le][23][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_intr_controller_reg2hw[le][23][q] ),
+	.SCE(n_4549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_24_q_reg[0]  (
+	.CLK(CTS_131),
+	.D(n_68334),
+	.Q(\soc_top_intr_controller_reg2hw[le][24][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_intr_controller_reg2hw[le][24][q] ),
+	.SCE(n_4549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_25_q_reg[0]  (
+	.CLK(CTS_131),
+	.D(n_68259),
+	.Q(\soc_top_intr_controller_reg2hw[le][25][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_intr_controller_reg2hw[le][25][q] ),
+	.SCE(n_4549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_26_q_reg[0]  (
+	.CLK(CTS_131),
+	.D(n_68466),
+	.Q(\soc_top_intr_controller_reg2hw[le][26][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_intr_controller_reg2hw[le][26][q] ),
+	.SCE(n_4549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_27_q_reg[0]  (
+	.CLK(CTS_131),
+	.D(\soc_top_xbar_to_timer[a_data] [27]),
+	.Q(\soc_top_intr_controller_reg2hw[le][27][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_intr_controller_reg2hw[le][27][q] ),
+	.SCE(n_4549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_28_q_reg[0]  (
+	.CLK(CTS_131),
+	.D(\soc_top_xbar_to_timer[a_data] [28]),
+	.Q(\soc_top_intr_controller_reg2hw[le][28][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_intr_controller_reg2hw[le][28][q] ),
+	.SCE(n_4549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_29_q_reg[0]  (
+	.CLK(CTS_131),
+	.D(\soc_top_xbar_to_timer[a_data] [29]),
+	.Q(\soc_top_intr_controller_reg2hw[le][29][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_intr_controller_reg2hw[le][29][q] ),
+	.SCE(n_4549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_30_q_reg[0]  (
+	.CLK(CTS_131),
+	.D(\soc_top_xbar_to_timer[a_data] [30]),
+	.Q(\soc_top_intr_controller_reg2hw[le][30][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_intr_controller_reg2hw[le][30][q] ),
+	.SCE(n_4549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_31_q_reg[0]  (
+	.CLK(CTS_133),
+	.D(n_68268),
+	.Q(\soc_top_intr_controller_reg2hw[le][31][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_intr_controller_reg2hw[le][31][q] ),
+	.SCE(n_4549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_1_le_32_q_reg[0]  (
+	.CLK(CTS_129),
+	.D(n_84419),
+	.Q(\soc_top_intr_controller_reg2hw[le][32][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_intr_controller_reg2hw[le][32][q] ),
+	.SCE(n_4565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_1_le_33_q_reg[0]  (
+	.CLK(CTS_129),
+	.D(n_75549),
+	.Q(\soc_top_intr_controller_reg2hw[le][33][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_intr_controller_reg2hw[le][33][q] ),
+	.SCE(n_4565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_1_le_34_q_reg[0]  (
+	.CLK(CTS_129),
+	.D(n_87578),
+	.Q(\soc_top_intr_controller_reg2hw[le][34][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_intr_controller_reg2hw[le][34][q] ),
+	.SCE(n_4565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_1_le_35_q_reg[0]  (
+	.CLK(CTS_129),
+	.D(n_84427),
+	.Q(\soc_top_intr_controller_reg2hw[le][35][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_intr_controller_reg2hw[le][35][q] ),
+	.SCE(n_4565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_1_le_36_q_reg[0]  (
+	.CLK(CTS_129),
+	.D(n_70008),
+	.Q(\soc_top_intr_controller_reg2hw[le][36][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_intr_controller_reg2hw[le][36][q] ),
+	.SCE(n_4565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_msip0_q_reg[0]  (
+	.CLK(CTS_129),
+	.D(n_7443),
+	.Q(soc_top_intr_controller_u_reg_msip0_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio0_q_reg[0]  (
+	.CLK(CTS_151),
+	.D(n_7501),
+	.Q(\soc_top_intr_controller_reg2hw[prio0][q] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio0_q_reg[1]  (
+	.CLK(CTS_151),
+	.D(n_7507),
+	.Q(\soc_top_intr_controller_reg2hw[prio0][q] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio1_q_reg[0]  (
+	.CLK(CTS_151),
+	.D(n_7502),
+	.Q(\soc_top_intr_controller_reg2hw[prio1][q] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio1_q_reg[1]  (
+	.CLK(CTS_151),
+	.D(n_7503),
+	.Q(\soc_top_intr_controller_reg2hw[prio1][q] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio2_q_reg[0]  (
+	.CLK(CTS_151),
+	.D(n_7504),
+	.Q(\soc_top_intr_controller_reg2hw[prio2][q] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio2_q_reg[1]  (
+	.CLK(CTS_151),
+	.D(n_7505),
+	.Q(\soc_top_intr_controller_reg2hw[prio2][q] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio3_q_reg[0]  (
+	.CLK(CTS_151),
+	.D(n_7506),
+	.Q(\soc_top_intr_controller_reg2hw[prio3][q] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio3_q_reg[1]  (
+	.CLK(CTS_151),
+	.D(n_7508),
+	.Q(\soc_top_intr_controller_reg2hw[prio3][q] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio4_q_reg[0]  (
+	.CLK(CTS_151),
+	.D(n_7509),
+	.Q(\soc_top_intr_controller_reg2hw[prio4][q] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio4_q_reg[1]  (
+	.CLK(CTS_151),
+	.D(n_7510),
+	.Q(\soc_top_intr_controller_reg2hw[prio4][q] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio5_q_reg[0]  (
+	.CLK(CTS_151),
+	.D(n_7511),
+	.Q(\soc_top_intr_controller_reg2hw[prio5][q] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio5_q_reg[1]  (
+	.CLK(CTS_151),
+	.D(n_7512),
+	.Q(\soc_top_intr_controller_reg2hw[prio5][q] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio6_q_reg[0]  (
+	.CLK(CTS_151),
+	.D(n_7513),
+	.Q(\soc_top_intr_controller_reg2hw[prio6][q] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio6_q_reg[1]  (
+	.CLK(CTS_151),
+	.D(n_7514),
+	.Q(\soc_top_intr_controller_reg2hw[prio6][q] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio7_q_reg[0]  (
+	.CLK(CTS_151),
+	.D(n_7515),
+	.Q(\soc_top_intr_controller_reg2hw[prio7][q] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio7_q_reg[1]  (
+	.CLK(CTS_151),
+	.D(n_7518),
+	.Q(\soc_top_intr_controller_reg2hw[prio7][q] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio8_q_reg[0]  (
+	.CLK(CTS_78),
+	.D(n_7516),
+	.Q(\soc_top_intr_controller_reg2hw[prio8][q] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio8_q_reg[1]  (
+	.CLK(CTS_78),
+	.D(n_7517),
+	.Q(\soc_top_intr_controller_reg2hw[prio8][q] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio9_q_reg[0]  (
+	.CLK(CTS_129),
+	.D(n_7519),
+	.Q(\soc_top_intr_controller_reg2hw[prio9][q] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio9_q_reg[1]  (
+	.CLK(CTS_151),
+	.D(n_7520),
+	.Q(\soc_top_intr_controller_reg2hw[prio9][q] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio10_q_reg[0]  (
+	.CLK(CTS_78),
+	.D(n_7521),
+	.Q(\soc_top_intr_controller_reg2hw[prio10][q] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio10_q_reg[1]  (
+	.CLK(CTS_78),
+	.D(n_7522),
+	.Q(\soc_top_intr_controller_reg2hw[prio10][q] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio11_q_reg[0]  (
+	.CLK(CTS_151),
+	.D(n_7523),
+	.Q(\soc_top_intr_controller_reg2hw[prio11][q] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio11_q_reg[1]  (
+	.CLK(CTS_151),
+	.D(n_7524),
+	.Q(\soc_top_intr_controller_reg2hw[prio11][q] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio12_q_reg[0]  (
+	.CLK(CTS_129),
+	.D(n_7525),
+	.Q(\soc_top_intr_controller_reg2hw[prio12][q] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio12_q_reg[1]  (
+	.CLK(CTS_129),
+	.D(n_7526),
+	.Q(\soc_top_intr_controller_reg2hw[prio12][q] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio13_q_reg[0]  (
+	.CLK(CTS_129),
+	.D(n_7527),
+	.Q(\soc_top_intr_controller_reg2hw[prio13][q] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio13_q_reg[1]  (
+	.CLK(CTS_129),
+	.D(n_7528),
+	.Q(\soc_top_intr_controller_reg2hw[prio13][q] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio14_q_reg[0]  (
+	.CLK(CTS_129),
+	.D(n_7529),
+	.Q(\soc_top_intr_controller_reg2hw[prio14][q] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio14_q_reg[1]  (
+	.CLK(CTS_129),
+	.D(n_7530),
+	.Q(\soc_top_intr_controller_reg2hw[prio14][q] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio15_q_reg[0]  (
+	.CLK(CTS_129),
+	.D(n_7531),
+	.Q(\soc_top_intr_controller_reg2hw[prio15][q] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio15_q_reg[1]  (
+	.CLK(CTS_129),
+	.D(n_7532),
+	.Q(\soc_top_intr_controller_reg2hw[prio15][q] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio16_q_reg[0]  (
+	.CLK(CTS_129),
+	.D(n_7533),
+	.Q(\soc_top_intr_controller_reg2hw[prio16][q] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio16_q_reg[1]  (
+	.CLK(CTS_129),
+	.D(n_7534),
+	.Q(\soc_top_intr_controller_reg2hw[prio16][q] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio17_q_reg[0]  (
+	.CLK(CTS_129),
+	.D(n_7535),
+	.Q(\soc_top_intr_controller_reg2hw[prio17][q] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio17_q_reg[1]  (
+	.CLK(CTS_129),
+	.D(n_7538),
+	.Q(\soc_top_intr_controller_reg2hw[prio17][q] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio18_q_reg[0]  (
+	.CLK(CTS_129),
+	.D(n_7536),
+	.Q(\soc_top_intr_controller_reg2hw[prio18][q] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio18_q_reg[1]  (
+	.CLK(CTS_129),
+	.D(n_7537),
+	.Q(\soc_top_intr_controller_reg2hw[prio18][q] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio19_q_reg[0]  (
+	.CLK(CTS_129),
+	.D(n_7539),
+	.Q(\soc_top_intr_controller_reg2hw[prio19][q] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio19_q_reg[1]  (
+	.CLK(CTS_129),
+	.D(n_7540),
+	.Q(\soc_top_intr_controller_reg2hw[prio19][q] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio20_q_reg[0]  (
+	.CLK(CTS_131),
+	.D(n_7541),
+	.Q(\soc_top_intr_controller_reg2hw[prio20][q] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio20_q_reg[1]  (
+	.CLK(CTS_131),
+	.D(n_7542),
+	.Q(\soc_top_intr_controller_reg2hw[prio20][q] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio21_q_reg[0]  (
+	.CLK(CTS_131),
+	.D(n_7543),
+	.Q(\soc_top_intr_controller_reg2hw[prio21][q] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio21_q_reg[1]  (
+	.CLK(CTS_131),
+	.D(n_7544),
+	.Q(\soc_top_intr_controller_reg2hw[prio21][q] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio22_q_reg[0]  (
+	.CLK(CTS_131),
+	.D(n_7545),
+	.Q(\soc_top_intr_controller_reg2hw[prio22][q] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio22_q_reg[1]  (
+	.CLK(CTS_131),
+	.D(n_7546),
+	.Q(\soc_top_intr_controller_reg2hw[prio22][q] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio23_q_reg[0]  (
+	.CLK(CTS_131),
+	.D(n_7547),
+	.Q(\soc_top_intr_controller_reg2hw[prio23][q] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio23_q_reg[1]  (
+	.CLK(CTS_131),
+	.D(n_7548),
+	.Q(\soc_top_intr_controller_reg2hw[prio23][q] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio24_q_reg[0]  (
+	.CLK(CTS_129),
+	.D(n_7549),
+	.Q(\soc_top_intr_controller_reg2hw[prio24][q] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio24_q_reg[1]  (
+	.CLK(CTS_118),
+	.D(n_7550),
+	.Q(\soc_top_intr_controller_reg2hw[prio24][q] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio25_q_reg[0]  (
+	.CLK(CTS_129),
+	.D(n_7551),
+	.Q(\soc_top_intr_controller_reg2hw[prio25][q] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio25_q_reg[1]  (
+	.CLK(CTS_129),
+	.D(n_7552),
+	.Q(\soc_top_intr_controller_reg2hw[prio25][q] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio26_q_reg[0]  (
+	.CLK(CTS_118),
+	.D(n_7553),
+	.Q(\soc_top_intr_controller_reg2hw[prio26][q] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio26_q_reg[1]  (
+	.CLK(CTS_118),
+	.D(n_7554),
+	.Q(\soc_top_intr_controller_reg2hw[prio26][q] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio27_q_reg[0]  (
+	.CLK(CTS_129),
+	.D(n_7555),
+	.Q(\soc_top_intr_controller_reg2hw[prio27][q] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio27_q_reg[1]  (
+	.CLK(CTS_129),
+	.D(n_7556),
+	.Q(\soc_top_intr_controller_reg2hw[prio27][q] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio28_q_reg[0]  (
+	.CLK(CTS_129),
+	.D(n_7557),
+	.Q(\soc_top_intr_controller_reg2hw[prio28][q] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio28_q_reg[1]  (
+	.CLK(CTS_129),
+	.D(n_7563),
+	.Q(\soc_top_intr_controller_reg2hw[prio28][q] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio29_q_reg[0]  (
+	.CLK(CTS_129),
+	.D(n_7559),
+	.Q(\soc_top_intr_controller_reg2hw[prio29][q] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio29_q_reg[1]  (
+	.CLK(CTS_129),
+	.D(n_7558),
+	.Q(\soc_top_intr_controller_reg2hw[prio29][q] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio30_q_reg[0]  (
+	.CLK(CTS_129),
+	.D(n_7560),
+	.Q(\soc_top_intr_controller_reg2hw[prio30][q] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio30_q_reg[1]  (
+	.CLK(CTS_129),
+	.D(n_7561),
+	.Q(\soc_top_intr_controller_reg2hw[prio30][q] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio31_q_reg[0]  (
+	.CLK(CTS_151),
+	.D(n_7562),
+	.Q(\soc_top_intr_controller_reg2hw[prio31][q] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio31_q_reg[1]  (
+	.CLK(CTS_129),
+	.D(n_7564),
+	.Q(\soc_top_intr_controller_reg2hw[prio31][q] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio32_q_reg[0]  (
+	.CLK(CTS_151),
+	.D(n_7565),
+	.Q(\soc_top_intr_controller_reg2hw[prio32][q] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio32_q_reg[1]  (
+	.CLK(CTS_151),
+	.D(n_7566),
+	.Q(\soc_top_intr_controller_reg2hw[prio32][q] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio33_q_reg[0]  (
+	.CLK(CTS_129),
+	.D(n_7567),
+	.Q(\soc_top_intr_controller_reg2hw[prio33][q] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio33_q_reg[1]  (
+	.CLK(CTS_129),
+	.D(n_7568),
+	.Q(\soc_top_intr_controller_reg2hw[prio33][q] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio34_q_reg[0]  (
+	.CLK(CTS_151),
+	.D(n_7569),
+	.Q(\soc_top_intr_controller_reg2hw[prio34][q] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio34_q_reg[1]  (
+	.CLK(CTS_151),
+	.D(n_7570),
+	.Q(\soc_top_intr_controller_reg2hw[prio34][q] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio35_q_reg[0]  (
+	.CLK(CTS_151),
+	.D(n_7571),
+	.Q(\soc_top_intr_controller_reg2hw[prio35][q] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio35_q_reg[1]  (
+	.CLK(CTS_129),
+	.D(n_7572),
+	.Q(\soc_top_intr_controller_reg2hw[prio35][q] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 soc_top_intr_controller_u_reg_u_reg_if_error_reg (
+	.CLK(CTS_152),
+	.D(n_8661),
+	.Q(\soc_top_plic_resp[d_error] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_plic_resp[d_error] ),
+	.SCE(n_2131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 soc_top_intr_controller_u_reg_u_reg_if_outstanding_reg (
+	.CLK(CTS_151),
+	.D(n_2130),
+	.Q(\soc_top_plic_resp[d_valid] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_plic_resp[d_valid] ),
+	.SCE(n_2708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[0]  (
+	.CLK(CTS_129),
+	.D(n_10239),
+	.Q(\soc_top_plic_resp[d_data] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[1]  (
+	.CLK(CTS_129),
+	.D(n_10219),
+	.Q(\soc_top_plic_resp[d_data] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[2]  (
+	.CLK(CTS_129),
+	.D(n_9984),
+	.Q(\soc_top_plic_resp[d_data] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[3]  (
+	.CLK(CTS_129),
+	.D(n_9983),
+	.Q(\soc_top_plic_resp[d_data] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[4]  (
+	.CLK(CTS_129),
+	.D(n_9982),
+	.Q(\soc_top_plic_resp[d_data] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[5]  (
+	.CLK(CTS_129),
+	.D(n_9366),
+	.Q(\soc_top_plic_resp[d_data] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[6]  (
+	.CLK(CTS_133),
+	.D(n_8883),
+	.Q(\soc_top_plic_resp[d_data] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[7]  (
+	.CLK(CTS_78),
+	.D(n_8882),
+	.Q(\soc_top_plic_resp[d_data] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[8]  (
+	.CLK(CTS_133),
+	.D(n_8881),
+	.Q(\soc_top_plic_resp[d_data] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[9]  (
+	.CLK(CTS_133),
+	.D(n_8878),
+	.Q(\soc_top_plic_resp[d_data] [9]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[10]  (
+	.CLK(CTS_133),
+	.D(n_8880),
+	.Q(\soc_top_plic_resp[d_data] [10]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[11]  (
+	.CLK(CTS_133),
+	.D(n_8879),
+	.Q(\soc_top_plic_resp[d_data] [11]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[12]  (
+	.CLK(CTS_133),
+	.D(n_8877),
+	.Q(\soc_top_plic_resp[d_data] [12]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[13]  (
+	.CLK(CTS_133),
+	.D(n_8876),
+	.Q(\soc_top_plic_resp[d_data] [13]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[14]  (
+	.CLK(CTS_140),
+	.D(n_8874),
+	.Q(\soc_top_plic_resp[d_data] [14]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[15]  (
+	.CLK(CTS_133),
+	.D(n_8875),
+	.Q(\soc_top_plic_resp[d_data] [15]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[16]  (
+	.CLK(CTS_140),
+	.D(n_8873),
+	.Q(\soc_top_plic_resp[d_data] [16]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[17]  (
+	.CLK(CTS_140),
+	.D(n_8872),
+	.Q(\soc_top_plic_resp[d_data] [17]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[18]  (
+	.CLK(CTS_140),
+	.D(n_8871),
+	.Q(\soc_top_plic_resp[d_data] [18]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[19]  (
+	.CLK(CTS_131),
+	.D(n_8870),
+	.Q(\soc_top_plic_resp[d_data] [19]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[20]  (
+	.CLK(CTS_140),
+	.D(n_8869),
+	.Q(\soc_top_plic_resp[d_data] [20]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[21]  (
+	.CLK(CTS_140),
+	.D(n_8868),
+	.Q(\soc_top_plic_resp[d_data] [21]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[22]  (
+	.CLK(CTS_131),
+	.D(n_8867),
+	.Q(\soc_top_plic_resp[d_data] [22]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[23]  (
+	.CLK(CTS_131),
+	.D(n_8863),
+	.Q(\soc_top_plic_resp[d_data] [23]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[24]  (
+	.CLK(CTS_131),
+	.D(n_8866),
+	.Q(\soc_top_plic_resp[d_data] [24]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[25]  (
+	.CLK(CTS_131),
+	.D(n_8865),
+	.Q(\soc_top_plic_resp[d_data] [25]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[26]  (
+	.CLK(CTS_131),
+	.D(n_8864),
+	.Q(\soc_top_plic_resp[d_data] [26]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[27]  (
+	.CLK(CTS_131),
+	.D(n_8862),
+	.Q(\soc_top_plic_resp[d_data] [27]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[28]  (
+	.CLK(CTS_131),
+	.D(n_8861),
+	.Q(\soc_top_plic_resp[d_data] [28]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[29]  (
+	.CLK(CTS_118),
+	.D(n_8860),
+	.Q(\soc_top_plic_resp[d_data] [29]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[30]  (
+	.CLK(CTS_131),
+	.D(n_8858),
+	.Q(\soc_top_plic_resp[d_data] [30]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[31]  (
+	.CLK(CTS_140),
+	.D(n_8859),
+	.Q(\soc_top_plic_resp[d_data] [31]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rspop_reg[0]  (
+	.CLK(CTS_152),
+	.D(n_24),
+	.Q(\soc_top_plic_resp[d_opcode] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_plic_resp[d_opcode] [0]),
+	.SCE(n_2131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_threshold0_q_reg[0]  (
+	.CLK(CTS_151),
+	.D(n_7573),
+	.Q(\soc_top_intr_controller_reg2hw[threshold0][q] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_threshold0_q_reg[1]  (
+	.CLK(CTS_151),
+	.D(n_7574),
+	.Q(\soc_top_intr_controller_reg2hw[threshold0][q] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_main_swith_host_lsu_dev_select_outstanding_reg[0]  (
+	.CLK(CTS_151),
+	.D(n_84319),
+	.Q(soc_top_main_swith_host_lsu_dev_select_outstanding[0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_main_swith_host_lsu_dev_select_outstanding[0]),
+	.SCE(n_73406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_main_swith_host_lsu_dev_select_outstanding_reg[1]  (
+	.CLK(CTS_151),
+	.D(soc_top_main_swith_host_lsu_dev_select_t[1]),
+	.Q(soc_top_main_swith_host_lsu_dev_select_outstanding[1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_main_swith_host_lsu_dev_select_outstanding[1]),
+	.SCE(n_73406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_main_swith_host_lsu_dev_select_outstanding_reg[2]  (
+	.CLK(CTS_151),
+	.D(soc_top_main_swith_host_lsu_dev_select_t[2]),
+	.Q(soc_top_main_swith_host_lsu_dev_select_outstanding[2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_main_swith_host_lsu_dev_select_outstanding[2]),
+	.SCE(n_73406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_main_swith_host_lsu_err_resp_err_opcode_reg[0]  (
+	.CLK(CTS_152),
+	.D(soc_top_main_swith_host_lsu_err_resp_err_opcode[0]),
+	.Q(soc_top_main_swith_host_lsu_err_resp_err_opcode[0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2036),
+	.SCE(n_1290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_main_swith_host_lsu_err_resp_err_opcode_reg[2]  (
+	.CLK(CTS_152),
+	.D(soc_top_main_swith_host_lsu_err_resp_err_opcode[2]),
+	.Q(soc_top_main_swith_host_lsu_err_resp_err_opcode[2]),
+	.SCD(n_24),
+	.SCE(n_1290),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 soc_top_main_swith_host_lsu_err_resp_err_req_pending_reg (
+	.CLK(CTS_152),
+	.D(n_1290),
+	.Q(\soc_top_main_swith_host_lsu_tl_u_i[7][d_valid] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[0]  (
+	.CLK(CTS_80),
+	.D(n_3313),
+	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_3314),
+	.SCE(soc_top_main_swith_host_lsu_num_req_outstanding[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[1]  (
+	.CLK(CTS_80),
+	.D(n_4424),
+	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[6]  (
+	.CLK(CTS_80),
+	.D(n_11368),
+	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[7]  (
+	.CLK(CTS_80),
+	.D(n_11412),
+	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[8]  (
+	.CLK(CTS_80),
+	.D(n_11536),
+	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[9]  (
+	.CLK(CTS_80),
+	.D(n_11625),
+	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[9]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[10]  (
+	.CLK(CTS_80),
+	.D(n_11657),
+	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[10]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[11]  (
+	.CLK(CTS_80),
+	.D(n_11668),
+	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[11]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[12]  (
+	.CLK(CTS_80),
+	.D(n_11716),
+	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[12]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[13]  (
+	.CLK(CTS_80),
+	.D(n_11748),
+	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[13]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[14]  (
+	.CLK(CTS_80),
+	.D(n_11758),
+	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[14]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[15]  (
+	.CLK(CTS_80),
+	.D(n_11777),
+	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[15]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[16]  (
+	.CLK(CTS_80),
+	.D(n_11796),
+	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[16]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 soc_top_reset_manager_rst_q_reg (
+	.CLK(CTS_139),
+	.D(soc_top_prog_rst_ni),
+	.Q(soc_top_reset_manager_rst_q),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_gen_harts[0].u_core_tick_count_reg[4]  (
+	.CLK(CTS_128),
+	.D(n_10058),
+	.Q(\soc_top_timer0_gen_harts[0].u_core_tick_count [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_gen_harts[0].u_core_tick_count_reg[5]  (
+	.CLK(CTS_128),
+	.D(n_10753),
+	.Q(\soc_top_timer0_gen_harts[0].u_core_tick_count [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_gen_harts[0].u_core_tick_count_reg[8]  (
+	.CLK(CTS_128),
+	.D(n_11759),
+	.Q(\soc_top_timer0_gen_harts[0].u_core_tick_count [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_gen_harts[0].u_core_tick_count_reg[9]  (
+	.CLK(CTS_128),
+	.D(n_11821),
+	.Q(\soc_top_timer0_gen_harts[0].u_core_tick_count [9]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_gen_harts[0].u_core_tick_count_reg[11]  (
+	.CLK(CTS_37),
+	.D(n_11870),
+	.Q(\soc_top_timer0_gen_harts[0].u_core_tick_count [11]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_gen_harts[0].u_intr_hw_intr_o_reg[0]  (
+	.CLK(CTS_151),
+	.D(n_1191),
+	.Q(soc_top_intr_timer),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_prescale_q_reg[0]  (
+	.CLK(CTS_118),
+	.D(\soc_top_timer0_reg2hw[cfg0][prescale][q] [0]),
+	.Q(\soc_top_timer0_reg2hw[cfg0][prescale][q] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_84419),
+	.SCE(n_7076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_prescale_q_reg[1]  (
+	.CLK(CTS_128),
+	.D(\soc_top_timer0_reg2hw[cfg0][prescale][q] [1]),
+	.Q(\soc_top_timer0_reg2hw[cfg0][prescale][q] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_75549),
+	.SCE(n_7076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_prescale_q_reg[2]  (
+	.CLK(CTS_128),
+	.D(\soc_top_timer0_reg2hw[cfg0][prescale][q] [2]),
+	.Q(\soc_top_timer0_reg2hw[cfg0][prescale][q] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_87578),
+	.SCE(n_7076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_prescale_q_reg[3]  (
+	.CLK(CTS_128),
+	.D(\soc_top_timer0_reg2hw[cfg0][prescale][q] [3]),
+	.Q(\soc_top_timer0_reg2hw[cfg0][prescale][q] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_84427),
+	.SCE(n_7076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_prescale_q_reg[4]  (
+	.CLK(CTS_128),
+	.D(\soc_top_timer0_reg2hw[cfg0][prescale][q] [4]),
+	.Q(\soc_top_timer0_reg2hw[cfg0][prescale][q] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_70008),
+	.SCE(n_7076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_prescale_q_reg[5]  (
+	.CLK(CTS_128),
+	.D(\soc_top_timer0_reg2hw[cfg0][prescale][q] [5]),
+	.Q(\soc_top_timer0_reg2hw[cfg0][prescale][q] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_84455),
+	.SCE(n_7076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_prescale_q_reg[6]  (
+	.CLK(CTS_128),
+	.D(\soc_top_timer0_reg2hw[cfg0][prescale][q] [6]),
+	.Q(\soc_top_timer0_reg2hw[cfg0][prescale][q] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_84431),
+	.SCE(n_7076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_prescale_q_reg[7]  (
+	.CLK(CTS_128),
+	.D(\soc_top_timer0_reg2hw[cfg0][prescale][q] [7]),
+	.Q(\soc_top_timer0_reg2hw[cfg0][prescale][q] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_70004),
+	.SCE(n_7076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_prescale_q_reg[8]  (
+	.CLK(CTS_128),
+	.D(\soc_top_timer0_reg2hw[cfg0][prescale][q] [8]),
+	.Q(\soc_top_timer0_reg2hw[cfg0][prescale][q] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_93122),
+	.SCE(n_7076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_prescale_q_reg[9]  (
+	.CLK(CTS_128),
+	.D(\soc_top_timer0_reg2hw[cfg0][prescale][q] [9]),
+	.Q(\soc_top_timer0_reg2hw[cfg0][prescale][q] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_72528),
+	.SCE(n_7076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_prescale_q_reg[10]  (
+	.CLK(CTS_128),
+	.D(\soc_top_timer0_reg2hw[cfg0][prescale][q] [10]),
+	.Q(\soc_top_timer0_reg2hw[cfg0][prescale][q] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_70064),
+	.SCE(n_7076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_prescale_q_reg[11]  (
+	.CLK(CTS_128),
+	.D(\soc_top_timer0_reg2hw[cfg0][prescale][q] [11]),
+	.Q(\soc_top_timer0_reg2hw[cfg0][prescale][q] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_69280),
+	.SCE(n_7076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_cfg0_step_q_reg[0]  (
+	.CLK(CTS_118),
+	.D(\soc_top_timer0_reg2hw[cfg0][step][q] [0]),
+	.Q(\soc_top_timer0_reg2hw[cfg0][step][q] [0]),
+	.SCD(n_93141),
+	.SCE(n_7076),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_step_q_reg[1]  (
+	.CLK(CTS_118),
+	.D(\soc_top_timer0_reg2hw[cfg0][step][q] [1]),
+	.Q(\soc_top_timer0_reg2hw[cfg0][step][q] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_72527),
+	.SCE(n_7076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_step_q_reg[2]  (
+	.CLK(CTS_118),
+	.D(\soc_top_timer0_reg2hw[cfg0][step][q] [2]),
+	.Q(\soc_top_timer0_reg2hw[cfg0][step][q] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_72578),
+	.SCE(n_7076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_step_q_reg[3]  (
+	.CLK(CTS_118),
+	.D(\soc_top_timer0_reg2hw[cfg0][step][q] [3]),
+	.Q(\soc_top_timer0_reg2hw[cfg0][step][q] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_84182),
+	.SCE(n_7076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_step_q_reg[4]  (
+	.CLK(CTS_118),
+	.D(\soc_top_timer0_reg2hw[cfg0][step][q] [4]),
+	.Q(\soc_top_timer0_reg2hw[cfg0][step][q] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_xbar_to_timer[a_data] [20]),
+	.SCE(n_7076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_step_q_reg[5]  (
+	.CLK(CTS_118),
+	.D(\soc_top_timer0_reg2hw[cfg0][step][q] [5]),
+	.Q(\soc_top_timer0_reg2hw[cfg0][step][q] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_xbar_to_timer[a_data] [21]),
+	.SCE(n_7076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_step_q_reg[6]  (
+	.CLK(CTS_118),
+	.D(\soc_top_timer0_reg2hw[cfg0][step][q] [6]),
+	.Q(\soc_top_timer0_reg2hw[cfg0][step][q] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_72887),
+	.SCE(n_7076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_step_q_reg[7]  (
+	.CLK(CTS_118),
+	.D(\soc_top_timer0_reg2hw[cfg0][step][q] [7]),
+	.Q(\soc_top_timer0_reg2hw[cfg0][step][q] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_74743),
+	.SCE(n_7076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[0]  (
+	.CLK(CTS_118),
+	.D(n_84419),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [0]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [0]),
+	.SCE(n_29913),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[1]  (
+	.CLK(CTS_118),
+	.D(n_75549),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [1]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [1]),
+	.SCE(n_29913),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[2]  (
+	.CLK(CTS_128),
+	.D(n_87578),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [2]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [2]),
+	.SCE(n_29913),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[3]  (
+	.CLK(CTS_128),
+	.D(n_84427),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [3]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [3]),
+	.SCE(n_29913),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[4]  (
+	.CLK(CTS_128),
+	.D(n_70008),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [4]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [4]),
+	.SCE(n_29913),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[5]  (
+	.CLK(CTS_128),
+	.D(n_84455),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [5]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [5]),
+	.SCE(n_29913),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[6]  (
+	.CLK(CTS_128),
+	.D(n_84431),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [6]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [6]),
+	.SCE(n_29913),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[7]  (
+	.CLK(CTS_128),
+	.D(n_70004),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [7]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [7]),
+	.SCE(n_29913),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[8]  (
+	.CLK(CTS_130),
+	.D(n_93122),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [8]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [8]),
+	.SCE(n_29913),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[9]  (
+	.CLK(CTS_130),
+	.D(n_72528),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [9]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [9]),
+	.SCE(n_29913),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[10]  (
+	.CLK(CTS_130),
+	.D(n_70064),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [10]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [10]),
+	.SCE(n_29913),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[11]  (
+	.CLK(CTS_130),
+	.D(n_69280),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [11]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [11]),
+	.SCE(n_29913),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[12]  (
+	.CLK(CTS_130),
+	.D(n_74612),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [12]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [12]),
+	.SCE(n_29913),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[13]  (
+	.CLK(CTS_130),
+	.D(n_72176),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [13]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [13]),
+	.SCE(n_29913),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[14]  (
+	.CLK(CTS_130),
+	.D(\soc_top_xbar_to_timer[a_data] [14]),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [14]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [14]),
+	.SCE(n_29913),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[15]  (
+	.CLK(CTS_130),
+	.D(n_70012),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [15]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [15]),
+	.SCE(n_29913),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[16]  (
+	.CLK(CTS_126),
+	.D(n_93141),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [16]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [16]),
+	.SCE(n_29913),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[17]  (
+	.CLK(CTS_126),
+	.D(n_72527),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [17]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [17]),
+	.SCE(n_29913),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[18]  (
+	.CLK(CTS_118),
+	.D(n_72578),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [18]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [18]),
+	.SCE(n_29913),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[19]  (
+	.CLK(CTS_126),
+	.D(n_84182),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [19]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [19]),
+	.SCE(n_29913),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[20]  (
+	.CLK(CTS_118),
+	.D(\soc_top_xbar_to_timer[a_data] [20]),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [20]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [20]),
+	.SCE(n_29913),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[21]  (
+	.CLK(CTS_118),
+	.D(\soc_top_xbar_to_timer[a_data] [21]),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [21]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [21]),
+	.SCE(n_29913),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[22]  (
+	.CLK(CTS_132),
+	.D(n_72887),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [22]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [22]),
+	.SCE(n_29913),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[23]  (
+	.CLK(CTS_118),
+	.D(n_74743),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [23]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [23]),
+	.SCE(n_29913),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[24]  (
+	.CLK(CTS_118),
+	.D(n_68334),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [24]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [24]),
+	.SCE(n_29913),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[25]  (
+	.CLK(CTS_132),
+	.D(n_68259),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [25]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [25]),
+	.SCE(n_29913),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[26]  (
+	.CLK(CTS_131),
+	.D(n_68466),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [26]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [26]),
+	.SCE(n_29913),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[27]  (
+	.CLK(CTS_131),
+	.D(\soc_top_xbar_to_timer[a_data] [27]),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [27]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [27]),
+	.SCE(n_29913),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[28]  (
+	.CLK(CTS_132),
+	.D(\soc_top_xbar_to_timer[a_data] [28]),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [28]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [28]),
+	.SCE(n_29913),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[29]  (
+	.CLK(CTS_132),
+	.D(\soc_top_xbar_to_timer[a_data] [29]),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [29]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [29]),
+	.SCE(n_29913),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[30]  (
+	.CLK(CTS_132),
+	.D(\soc_top_xbar_to_timer[a_data] [30]),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [30]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [30]),
+	.SCE(n_29913),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[31]  (
+	.CLK(CTS_132),
+	.D(n_68268),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [31]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [31]),
+	.SCE(n_29913),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[0]  (
+	.CLK(CTS_128),
+	.D(n_84419),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [0]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [0]),
+	.SCE(n_29912),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[1]  (
+	.CLK(CTS_128),
+	.D(n_75549),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [1]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [1]),
+	.SCE(n_29912),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[2]  (
+	.CLK(CTS_128),
+	.D(n_87578),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [2]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [2]),
+	.SCE(n_29912),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[3]  (
+	.CLK(CTS_128),
+	.D(n_84427),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [3]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [3]),
+	.SCE(n_29912),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[4]  (
+	.CLK(CTS_130),
+	.D(n_70008),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [4]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [4]),
+	.SCE(n_29912),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[5]  (
+	.CLK(CTS_128),
+	.D(n_84455),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [5]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [5]),
+	.SCE(n_29912),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[6]  (
+	.CLK(CTS_128),
+	.D(n_84431),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [6]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [6]),
+	.SCE(n_29912),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[7]  (
+	.CLK(CTS_128),
+	.D(n_70004),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [7]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [7]),
+	.SCE(n_29912),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[8]  (
+	.CLK(CTS_130),
+	.D(n_93122),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [8]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [8]),
+	.SCE(n_29912),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[9]  (
+	.CLK(CTS_130),
+	.D(n_72528),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [9]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [9]),
+	.SCE(n_29912),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[10]  (
+	.CLK(CTS_130),
+	.D(n_70064),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [10]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [10]),
+	.SCE(n_29912),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[11]  (
+	.CLK(CTS_130),
+	.D(n_69280),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [11]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [11]),
+	.SCE(n_29912),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[12]  (
+	.CLK(CTS_130),
+	.D(n_74612),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [12]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [12]),
+	.SCE(n_29912),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[13]  (
+	.CLK(CTS_130),
+	.D(n_72176),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [13]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [13]),
+	.SCE(n_29912),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[14]  (
+	.CLK(CTS_130),
+	.D(\soc_top_xbar_to_timer[a_data] [14]),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [14]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [14]),
+	.SCE(n_29912),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[15]  (
+	.CLK(CTS_130),
+	.D(n_70012),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [15]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [15]),
+	.SCE(n_29912),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[16]  (
+	.CLK(CTS_126),
+	.D(n_93141),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [16]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [16]),
+	.SCE(n_29912),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[17]  (
+	.CLK(CTS_126),
+	.D(n_72527),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [17]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [17]),
+	.SCE(n_29912),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[18]  (
+	.CLK(CTS_126),
+	.D(n_72578),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [18]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [18]),
+	.SCE(n_29912),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[19]  (
+	.CLK(CTS_126),
+	.D(n_84182),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [19]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [19]),
+	.SCE(n_29912),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[20]  (
+	.CLK(CTS_118),
+	.D(\soc_top_xbar_to_timer[a_data] [20]),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [20]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [20]),
+	.SCE(n_29912),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[21]  (
+	.CLK(CTS_118),
+	.D(\soc_top_xbar_to_timer[a_data] [21]),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [21]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [21]),
+	.SCE(n_29912),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[22]  (
+	.CLK(CTS_132),
+	.D(n_72887),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [22]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [22]),
+	.SCE(n_29912),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[23]  (
+	.CLK(CTS_118),
+	.D(n_74743),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [23]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [23]),
+	.SCE(n_29912),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[24]  (
+	.CLK(CTS_131),
+	.D(n_68334),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [24]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [24]),
+	.SCE(n_29912),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[25]  (
+	.CLK(CTS_131),
+	.D(n_68259),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [25]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [25]),
+	.SCE(n_29912),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[26]  (
+	.CLK(CTS_131),
+	.D(n_68466),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [26]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [26]),
+	.SCE(n_29912),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[27]  (
+	.CLK(CTS_131),
+	.D(\soc_top_xbar_to_timer[a_data] [27]),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [27]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [27]),
+	.SCE(n_29912),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[28]  (
+	.CLK(CTS_132),
+	.D(\soc_top_xbar_to_timer[a_data] [28]),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [28]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [28]),
+	.SCE(n_29912),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[29]  (
+	.CLK(CTS_132),
+	.D(\soc_top_xbar_to_timer[a_data] [29]),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [29]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [29]),
+	.SCE(n_29912),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[30]  (
+	.CLK(CTS_138),
+	.D(\soc_top_xbar_to_timer[a_data] [30]),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [30]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [30]),
+	.SCE(n_29912),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[31]  (
+	.CLK(CTS_138),
+	.D(n_68268),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [31]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [31]),
+	.SCE(n_29912),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_ctrl_q_reg[0]  (
+	.CLK(CTS_152),
+	.D(\soc_top_timer0_reg2hw[ctrl][0][q] ),
+	.Q(\soc_top_timer0_reg2hw[ctrl][0][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_84419),
+	.SCE(n_4296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_intr_enable0_q_reg[0]  (
+	.CLK(CTS_118),
+	.D(\soc_top_timer0_reg2hw[intr_enable0][0][q] ),
+	.Q(\soc_top_timer0_reg2hw[intr_enable0][0][q] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_84419),
+	.SCE(n_6021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_intr_state0_q_reg[0]  (
+	.CLK(CTS_118),
+	.D(n_10676),
+	.Q(\soc_top_timer0_reg2hw[intr_state0][0][q] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 soc_top_timer0_u_reg_u_reg_if_error_reg (
+	.CLK(CTS_152),
+	.D(n_9990),
+	.Q(\soc_top_timer_to_xbar[d_error] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_timer_to_xbar[d_error] ),
+	.SCE(n_2129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 soc_top_timer0_u_reg_u_reg_if_outstanding_reg (
+	.CLK(CTS_152),
+	.D(n_2128),
+	.Q(\soc_top_timer_to_xbar[d_valid] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_timer_to_xbar[d_valid] ),
+	.SCE(n_2711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[0]  (
+	.CLK(CTS_118),
+	.D(n_8857),
+	.Q(\soc_top_timer_to_xbar[d_data] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[1]  (
+	.CLK(CTS_128),
+	.D(n_7369),
+	.Q(\soc_top_timer_to_xbar[d_data] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[2]  (
+	.CLK(CTS_128),
+	.D(n_7370),
+	.Q(\soc_top_timer_to_xbar[d_data] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[3]  (
+	.CLK(CTS_128),
+	.D(n_7371),
+	.Q(\soc_top_timer_to_xbar[d_data] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[4]  (
+	.CLK(CTS_128),
+	.D(n_7372),
+	.Q(\soc_top_timer_to_xbar[d_data] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[5]  (
+	.CLK(CTS_128),
+	.D(n_7373),
+	.Q(\soc_top_timer_to_xbar[d_data] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[6]  (
+	.CLK(CTS_128),
+	.D(n_7374),
+	.Q(\soc_top_timer_to_xbar[d_data] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[7]  (
+	.CLK(CTS_128),
+	.D(n_7375),
+	.Q(\soc_top_timer_to_xbar[d_data] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[8]  (
+	.CLK(CTS_128),
+	.D(n_7376),
+	.Q(\soc_top_timer_to_xbar[d_data] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[9]  (
+	.CLK(CTS_130),
+	.D(n_7377),
+	.Q(\soc_top_timer_to_xbar[d_data] [9]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[10]  (
+	.CLK(CTS_130),
+	.D(n_7378),
+	.Q(\soc_top_timer_to_xbar[d_data] [10]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[11]  (
+	.CLK(CTS_128),
+	.D(n_7379),
+	.Q(\soc_top_timer_to_xbar[d_data] [11]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[12]  (
+	.CLK(CTS_130),
+	.D(n_7325),
+	.Q(\soc_top_timer_to_xbar[d_data] [12]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[13]  (
+	.CLK(CTS_130),
+	.D(n_7326),
+	.Q(\soc_top_timer_to_xbar[d_data] [13]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[14]  (
+	.CLK(CTS_130),
+	.D(n_7327),
+	.Q(\soc_top_timer_to_xbar[d_data] [14]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[15]  (
+	.CLK(CTS_130),
+	.D(n_7313),
+	.Q(\soc_top_timer_to_xbar[d_data] [15]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[16]  (
+	.CLK(CTS_126),
+	.D(n_7351),
+	.Q(\soc_top_timer_to_xbar[d_data] [16]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[17]  (
+	.CLK(CTS_118),
+	.D(n_7352),
+	.Q(\soc_top_timer_to_xbar[d_data] [17]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[18]  (
+	.CLK(CTS_118),
+	.D(n_7353),
+	.Q(\soc_top_timer_to_xbar[d_data] [18]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[19]  (
+	.CLK(CTS_118),
+	.D(n_7354),
+	.Q(\soc_top_timer_to_xbar[d_data] [19]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[20]  (
+	.CLK(CTS_118),
+	.D(n_7356),
+	.Q(\soc_top_timer_to_xbar[d_data] [20]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[21]  (
+	.CLK(CTS_118),
+	.D(n_7355),
+	.Q(\soc_top_timer_to_xbar[d_data] [21]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[22]  (
+	.CLK(CTS_118),
+	.D(n_7357),
+	.Q(\soc_top_timer_to_xbar[d_data] [22]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[23]  (
+	.CLK(CTS_118),
+	.D(n_7358),
+	.Q(\soc_top_timer_to_xbar[d_data] [23]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[24]  (
+	.CLK(CTS_118),
+	.D(n_7314),
+	.Q(\soc_top_timer_to_xbar[d_data] [24]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[25]  (
+	.CLK(CTS_131),
+	.D(n_7316),
+	.Q(\soc_top_timer_to_xbar[d_data] [25]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[26]  (
+	.CLK(CTS_131),
+	.D(n_7315),
+	.Q(\soc_top_timer_to_xbar[d_data] [26]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[27]  (
+	.CLK(CTS_118),
+	.D(n_7317),
+	.Q(\soc_top_timer_to_xbar[d_data] [27]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[28]  (
+	.CLK(CTS_132),
+	.D(n_7318),
+	.Q(\soc_top_timer_to_xbar[d_data] [28]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[29]  (
+	.CLK(CTS_132),
+	.D(n_7319),
+	.Q(\soc_top_timer_to_xbar[d_data] [29]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[30]  (
+	.CLK(CTS_132),
+	.D(n_7320),
+	.Q(\soc_top_timer_to_xbar[d_data] [30]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[31]  (
+	.CLK(CTS_131),
+	.D(n_7321),
+	.Q(\soc_top_timer_to_xbar[d_data] [31]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rspop_reg[0]  (
+	.CLK(CTS_152),
+	.D(n_24),
+	.Q(\soc_top_timer_to_xbar[d_opcode] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_timer_to_xbar[d_opcode] [0]),
+	.SCE(n_2129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[0]  (
+	.CLK(CTS_118),
+	.D(n_10596),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[3]  (
+	.CLK(CTS_118),
+	.D(n_10606),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[4]  (
+	.CLK(CTS_128),
+	.D(n_10600),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[5]  (
+	.CLK(CTS_126),
+	.D(n_10614),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[6]  (
+	.CLK(CTS_128),
+	.D(n_10639),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[7]  (
+	.CLK(CTS_128),
+	.D(n_10640),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[8]  (
+	.CLK(CTS_130),
+	.D(n_10626),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[10]  (
+	.CLK(CTS_130),
+	.D(n_10624),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [10]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[11]  (
+	.CLK(CTS_130),
+	.D(n_10623),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [11]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[12]  (
+	.CLK(CTS_130),
+	.D(n_10638),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [12]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[13]  (
+	.CLK(CTS_126),
+	.D(n_10637),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [13]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[14]  (
+	.CLK(CTS_126),
+	.D(n_10636),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [14]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[15]  (
+	.CLK(CTS_126),
+	.D(n_10635),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [15]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[16]  (
+	.CLK(CTS_126),
+	.D(n_10648),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [16]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[17]  (
+	.CLK(CTS_126),
+	.D(n_10646),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [17]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[18]  (
+	.CLK(CTS_126),
+	.D(n_10647),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [18]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[19]  (
+	.CLK(CTS_126),
+	.D(n_10645),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [19]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[20]  (
+	.CLK(CTS_132),
+	.D(n_10643),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [20]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[21]  (
+	.CLK(CTS_132),
+	.D(n_10644),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [21]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[22]  (
+	.CLK(CTS_126),
+	.D(n_10642),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [22]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[23]  (
+	.CLK(CTS_132),
+	.D(n_10641),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [23]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[24]  (
+	.CLK(CTS_132),
+	.D(n_10656),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [24]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[25]  (
+	.CLK(CTS_132),
+	.D(n_10655),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [25]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[26]  (
+	.CLK(CTS_132),
+	.D(n_10654),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [26]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[27]  (
+	.CLK(CTS_132),
+	.D(n_10653),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [27]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[28]  (
+	.CLK(CTS_132),
+	.D(n_10652),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [28]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[29]  (
+	.CLK(CTS_132),
+	.D(n_10651),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [29]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[31]  (
+	.CLK(CTS_132),
+	.D(n_10649),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [31]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[0]  (
+	.CLK(CTS_126),
+	.D(n_10591),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[1]  (
+	.CLK(CTS_130),
+	.D(n_10592),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[2]  (
+	.CLK(CTS_126),
+	.D(n_10595),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[3]  (
+	.CLK(CTS_126),
+	.D(n_10597),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[4]  (
+	.CLK(CTS_130),
+	.D(n_10594),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[6]  (
+	.CLK(CTS_130),
+	.D(n_10613),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[8]  (
+	.CLK(CTS_130),
+	.D(n_10605),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[9]  (
+	.CLK(CTS_130),
+	.D(n_10603),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [9]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[11]  (
+	.CLK(CTS_130),
+	.D(n_10602),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [11]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[12]  (
+	.CLK(CTS_130),
+	.D(n_10611),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [12]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[14]  (
+	.CLK(CTS_130),
+	.D(n_10608),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [14]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[15]  (
+	.CLK(CTS_130),
+	.D(n_10609),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [15]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[16]  (
+	.CLK(CTS_126),
+	.D(n_10622),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [16]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[17]  (
+	.CLK(CTS_126),
+	.D(n_10620),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [17]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[18]  (
+	.CLK(CTS_126),
+	.D(n_10621),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [18]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[20]  (
+	.CLK(CTS_132),
+	.D(n_10619),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [20]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[21]  (
+	.CLK(CTS_132),
+	.D(n_10617),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [21]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[23]  (
+	.CLK(CTS_132),
+	.D(n_10615),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [23]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[24]  (
+	.CLK(CTS_123),
+	.D(n_10634),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [24]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[25]  (
+	.CLK(CTS_132),
+	.D(n_10633),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [25]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[26]  (
+	.CLK(CTS_132),
+	.D(n_10632),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [26]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[27]  (
+	.CLK(CTS_132),
+	.D(n_10631),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [27]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[28]  (
+	.CLK(CTS_132),
+	.D(n_10630),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [28]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[29]  (
+	.CLK(CTS_132),
+	.D(n_10629),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [29]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[31]  (
+	.CLK(CTS_132),
+	.D(n_10627),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [31]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_u_dccm_bank_sel_reg[0]  (
+	.CLK_N(CTS_22),
+	.D(soc_top_data_addr[8]),
+	.Q(soc_top_u_dccm_bank_sel[0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_u_dccm_bank_sel_reg[1]  (
+	.CLK_N(CTS_22),
+	.D(soc_top_data_addr[9]),
+	.Q(soc_top_u_dccm_bank_sel[1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_u_iccm_bank_sel_reg[0]  (
+	.CLK_N(CTS_77),
+	.D(soc_top_instr_addr[8]),
+	.Q(soc_top_u_iccm_bank_sel[0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtn_1 \soc_top_u_iccm_bank_sel_reg[1]  (
+	.CLK_N(CTS_77),
+	.D(soc_top_instr_addr[9]),
+	.Q(soc_top_u_iccm_bank_sel[1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[0]  (
+	.CLK(CTS_117),
+	.D(n_8936),
+	.Q(soc_top_u_pwm_pwm_core_DC_1[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[1]  (
+	.CLK(CTS_117),
+	.D(n_8937),
+	.Q(soc_top_u_pwm_pwm_core_DC_1[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[2]  (
+	.CLK(CTS_130),
+	.D(n_8939),
+	.Q(soc_top_u_pwm_pwm_core_DC_1[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[3]  (
+	.CLK(CTS_117),
+	.D(n_8941),
+	.Q(soc_top_u_pwm_pwm_core_DC_1[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[4]  (
+	.CLK(CTS_117),
+	.D(n_8942),
+	.Q(soc_top_u_pwm_pwm_core_DC_1[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[6]  (
+	.CLK(CTS_117),
+	.D(n_8945),
+	.Q(soc_top_u_pwm_pwm_core_DC_1[6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[7]  (
+	.CLK(CTS_117),
+	.D(n_8951),
+	.Q(soc_top_u_pwm_pwm_core_DC_1[7]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[8]  (
+	.CLK(CTS_117),
+	.D(n_93132),
+	.Q(soc_top_u_pwm_pwm_core_DC_1[8]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[9]  (
+	.CLK(CTS_117),
+	.D(n_8956),
+	.Q(soc_top_u_pwm_pwm_core_DC_1[9]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[10]  (
+	.CLK(CTS_117),
+	.D(n_8958),
+	.Q(soc_top_u_pwm_pwm_core_DC_1[10]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[11]  (
+	.CLK(CTS_117),
+	.D(n_8972),
+	.Q(soc_top_u_pwm_pwm_core_DC_1[11]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[12]  (
+	.CLK(CTS_117),
+	.D(n_8973),
+	.Q(soc_top_u_pwm_pwm_core_DC_1[12]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[13]  (
+	.CLK(CTS_117),
+	.D(n_8974),
+	.Q(soc_top_u_pwm_pwm_core_DC_1[13]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[14]  (
+	.CLK(CTS_117),
+	.D(n_8975),
+	.Q(soc_top_u_pwm_pwm_core_DC_1[14]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[15]  (
+	.CLK(CTS_119),
+	.D(n_8980),
+	.Q(soc_top_u_pwm_pwm_core_DC_1[15]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[0]  (
+	.CLK(CTS_132),
+	.D(n_8981),
+	.Q(soc_top_u_pwm_pwm_core_DC_2[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[1]  (
+	.CLK(CTS_132),
+	.D(n_8982),
+	.Q(soc_top_u_pwm_pwm_core_DC_2[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[2]  (
+	.CLK(CTS_126),
+	.D(n_8983),
+	.Q(soc_top_u_pwm_pwm_core_DC_2[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[3]  (
+	.CLK(CTS_126),
+	.D(n_8984),
+	.Q(soc_top_u_pwm_pwm_core_DC_2[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[4]  (
+	.CLK(CTS_126),
+	.D(n_8985),
+	.Q(soc_top_u_pwm_pwm_core_DC_2[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[5]  (
+	.CLK(CTS_126),
+	.D(n_8986),
+	.Q(soc_top_u_pwm_pwm_core_DC_2[5]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[6]  (
+	.CLK(CTS_126),
+	.D(n_8987),
+	.Q(soc_top_u_pwm_pwm_core_DC_2[6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[7]  (
+	.CLK(CTS_126),
+	.D(n_8988),
+	.Q(soc_top_u_pwm_pwm_core_DC_2[7]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[8]  (
+	.CLK(CTS_126),
+	.D(n_8989),
+	.Q(soc_top_u_pwm_pwm_core_DC_2[8]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[9]  (
+	.CLK(CTS_132),
+	.D(n_8990),
+	.Q(soc_top_u_pwm_pwm_core_DC_2[9]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[10]  (
+	.CLK(CTS_132),
+	.D(n_8991),
+	.Q(soc_top_u_pwm_pwm_core_DC_2[10]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[11]  (
+	.CLK(CTS_132),
+	.D(n_8992),
+	.Q(soc_top_u_pwm_pwm_core_DC_2[11]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[12]  (
+	.CLK(CTS_124),
+	.D(n_8993),
+	.Q(soc_top_u_pwm_pwm_core_DC_2[12]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[13]  (
+	.CLK(CTS_124),
+	.D(n_8994),
+	.Q(soc_top_u_pwm_pwm_core_DC_2[13]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[14]  (
+	.CLK(CTS_124),
+	.D(n_8995),
+	.Q(soc_top_u_pwm_pwm_core_DC_2[14]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[15]  (
+	.CLK(CTS_124),
+	.D(n_8996),
+	.Q(soc_top_u_pwm_pwm_core_DC_2[15]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 soc_top_u_pwm_pwm_core_clock_p1_reg (
+	.CLK(CTS_117),
+	.D(n_27),
+	.Q(soc_top_u_pwm_pwm_core_clock_p1),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_pwm_pwm_core_clock_p1),
+	.SCE(n_11490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 soc_top_u_pwm_pwm_core_clock_p2_reg (
+	.CLK(CTS_124),
+	.D(n_591),
+	.Q(soc_top_u_pwm_pwm_core_clock_p2),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_pwm_pwm_core_clock_p2),
+	.SCE(n_11594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[0]  (
+	.CLK(CTS_119),
+	.D(n_11503),
+	.Q(soc_top_u_pwm_pwm_core_counter_p1[0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_594),
+	.SCE(soc_top_u_pwm_pwm_core_counter_p1[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[3]  (
+	.CLK(CTS_119),
+	.D(n_11682),
+	.Q(soc_top_u_pwm_pwm_core_counter_p1[3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[5]  (
+	.CLK(CTS_124),
+	.D(n_11681),
+	.Q(soc_top_u_pwm_pwm_core_counter_p1[5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[7]  (
+	.CLK(CTS_124),
+	.D(n_11680),
+	.Q(soc_top_u_pwm_pwm_core_counter_p1[7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[9]  (
+	.CLK(CTS_119),
+	.D(n_11679),
+	.Q(soc_top_u_pwm_pwm_core_counter_p1[9]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[12]  (
+	.CLK(CTS_119),
+	.D(n_11724),
+	.Q(soc_top_u_pwm_pwm_core_counter_p1[12]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[13]  (
+	.CLK(CTS_119),
+	.D(n_11662),
+	.Q(soc_top_u_pwm_pwm_core_counter_p1[13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_11661),
+	.SCE(soc_top_u_pwm_pwm_core_counter_p1[13]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[0]  (
+	.CLK(CTS_124),
+	.D(n_11601),
+	.Q(soc_top_u_pwm_pwm_core_counter_p2[0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_593),
+	.SCE(soc_top_u_pwm_pwm_core_counter_p2[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[3]  (
+	.CLK(CTS_124),
+	.D(n_11723),
+	.Q(soc_top_u_pwm_pwm_core_counter_p2[3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[5]  (
+	.CLK(CTS_122),
+	.D(n_11722),
+	.Q(soc_top_u_pwm_pwm_core_counter_p2[5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[7]  (
+	.CLK(CTS_125),
+	.D(n_11721),
+	.Q(soc_top_u_pwm_pwm_core_counter_p2[7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[9]  (
+	.CLK(CTS_125),
+	.D(n_11734),
+	.Q(soc_top_u_pwm_pwm_core_counter_p2[9]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[12]  (
+	.CLK(CTS_124),
+	.D(n_11751),
+	.Q(soc_top_u_pwm_pwm_core_counter_p2[12]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[13]  (
+	.CLK(CTS_124),
+	.D(n_11705),
+	.Q(soc_top_u_pwm_pwm_core_counter_p2[13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_11703),
+	.SCE(soc_top_u_pwm_pwm_core_counter_p2[13]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_ctrl_2_reg[0]  (
+	.CLK(CTS_126),
+	.D(n_9002),
+	.Q(soc_top_u_pwm_pwm_core_ctrl_2[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_ctrl_2_reg[2]  (
+	.CLK(CTS_126),
+	.D(n_9003),
+	.Q(soc_top_u_pwm_pwm_core_ctrl_2[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_ctrl_reg[0]  (
+	.CLK(CTS_130),
+	.D(n_9004),
+	.Q(soc_top_u_pwm_pwm_core_ctrl[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_ctrl_reg[2]  (
+	.CLK(CTS_130),
+	.D(n_9006),
+	.Q(soc_top_u_pwm_pwm_core_ctrl[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[0]  (
+	.CLK(CTS_124),
+	.D(n_9007),
+	.Q(soc_top_u_pwm_pwm_core_divisor_2[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[1]  (
+	.CLK(CTS_124),
+	.D(n_9008),
+	.Q(soc_top_u_pwm_pwm_core_divisor_2[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[2]  (
+	.CLK(CTS_124),
+	.D(n_9009),
+	.Q(soc_top_u_pwm_pwm_core_divisor_2[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[4]  (
+	.CLK(CTS_124),
+	.D(n_9011),
+	.Q(soc_top_u_pwm_pwm_core_divisor_2[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[6]  (
+	.CLK(CTS_124),
+	.D(n_9013),
+	.Q(soc_top_u_pwm_pwm_core_divisor_2[6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[8]  (
+	.CLK(CTS_124),
+	.D(n_93133),
+	.Q(soc_top_u_pwm_pwm_core_divisor_2[8]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[10]  (
+	.CLK(CTS_124),
+	.D(n_9017),
+	.Q(soc_top_u_pwm_pwm_core_divisor_2[10]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[11]  (
+	.CLK(CTS_124),
+	.D(n_9018),
+	.Q(soc_top_u_pwm_pwm_core_divisor_2[11]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[14]  (
+	.CLK(CTS_124),
+	.D(n_9021),
+	.Q(soc_top_u_pwm_pwm_core_divisor_2[14]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[15]  (
+	.CLK(CTS_124),
+	.D(n_9022),
+	.Q(soc_top_u_pwm_pwm_core_divisor_2[15]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_reg[0]  (
+	.CLK(CTS_124),
+	.D(n_9024),
+	.Q(soc_top_u_pwm_pwm_core_divisor[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_reg[1]  (
+	.CLK(CTS_119),
+	.D(n_9023),
+	.Q(soc_top_u_pwm_pwm_core_divisor[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_reg[2]  (
+	.CLK(CTS_124),
+	.D(n_9025),
+	.Q(soc_top_u_pwm_pwm_core_divisor[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_reg[4]  (
+	.CLK(CTS_119),
+	.D(n_9026),
+	.Q(soc_top_u_pwm_pwm_core_divisor[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_reg[6]  (
+	.CLK(CTS_124),
+	.D(n_9029),
+	.Q(soc_top_u_pwm_pwm_core_divisor[6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_reg[8]  (
+	.CLK(CTS_124),
+	.D(n_9030),
+	.Q(soc_top_u_pwm_pwm_core_divisor[8]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_reg[10]  (
+	.CLK(CTS_126),
+	.D(n_9032),
+	.Q(soc_top_u_pwm_pwm_core_divisor[10]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_reg[11]  (
+	.CLK(CTS_117),
+	.D(n_9035),
+	.Q(soc_top_u_pwm_pwm_core_divisor[11]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_reg[14]  (
+	.CLK(CTS_117),
+	.D(n_9037),
+	.Q(soc_top_u_pwm_pwm_core_divisor[14]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_reg[15]  (
+	.CLK(CTS_117),
+	.D(n_9038),
+	.Q(soc_top_u_pwm_pwm_core_divisor[15]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 soc_top_u_pwm_pwm_core_oe_pwm1_reg (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
+	.D(n_2029),
+	.Q(pwm1_oe),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 soc_top_u_pwm_pwm_core_oe_pwm2_reg (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
+	.D(n_2030),
+	.Q(pwm2_oe),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[0]  (
+	.CLK(CTS_126),
+	.D(n_9039),
+	.Q(soc_top_u_pwm_pwm_core_period_2[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[1]  (
+	.CLK(CTS_126),
+	.D(n_9040),
+	.Q(soc_top_u_pwm_pwm_core_period_2[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[2]  (
+	.CLK(CTS_126),
+	.D(n_9041),
+	.Q(soc_top_u_pwm_pwm_core_period_2[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[3]  (
+	.CLK(CTS_126),
+	.D(n_9042),
+	.Q(soc_top_u_pwm_pwm_core_period_2[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[4]  (
+	.CLK(CTS_126),
+	.D(n_9047),
+	.Q(soc_top_u_pwm_pwm_core_period_2[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[5]  (
+	.CLK(CTS_126),
+	.D(n_9044),
+	.Q(soc_top_u_pwm_pwm_core_period_2[5]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[6]  (
+	.CLK(CTS_126),
+	.D(n_9043),
+	.Q(soc_top_u_pwm_pwm_core_period_2[6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[7]  (
+	.CLK(CTS_126),
+	.D(n_9045),
+	.Q(soc_top_u_pwm_pwm_core_period_2[7]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[8]  (
+	.CLK(CTS_126),
+	.D(n_9046),
+	.Q(soc_top_u_pwm_pwm_core_period_2[8]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[9]  (
+	.CLK(CTS_126),
+	.D(n_9048),
+	.Q(soc_top_u_pwm_pwm_core_period_2[9]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[10]  (
+	.CLK(CTS_124),
+	.D(n_9049),
+	.Q(soc_top_u_pwm_pwm_core_period_2[10]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[11]  (
+	.CLK(CTS_126),
+	.D(n_9050),
+	.Q(soc_top_u_pwm_pwm_core_period_2[11]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[12]  (
+	.CLK(CTS_124),
+	.D(n_9051),
+	.Q(soc_top_u_pwm_pwm_core_period_2[12]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[13]  (
+	.CLK(CTS_124),
+	.D(n_9052),
+	.Q(soc_top_u_pwm_pwm_core_period_2[13]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[14]  (
+	.CLK(CTS_124),
+	.D(n_9053),
+	.Q(soc_top_u_pwm_pwm_core_period_2[14]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[15]  (
+	.CLK(CTS_124),
+	.D(n_9054),
+	.Q(soc_top_u_pwm_pwm_core_period_2[15]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[0]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
+	.D(n_11800),
+	.Q(soc_top_u_pwm_pwm_core_period_counter1[0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_1254),
+	.SCE(soc_top_u_pwm_pwm_core_period_counter1[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[1]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
+	.D(n_11823),
+	.Q(soc_top_u_pwm_pwm_core_period_counter1[1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_11819),
+	.SCE(soc_top_u_pwm_pwm_core_period_counter1[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[6]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
+	.D(n_11826),
+	.Q(soc_top_u_pwm_pwm_core_period_counter1[6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_11809),
+	.SCE(soc_top_u_pwm_pwm_core_period_counter1[6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[8]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
+	.D(n_11836),
+	.Q(soc_top_u_pwm_pwm_core_period_counter1[8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_11815),
+	.SCE(soc_top_u_pwm_pwm_core_period_counter1[8]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[9]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
+	.D(n_11828),
+	.Q(soc_top_u_pwm_pwm_core_period_counter1[9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_11807),
+	.SCE(soc_top_u_pwm_pwm_core_period_counter1[9]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[10]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
+	.D(n_11838),
+	.Q(soc_top_u_pwm_pwm_core_period_counter1[10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_11825),
+	.SCE(soc_top_u_pwm_pwm_core_period_counter1[10]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[12]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
+	.D(n_11854),
+	.Q(soc_top_u_pwm_pwm_core_period_counter1[12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_11837),
+	.SCE(soc_top_u_pwm_pwm_core_period_counter1[12]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[14]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
+	.D(n_11859),
+	.Q(soc_top_u_pwm_pwm_core_period_counter1[14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_11847),
+	.SCE(soc_top_u_pwm_pwm_core_period_counter1[14]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[0]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
+	.D(n_11762),
+	.Q(soc_top_u_pwm_pwm_core_period_counter2[0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_1259),
+	.SCE(soc_top_u_pwm_pwm_core_period_counter2[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[2]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
+	.D(n_11785),
+	.Q(soc_top_u_pwm_pwm_core_period_counter2[2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_11776),
+	.SCE(soc_top_u_pwm_pwm_core_period_counter2[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[6]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
+	.D(n_11783),
+	.Q(soc_top_u_pwm_pwm_core_period_counter2[6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_11778),
+	.SCE(soc_top_u_pwm_pwm_core_period_counter2[6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[8]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
+	.D(n_11795),
+	.Q(soc_top_u_pwm_pwm_core_period_counter2[8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_11790),
+	.SCE(soc_top_u_pwm_pwm_core_period_counter2[8]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[10]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
+	.D(n_11782),
+	.Q(soc_top_u_pwm_pwm_core_period_counter2[10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_11779),
+	.SCE(soc_top_u_pwm_pwm_core_period_counter2[10]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[12]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
+	.D(n_11801),
+	.Q(soc_top_u_pwm_pwm_core_period_counter2[12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_11793),
+	.SCE(soc_top_u_pwm_pwm_core_period_counter2[12]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[14]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
+	.D(n_11827),
+	.Q(soc_top_u_pwm_pwm_core_period_counter2[14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_11814),
+	.SCE(soc_top_u_pwm_pwm_core_period_counter2[14]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_reg[0]  (
+	.CLK(CTS_126),
+	.D(n_9055),
+	.Q(soc_top_u_pwm_pwm_core_period[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_reg[1]  (
+	.CLK(CTS_126),
+	.D(n_9062),
+	.Q(soc_top_u_pwm_pwm_core_period[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_reg[2]  (
+	.CLK(CTS_126),
+	.D(n_9058),
+	.Q(soc_top_u_pwm_pwm_core_period[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_reg[3]  (
+	.CLK(CTS_126),
+	.D(n_9056),
+	.Q(soc_top_u_pwm_pwm_core_period[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_reg[4]  (
+	.CLK(CTS_126),
+	.D(n_9057),
+	.Q(soc_top_u_pwm_pwm_core_period[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_reg[5]  (
+	.CLK(CTS_117),
+	.D(n_9059),
+	.Q(soc_top_u_pwm_pwm_core_period[5]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_reg[6]  (
+	.CLK(CTS_126),
+	.D(n_9060),
+	.Q(soc_top_u_pwm_pwm_core_period[6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_reg[7]  (
+	.CLK(CTS_117),
+	.D(n_9061),
+	.Q(soc_top_u_pwm_pwm_core_period[7]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_reg[8]  (
+	.CLK(CTS_117),
+	.D(n_9063),
+	.Q(soc_top_u_pwm_pwm_core_period[8]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_reg[10]  (
+	.CLK(CTS_117),
+	.D(n_9065),
+	.Q(soc_top_u_pwm_pwm_core_period[10]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_reg[11]  (
+	.CLK(CTS_117),
+	.D(n_9066),
+	.Q(soc_top_u_pwm_pwm_core_period[11]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_reg[12]  (
+	.CLK(CTS_117),
+	.D(n_9067),
+	.Q(soc_top_u_pwm_pwm_core_period[12]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_reg[13]  (
+	.CLK(CTS_117),
+	.D(n_9068),
+	.Q(soc_top_u_pwm_pwm_core_period[13]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_reg[14]  (
+	.CLK(CTS_117),
+	.D(n_9069),
+	.Q(soc_top_u_pwm_pwm_core_period[14]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_reg[15]  (
+	.CLK(CTS_117),
+	.D(n_9070),
+	.Q(soc_top_u_pwm_pwm_core_period[15]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 soc_top_u_pwm_pwm_core_pts_2_reg (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
+	.D(n_11597),
+	.Q(soc_top_u_pwm_pwm_core_pts_2),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_pwm_pwm_core_pts_2),
+	.SCE(n_1259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 soc_top_u_pwm_pwm_core_pts_reg (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
+	.D(n_11752),
+	.Q(soc_top_u_pwm_pwm_core_pts),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_pwm_pwm_core_pts),
+	.SCE(n_1254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 soc_top_u_pwm_u_reg_if_outstanding_reg (
+	.CLK(CTS_152),
+	.D(n_2591),
+	.Q(\soc_top_pwm_to_xbar[d_valid] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_pwm_to_xbar[d_valid] ),
+	.SCE(n_3393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[0]  (
+	.CLK(CTS_126),
+	.D(n_8487),
+	.Q(\soc_top_pwm_to_xbar[d_data] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[1]  (
+	.CLK(CTS_126),
+	.D(n_8488),
+	.Q(\soc_top_pwm_to_xbar[d_data] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[2]  (
+	.CLK(CTS_126),
+	.D(n_8341),
+	.Q(\soc_top_pwm_to_xbar[d_data] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[3]  (
+	.CLK(CTS_126),
+	.D(n_8467),
+	.Q(\soc_top_pwm_to_xbar[d_data] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[4]  (
+	.CLK(CTS_126),
+	.D(n_8466),
+	.Q(\soc_top_pwm_to_xbar[d_data] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[5]  (
+	.CLK(CTS_126),
+	.D(n_8468),
+	.Q(\soc_top_pwm_to_xbar[d_data] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[6]  (
+	.CLK(CTS_126),
+	.D(n_8473),
+	.Q(\soc_top_pwm_to_xbar[d_data] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[7]  (
+	.CLK(CTS_126),
+	.D(n_8469),
+	.Q(\soc_top_pwm_to_xbar[d_data] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[8]  (
+	.CLK(CTS_126),
+	.D(n_8474),
+	.Q(\soc_top_pwm_to_xbar[d_data] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[9]  (
+	.CLK(CTS_126),
+	.D(n_8475),
+	.Q(\soc_top_pwm_to_xbar[d_data] [9]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[10]  (
+	.CLK(CTS_126),
+	.D(n_8476),
+	.Q(\soc_top_pwm_to_xbar[d_data] [10]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[11]  (
+	.CLK(CTS_126),
+	.D(n_8477),
+	.Q(\soc_top_pwm_to_xbar[d_data] [11]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[12]  (
+	.CLK(CTS_119),
+	.D(n_8478),
+	.Q(\soc_top_pwm_to_xbar[d_data] [12]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[13]  (
+	.CLK(CTS_126),
+	.D(n_8479),
+	.Q(\soc_top_pwm_to_xbar[d_data] [13]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[14]  (
+	.CLK(CTS_117),
+	.D(n_8480),
+	.Q(\soc_top_pwm_to_xbar[d_data] [14]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[15]  (
+	.CLK(CTS_117),
+	.D(n_8481),
+	.Q(\soc_top_pwm_to_xbar[d_data] [15]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_pwm_u_reg_if_rspop_reg[0]  (
+	.CLK(CTS_152),
+	.D(n_24),
+	.Q(\soc_top_pwm_to_xbar[d_opcode] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_pwm_to_xbar[d_opcode] [0]),
+	.SCE(n_2592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 soc_top_u_spi_host_spi_host_clgen_clk_out_reg (
+	.CLK(CTS_152),
+	.D(n_4648),
+	.Q(io_out[4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_3988),
+	.SCE(io_out[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[0]  (
+	.CLK(CTS_152),
+	.D(n_157),
+	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[0]),
+	.SCD(soc_top_u_spi_host_spi_host_divider[0]),
+	.SCE(n_3848),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[1]  (
+	.CLK(CTS_152),
+	.D(n_1833),
+	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[1]),
+	.SCD(soc_top_u_spi_host_spi_host_divider[1]),
+	.SCE(n_3848),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[2]  (
+	.CLK(CTS_152),
+	.D(n_3709),
+	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[2]),
+	.SCD(soc_top_u_spi_host_spi_host_divider[2]),
+	.SCE(n_3848),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[3]  (
+	.CLK(CTS_152),
+	.D(n_7456),
+	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[3]),
+	.SCD(soc_top_u_spi_host_spi_host_divider[3]),
+	.SCE(n_3848),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[4]  (
+	.CLK(CTS_152),
+	.D(n_9466),
+	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[4]),
+	.SCD(soc_top_u_spi_host_spi_host_divider[4]),
+	.SCE(n_3848),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[5]  (
+	.CLK(CTS_152),
+	.D(n_10173),
+	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[5]),
+	.SCD(soc_top_u_spi_host_spi_host_divider[5]),
+	.SCE(n_3848),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[6]  (
+	.CLK(CTS_152),
+	.D(n_10845),
+	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[6]),
+	.SCD(soc_top_u_spi_host_spi_host_divider[6]),
+	.SCE(n_3848),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[7]  (
+	.CLK(CTS_152),
+	.D(n_11480),
+	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[7]),
+	.SCD(soc_top_u_spi_host_spi_host_divider[7]),
+	.SCE(n_3848),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[8]  (
+	.CLK(CTS_152),
+	.D(n_9638),
+	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[8]),
+	.SCD(soc_top_u_spi_host_spi_host_divider[8]),
+	.SCE(n_3848),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[9]  (
+	.CLK(CTS_152),
+	.D(n_10399),
+	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[9]),
+	.SCD(soc_top_u_spi_host_spi_host_divider[9]),
+	.SCE(n_3848),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[10]  (
+	.CLK(CTS_152),
+	.D(n_11000),
+	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[10]),
+	.SCD(soc_top_u_spi_host_spi_host_divider[10]),
+	.SCE(n_3848),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[11]  (
+	.CLK(CTS_152),
+	.D(n_11565),
+	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[11]),
+	.SCD(soc_top_u_spi_host_spi_host_divider[11]),
+	.SCE(n_3848),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[12]  (
+	.CLK(CTS_128),
+	.D(n_10007),
+	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[12]),
+	.SCD(soc_top_u_spi_host_spi_host_divider[12]),
+	.SCE(n_3848),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[13]  (
+	.CLK(CTS_152),
+	.D(n_10593),
+	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[13]),
+	.SCD(soc_top_u_spi_host_spi_host_divider[13]),
+	.SCE(n_3848),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[14]  (
+	.CLK(CTS_128),
+	.D(n_11359),
+	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[14]),
+	.SCD(soc_top_u_spi_host_spi_host_divider[14]),
+	.SCE(n_3848),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[15]  (
+	.CLK(CTS_128),
+	.D(n_11658),
+	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[15]),
+	.SCD(soc_top_u_spi_host_spi_host_divider[15]),
+	.SCE(n_3848),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 soc_top_u_spi_host_spi_host_clgen_pos_edge_reg (
+	.CLK(CTS_152),
+	.D(n_3133),
+	.Q(soc_top_u_spi_host_spi_host_pos_edge),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ctrl_reg[0]  (
+	.CLK(CTS_152),
+	.D(n_9072),
+	.Q(soc_top_u_spi_host_spi_host_ctrl[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ctrl_reg[1]  (
+	.CLK(CTS_152),
+	.D(n_9074),
+	.Q(soc_top_u_spi_host_spi_host_ctrl[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ctrl_reg[2]  (
+	.CLK(CTS_152),
+	.D(n_9073),
+	.Q(soc_top_u_spi_host_spi_host_ctrl[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ctrl_reg[3]  (
+	.CLK(CTS_152),
+	.D(n_9075),
+	.Q(soc_top_u_spi_host_spi_host_ctrl[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ctrl_reg[4]  (
+	.CLK(CTS_152),
+	.D(n_9076),
+	.Q(soc_top_u_spi_host_spi_host_ctrl[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ctrl_reg[8]  (
+	.CLK(CTS_152),
+	.D(n_93136),
+	.Q(soc_top_u_spi_host_spi_host_ctrl[8]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ctrl_reg[9]  (
+	.CLK(CTS_128),
+	.D(n_9481),
+	.Q(soc_top_u_spi_host_spi_host_ctrl[9]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ctrl_reg[10]  (
+	.CLK(CTS_128),
+	.D(n_9482),
+	.Q(soc_top_u_spi_host_spi_host_ctrl[10]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ctrl_reg[11]  (
+	.CLK(CTS_128),
+	.D(n_9483),
+	.Q(soc_top_u_spi_host_spi_host_ctrl[11]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ctrl_reg[12]  (
+	.CLK(CTS_128),
+	.D(n_9485),
+	.Q(soc_top_u_spi_host_spi_host_ctrl[12]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ctrl_reg[13]  (
+	.CLK(CTS_128),
+	.D(n_9484),
+	.Q(soc_top_u_spi_host_spi_host_ctrl[13]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ctrl_reg[14]  (
+	.CLK(CTS_128),
+	.D(n_9486),
+	.Q(soc_top_u_spi_host_spi_host_ctrl[14]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ctrl_reg[15]  (
+	.CLK(CTS_128),
+	.D(n_9487),
+	.Q(soc_top_u_spi_host_spi_host_ctrl[15]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[0]  (
+	.CLK(CTS_152),
+	.D(n_9079),
+	.Q(soc_top_u_spi_host_spi_host_divider[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[1]  (
+	.CLK(CTS_152),
+	.D(n_9077),
+	.Q(soc_top_u_spi_host_spi_host_divider[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[2]  (
+	.CLK(CTS_128),
+	.D(n_9078),
+	.Q(soc_top_u_spi_host_spi_host_divider[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[3]  (
+	.CLK(CTS_152),
+	.D(n_9080),
+	.Q(soc_top_u_spi_host_spi_host_divider[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[4]  (
+	.CLK(CTS_152),
+	.D(n_9081),
+	.Q(soc_top_u_spi_host_spi_host_divider[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[5]  (
+	.CLK(CTS_128),
+	.D(n_9082),
+	.Q(soc_top_u_spi_host_spi_host_divider[5]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[6]  (
+	.CLK(CTS_128),
+	.D(n_9083),
+	.Q(soc_top_u_spi_host_spi_host_divider[6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[7]  (
+	.CLK(CTS_128),
+	.D(n_9084),
+	.Q(soc_top_u_spi_host_spi_host_divider[7]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[8]  (
+	.CLK(CTS_128),
+	.D(n_9488),
+	.Q(soc_top_u_spi_host_spi_host_divider[8]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[9]  (
+	.CLK(CTS_128),
+	.D(n_9489),
+	.Q(soc_top_u_spi_host_spi_host_divider[9]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[10]  (
+	.CLK(CTS_128),
+	.D(n_9490),
+	.Q(soc_top_u_spi_host_spi_host_divider[10]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[11]  (
+	.CLK(CTS_128),
+	.D(n_9491),
+	.Q(soc_top_u_spi_host_spi_host_divider[11]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[12]  (
+	.CLK(CTS_128),
+	.D(n_9492),
+	.Q(soc_top_u_spi_host_spi_host_divider[12]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[13]  (
+	.CLK(CTS_128),
+	.D(n_9493),
+	.Q(soc_top_u_spi_host_spi_host_divider[13]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[14]  (
+	.CLK(CTS_128),
+	.D(n_9494),
+	.Q(soc_top_u_spi_host_spi_host_divider[14]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[15]  (
+	.CLK(CTS_128),
+	.D(n_9495),
+	.Q(soc_top_u_spi_host_spi_host_divider[15]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ss_reg[0]  (
+	.CLK(CTS_118),
+	.D(n_9085),
+	.Q(soc_top_u_spi_host_spi_host_ss[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ss_reg[1]  (
+	.CLK(CTS_118),
+	.D(n_9086),
+	.Q(soc_top_u_spi_host_spi_host_ss[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ss_reg[2]  (
+	.CLK(CTS_118),
+	.D(n_9087),
+	.Q(soc_top_u_spi_host_spi_host_ss[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ss_reg[3]  (
+	.CLK(CTS_118),
+	.D(n_9088),
+	.Q(soc_top_u_spi_host_spi_host_ss[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 soc_top_u_spi_host_u_reg_if_outstanding_reg (
+	.CLK(CTS_152),
+	.D(n_572),
+	.Q(\soc_top_spi_to_xbar[d_valid] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_spi_to_xbar[d_valid] ),
+	.SCE(n_1395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[0]  (
+	.CLK(CTS_152),
+	.D(soc_top_u_spi_host_rdata[0]),
+	.Q(\soc_top_spi_to_xbar[d_data] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_spi_to_xbar[d_data] [0]),
+	.SCE(n_29916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[1]  (
+	.CLK(CTS_128),
+	.D(soc_top_u_spi_host_rdata[1]),
+	.Q(\soc_top_spi_to_xbar[d_data] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_spi_to_xbar[d_data] [1]),
+	.SCE(n_29916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[2]  (
+	.CLK(CTS_51),
+	.D(soc_top_u_spi_host_rdata[2]),
+	.Q(\soc_top_spi_to_xbar[d_data] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_spi_to_xbar[d_data] [2]),
+	.SCE(n_29916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[3]  (
+	.CLK(CTS_128),
+	.D(soc_top_u_spi_host_rdata[3]),
+	.Q(\soc_top_spi_to_xbar[d_data] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_spi_to_xbar[d_data] [3]),
+	.SCE(n_29916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[4]  (
+	.CLK(CTS_51),
+	.D(soc_top_u_spi_host_rdata[4]),
+	.Q(\soc_top_spi_to_xbar[d_data] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_spi_to_xbar[d_data] [4]),
+	.SCE(n_29916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[5]  (
+	.CLK(CTS_51),
+	.D(soc_top_u_spi_host_rdata[5]),
+	.Q(\soc_top_spi_to_xbar[d_data] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_spi_to_xbar[d_data] [5]),
+	.SCE(n_29916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[6]  (
+	.CLK(CTS_12),
+	.D(soc_top_u_spi_host_rdata[6]),
+	.Q(\soc_top_spi_to_xbar[d_data] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_spi_to_xbar[d_data] [6]),
+	.SCE(n_29916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[7]  (
+	.CLK(CTS_51),
+	.D(soc_top_u_spi_host_rdata[7]),
+	.Q(\soc_top_spi_to_xbar[d_data] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_spi_to_xbar[d_data] [7]),
+	.SCE(n_29916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[8]  (
+	.CLK(CTS_128),
+	.D(soc_top_u_spi_host_rdata[8]),
+	.Q(\soc_top_spi_to_xbar[d_data] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_spi_to_xbar[d_data] [8]),
+	.SCE(n_29916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[9]  (
+	.CLK(CTS_37),
+	.D(soc_top_u_spi_host_rdata[9]),
+	.Q(\soc_top_spi_to_xbar[d_data] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_spi_to_xbar[d_data] [9]),
+	.SCE(n_29916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[10]  (
+	.CLK(CTS_37),
+	.D(soc_top_u_spi_host_rdata[10]),
+	.Q(\soc_top_spi_to_xbar[d_data] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_spi_to_xbar[d_data] [10]),
+	.SCE(n_29916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[11]  (
+	.CLK(CTS_37),
+	.D(soc_top_u_spi_host_rdata[11]),
+	.Q(\soc_top_spi_to_xbar[d_data] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_spi_to_xbar[d_data] [11]),
+	.SCE(n_29916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[12]  (
+	.CLK(CTS_37),
+	.D(soc_top_u_spi_host_rdata[12]),
+	.Q(\soc_top_spi_to_xbar[d_data] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_spi_to_xbar[d_data] [12]),
+	.SCE(n_29916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[13]  (
+	.CLK(CTS_37),
+	.D(soc_top_u_spi_host_rdata[13]),
+	.Q(\soc_top_spi_to_xbar[d_data] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_spi_to_xbar[d_data] [13]),
+	.SCE(n_29916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[14]  (
+	.CLK(CTS_37),
+	.D(soc_top_u_spi_host_rdata[14]),
+	.Q(\soc_top_spi_to_xbar[d_data] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_spi_to_xbar[d_data] [14]),
+	.SCE(n_29916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[15]  (
+	.CLK(CTS_37),
+	.D(soc_top_u_spi_host_rdata[15]),
+	.Q(\soc_top_spi_to_xbar[d_data] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_spi_to_xbar[d_data] [15]),
+	.SCE(n_29916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[16]  (
+	.CLK(CTS_51),
+	.D(soc_top_u_spi_host_rdata[16]),
+	.Q(\soc_top_spi_to_xbar[d_data] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_spi_to_xbar[d_data] [16]),
+	.SCE(n_29916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[17]  (
+	.CLK(CTS_51),
+	.D(soc_top_u_spi_host_rdata[17]),
+	.Q(\soc_top_spi_to_xbar[d_data] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_spi_to_xbar[d_data] [17]),
+	.SCE(n_29916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[18]  (
+	.CLK(CTS_51),
+	.D(soc_top_u_spi_host_rdata[18]),
+	.Q(\soc_top_spi_to_xbar[d_data] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_spi_to_xbar[d_data] [18]),
+	.SCE(n_29916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[19]  (
+	.CLK(CTS_51),
+	.D(soc_top_u_spi_host_rdata[19]),
+	.Q(\soc_top_spi_to_xbar[d_data] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_spi_to_xbar[d_data] [19]),
+	.SCE(n_29916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[20]  (
+	.CLK(CTS_51),
+	.D(soc_top_u_spi_host_rdata[20]),
+	.Q(\soc_top_spi_to_xbar[d_data] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_spi_to_xbar[d_data] [20]),
+	.SCE(n_29916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[21]  (
+	.CLK(CTS_51),
+	.D(soc_top_u_spi_host_rdata[21]),
+	.Q(\soc_top_spi_to_xbar[d_data] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_spi_to_xbar[d_data] [21]),
+	.SCE(n_29916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[22]  (
+	.CLK(CTS_51),
+	.D(soc_top_u_spi_host_rdata[22]),
+	.Q(\soc_top_spi_to_xbar[d_data] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_spi_to_xbar[d_data] [22]),
+	.SCE(n_29916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[23]  (
+	.CLK(CTS_12),
+	.D(soc_top_u_spi_host_rdata[23]),
+	.Q(\soc_top_spi_to_xbar[d_data] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_spi_to_xbar[d_data] [23]),
+	.SCE(n_29916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[24]  (
+	.CLK(CTS_51),
+	.D(soc_top_u_spi_host_rdata[24]),
+	.Q(\soc_top_spi_to_xbar[d_data] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_spi_to_xbar[d_data] [24]),
+	.SCE(n_29916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[25]  (
+	.CLK(CTS_47),
+	.D(soc_top_u_spi_host_rdata[25]),
+	.Q(\soc_top_spi_to_xbar[d_data] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_spi_to_xbar[d_data] [25]),
+	.SCE(n_29916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[26]  (
+	.CLK(CTS_51),
+	.D(soc_top_u_spi_host_rdata[26]),
+	.Q(\soc_top_spi_to_xbar[d_data] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_spi_to_xbar[d_data] [26]),
+	.SCE(n_29916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[27]  (
+	.CLK(CTS_51),
+	.D(soc_top_u_spi_host_rdata[27]),
+	.Q(\soc_top_spi_to_xbar[d_data] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_spi_to_xbar[d_data] [27]),
+	.SCE(n_29916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[28]  (
+	.CLK(CTS_51),
+	.D(soc_top_u_spi_host_rdata[28]),
+	.Q(\soc_top_spi_to_xbar[d_data] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_spi_to_xbar[d_data] [28]),
+	.SCE(n_29916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[29]  (
+	.CLK(CTS_47),
+	.D(soc_top_u_spi_host_rdata[29]),
+	.Q(\soc_top_spi_to_xbar[d_data] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_spi_to_xbar[d_data] [29]),
+	.SCE(n_29916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[30]  (
+	.CLK(CTS_51),
+	.D(soc_top_u_spi_host_rdata[30]),
+	.Q(\soc_top_spi_to_xbar[d_data] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_spi_to_xbar[d_data] [30]),
+	.SCE(n_29916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[31]  (
+	.CLK(CTS_51),
+	.D(soc_top_u_spi_host_rdata[31]),
+	.Q(\soc_top_spi_to_xbar[d_data] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_spi_to_xbar[d_data] [31]),
+	.SCE(n_29916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rspop_reg[0]  (
+	.CLK(CTS_152),
+	.D(n_24),
+	.Q(\soc_top_spi_to_xbar[d_opcode] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_spi_to_xbar[d_opcode] [0]),
+	.SCE(n_29916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_fflags_csr_rdata_q_reg[0]  (
+	.CLK(CTS_108),
+	.D(n_62724),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_fflags_q[NX] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_fflags_csr_rdata_q_reg[1]  (
+	.CLK(CTS_108),
+	.D(n_77426),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_fflags_q[UF] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_fflags_csr_rdata_q_reg[2]  (
+	.CLK(CTS_108),
+	.D(n_70196),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_fflags_q[OF] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_fflags_csr_rdata_q_reg[3]  (
+	.CLK(CTS_61),
+	.D(n_11406),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_fflags_q[DZ] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_fflags_csr_rdata_q_reg[4]  (
+	.CLK(CTS_110),
+	.D(n_72029),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_fflags_q[NV] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_frm_csr_rdata_q_reg[0]  (
+	.CLK(CTS_68),
+	.D(n_11654),
+	.Q(soc_top_u_top_u_core_fp_frm_csr[0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_frm_csr_rdata_q_reg[1]  (
+	.CLK(CTS_61),
+	.D(n_11655),
+	.Q(soc_top_u_top_u_core_fp_frm_csr[1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_frm_csr_rdata_q_reg[2]  (
+	.CLK(CTS_61),
+	.D(n_11702),
+	.Q(soc_top_u_top_u_core_fp_frm_csr[2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_control_csr_rdata_q_reg[0]  (
+	.CLK(CTS_67),
+	.D(soc_top_u_top_u_core_cs_registers_i_tmatch_control_rdata[2]),
+	.Q(soc_top_u_top_u_core_cs_registers_i_tmatch_control_rdata[2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_11371),
+	.SCE(n_8641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[0]  (
+	.CLK(CTS_67),
+	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [0]),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_10452),
+	.SCE(n_9214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[1]  (
+	.CLK(CTS_67),
+	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [1]),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_10941),
+	.SCE(n_9214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[2]  (
+	.CLK(CTS_67),
+	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [2]),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_11371),
+	.SCE(n_9214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[3]  (
+	.CLK(CTS_67),
+	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [3]),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_10939),
+	.SCE(n_9214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[4]  (
+	.CLK(CTS_68),
+	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [4]),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_11142),
+	.SCE(n_9214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[5]  (
+	.CLK(CTS_68),
+	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [5]),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_11149),
+	.SCE(n_9214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[6]  (
+	.CLK(CTS_76),
+	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [6]),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_11144),
+	.SCE(n_9214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[7]  (
+	.CLK(CTS_68),
+	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [7]),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_11375),
+	.SCE(n_9214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[8]  (
+	.CLK(CTS_76),
+	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [8]),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_10945),
+	.SCE(n_9214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[9]  (
+	.CLK(CTS_137),
+	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [9]),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_10470),
+	.SCE(n_9214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[10]  (
+	.CLK(CTS_137),
+	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [10]),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_10472),
+	.SCE(n_9214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[11]  (
+	.CLK(CTS_68),
+	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [11]),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_11140),
+	.SCE(n_9214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[12]  (
+	.CLK(CTS_137),
+	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [12]),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_11377),
+	.SCE(n_9214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[13]  (
+	.CLK(CTS_137),
+	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [13]),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_11483),
+	.SCE(n_9214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[14]  (
+	.CLK(CTS_137),
+	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [14]),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_10474),
+	.SCE(n_9214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[15]  (
+	.CLK(CTS_71),
+	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [15]),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_10703),
+	.SCE(n_9214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[16]  (
+	.CLK(CTS_75),
+	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [16]),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_10456),
+	.SCE(n_9214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[17]  (
+	.CLK(CTS_86),
+	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [17]),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_10943),
+	.SCE(n_9214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[18]  (
+	.CLK(CTS_84),
+	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [18]),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_10454),
+	.SCE(n_9214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[19]  (
+	.CLK(CTS_84),
+	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [19]),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_10468),
+	.SCE(n_9214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[20]  (
+	.CLK(CTS_86),
+	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [20]),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_11379),
+	.SCE(n_9214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[21]  (
+	.CLK(CTS_86),
+	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [21]),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_10701),
+	.SCE(n_9214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[22]  (
+	.CLK(CTS_84),
+	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [22]),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_10467),
+	.SCE(n_9214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[23]  (
+	.CLK(CTS_84),
+	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [23]),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_10465),
+	.SCE(n_9214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[24]  (
+	.CLK(CTS_86),
+	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [24]),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_10463),
+	.SCE(n_9214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[25]  (
+	.CLK(CTS_71),
+	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [25]),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_10712),
+	.SCE(n_9214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[26]  (
+	.CLK(CTS_86),
+	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [26]),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_10461),
+	.SCE(n_9214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[27]  (
+	.CLK(CTS_71),
+	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [27]),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_10706),
+	.SCE(n_9214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[28]  (
+	.CLK(CTS_71),
+	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [28]),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_10459),
+	.SCE(n_9214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[29]  (
+	.CLK(CTS_137),
+	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [29]),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_10707),
+	.SCE(n_9214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[30]  (
+	.CLK(CTS_137),
+	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [30]),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_10710),
+	.SCE(n_9214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[31]  (
+	.CLK(CTS_137),
+	.D(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [31]),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_11146),
+	.SCE(n_9214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcountinhibit_q_reg[0]  (
+	.CLK(CTS_67),
+	.D(n_10452),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mcountinhibit_q[0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mcountinhibit_q[0]),
+	.SCE(n_7178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcountinhibit_q_reg[2]  (
+	.CLK(CTS_67),
+	.D(n_11371),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mcountinhibit_q[2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mcountinhibit_q[2]),
+	.SCE(n_7178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[0]  (
+	.CLK(CTS_76),
+	.D(n_11085),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[1]  (
+	.CLK(CTS_76),
+	.D(n_11443),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[2]  (
+	.CLK(CTS_76),
+	.D(n_11619),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[3]  (
+	.CLK(CTS_66),
+	.D(n_11445),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[4]  (
+	.CLK(CTS_76),
+	.D(n_11554),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[5]  (
+	.CLK(CTS_66),
+	.D(n_11550),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[6]  (
+	.CLK(CTS_62),
+	.D(n_11549),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[7]  (
+	.CLK(CTS_66),
+	.D(n_11615),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[8]  (
+	.CLK(CTS_62),
+	.D(n_11433),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[9]  (
+	.CLK(CTS_62),
+	.D(n_11049),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [9]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[10]  (
+	.CLK(CTS_62),
+	.D(n_11048),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [10]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[11]  (
+	.CLK(CTS_62),
+	.D(n_11558),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [11]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[12]  (
+	.CLK(CTS_62),
+	.D(n_11611),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [12]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[13]  (
+	.CLK(CTS_62),
+	.D(n_11674),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [13]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[14]  (
+	.CLK(CTS_62),
+	.D(n_11047),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [14]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[15]  (
+	.CLK(CTS_62),
+	.D(n_11285),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [15]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[16]  (
+	.CLK(CTS_63),
+	.D(n_11081),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [16]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[17]  (
+	.CLK(CTS_63),
+	.D(n_11437),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [17]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[18]  (
+	.CLK(CTS_63),
+	.D(n_11079),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [18]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[19]  (
+	.CLK(CTS_63),
+	.D(n_11080),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [19]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[20]  (
+	.CLK(CTS_75),
+	.D(n_11607),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [20]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[21]  (
+	.CLK(CTS_63),
+	.D(n_11289),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [21]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[22]  (
+	.CLK(CTS_84),
+	.D(n_11078),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [22]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[23]  (
+	.CLK(CTS_84),
+	.D(n_11077),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [23]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[24]  (
+	.CLK(CTS_84),
+	.D(n_11076),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [24]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[25]  (
+	.CLK(CTS_75),
+	.D(n_11284),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [25]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[26]  (
+	.CLK(CTS_75),
+	.D(n_11075),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [26]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[27]  (
+	.CLK(CTS_72),
+	.D(n_11283),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [27]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[28]  (
+	.CLK(CTS_72),
+	.D(n_11074),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [28]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[29]  (
+	.CLK(CTS_70),
+	.D(n_11282),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [29]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[30]  (
+	.CLK(CTS_70),
+	.D(n_11281),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [30]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[31]  (
+	.CLK(CTS_70),
+	.D(n_11548),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [31]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[32]  (
+	.CLK(CTS_66),
+	.D(n_11083),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [32]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[33]  (
+	.CLK(CTS_66),
+	.D(n_11439),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [33]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[34]  (
+	.CLK(CTS_66),
+	.D(n_11617),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [34]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[35]  (
+	.CLK(CTS_66),
+	.D(n_11442),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [35]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[36]  (
+	.CLK(CTS_66),
+	.D(n_11552),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [36]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[37]  (
+	.CLK(CTS_66),
+	.D(n_11544),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [37]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[38]  (
+	.CLK(CTS_66),
+	.D(n_11543),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [38]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[39]  (
+	.CLK(CTS_66),
+	.D(n_11613),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [39]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[40]  (
+	.CLK(CTS_62),
+	.D(n_11431),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [40]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[41]  (
+	.CLK(CTS_62),
+	.D(n_11043),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [41]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[42]  (
+	.CLK(CTS_62),
+	.D(n_11042),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [42]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[43]  (
+	.CLK(CTS_62),
+	.D(n_11556),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [43]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[44]  (
+	.CLK(CTS_70),
+	.D(n_11609),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [44]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[45]  (
+	.CLK(CTS_70),
+	.D(n_11672),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [45]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[46]  (
+	.CLK(CTS_70),
+	.D(n_11041),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [46]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[47]  (
+	.CLK(CTS_72),
+	.D(n_11275),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [47]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[48]  (
+	.CLK(CTS_75),
+	.D(n_11065),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [48]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[49]  (
+	.CLK(CTS_63),
+	.D(n_11435),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [49]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[50]  (
+	.CLK(CTS_63),
+	.D(n_11064),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [50]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[51]  (
+	.CLK(CTS_63),
+	.D(n_11063),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [51]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[52]  (
+	.CLK(CTS_63),
+	.D(n_11605),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [52]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[53]  (
+	.CLK(CTS_63),
+	.D(n_11287),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [53]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[54]  (
+	.CLK(CTS_63),
+	.D(n_11062),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [54]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[55]  (
+	.CLK(CTS_63),
+	.D(n_11061),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [55]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[56]  (
+	.CLK(CTS_75),
+	.D(n_11060),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [56]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[57]  (
+	.CLK(CTS_75),
+	.D(n_11274),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [57]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[58]  (
+	.CLK(CTS_72),
+	.D(n_11059),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [58]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[59]  (
+	.CLK(CTS_72),
+	.D(n_11273),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [59]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[60]  (
+	.CLK(CTS_70),
+	.D(n_11058),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [60]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[61]  (
+	.CLK(CTS_70),
+	.D(n_11272),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [61]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[62]  (
+	.CLK(CTS_70),
+	.D(n_11271),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [62]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[63]  (
+	.CLK(CTS_70),
+	.D(n_11542),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [63]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[0]  (
+	.CLK(CTS_76),
+	.D(n_11084),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[1]  (
+	.CLK(CTS_76),
+	.D(n_11440),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[2]  (
+	.CLK(CTS_66),
+	.D(n_11618),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[3]  (
+	.CLK(CTS_66),
+	.D(n_11444),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[4]  (
+	.CLK(CTS_76),
+	.D(n_11553),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[5]  (
+	.CLK(CTS_66),
+	.D(n_11547),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[6]  (
+	.CLK(CTS_62),
+	.D(n_11546),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[7]  (
+	.CLK(CTS_66),
+	.D(n_11614),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[8]  (
+	.CLK(CTS_66),
+	.D(n_11432),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[9]  (
+	.CLK(CTS_66),
+	.D(n_11046),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [9]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[10]  (
+	.CLK(CTS_66),
+	.D(n_11045),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [10]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[11]  (
+	.CLK(CTS_76),
+	.D(n_11557),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [11]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[12]  (
+	.CLK(CTS_62),
+	.D(n_11610),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [12]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[13]  (
+	.CLK(CTS_70),
+	.D(n_11673),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [13]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[14]  (
+	.CLK(CTS_62),
+	.D(n_11044),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [14]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[15]  (
+	.CLK(CTS_70),
+	.D(n_11280),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [15]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[16]  (
+	.CLK(CTS_75),
+	.D(n_11073),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [16]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[17]  (
+	.CLK(CTS_63),
+	.D(n_11436),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [17]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[18]  (
+	.CLK(CTS_75),
+	.D(n_11072),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [18]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[19]  (
+	.CLK(CTS_63),
+	.D(n_11071),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [19]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[20]  (
+	.CLK(CTS_75),
+	.D(n_11606),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [20]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[21]  (
+	.CLK(CTS_75),
+	.D(n_11288),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [21]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[22]  (
+	.CLK(CTS_75),
+	.D(n_11070),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [22]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[23]  (
+	.CLK(CTS_75),
+	.D(n_11068),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [23]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[24]  (
+	.CLK(CTS_75),
+	.D(n_11069),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [24]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[25]  (
+	.CLK(CTS_72),
+	.D(n_11279),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [25]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[26]  (
+	.CLK(CTS_71),
+	.D(n_11067),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [26]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[27]  (
+	.CLK(CTS_71),
+	.D(n_11278),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [27]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[28]  (
+	.CLK(CTS_72),
+	.D(n_11066),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [28]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[29]  (
+	.CLK(CTS_70),
+	.D(n_11277),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [29]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[30]  (
+	.CLK(CTS_70),
+	.D(n_11276),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [30]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[31]  (
+	.CLK(CTS_62),
+	.D(n_11545),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [31]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[32]  (
+	.CLK(CTS_76),
+	.D(n_11082),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [32]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[33]  (
+	.CLK(CTS_66),
+	.D(n_11438),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [33]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[34]  (
+	.CLK(CTS_66),
+	.D(n_11616),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [34]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[35]  (
+	.CLK(CTS_66),
+	.D(n_11441),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [35]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[36]  (
+	.CLK(CTS_66),
+	.D(n_11551),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [36]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[37]  (
+	.CLK(CTS_66),
+	.D(n_11541),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [37]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[38]  (
+	.CLK(CTS_66),
+	.D(n_11540),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [38]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[39]  (
+	.CLK(CTS_66),
+	.D(n_11612),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [39]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[40]  (
+	.CLK(CTS_62),
+	.D(n_11430),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [40]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[41]  (
+	.CLK(CTS_70),
+	.D(n_11040),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [41]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[42]  (
+	.CLK(CTS_70),
+	.D(n_11039),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [42]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[43]  (
+	.CLK(CTS_62),
+	.D(n_11555),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [43]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[44]  (
+	.CLK(CTS_70),
+	.D(n_11608),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [44]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[45]  (
+	.CLK(CTS_70),
+	.D(n_11671),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [45]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[46]  (
+	.CLK(CTS_70),
+	.D(n_11038),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [46]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[47]  (
+	.CLK(CTS_72),
+	.D(n_11270),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [47]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[48]  (
+	.CLK(CTS_63),
+	.D(n_11057),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [48]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[49]  (
+	.CLK(CTS_63),
+	.D(n_11434),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [49]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[50]  (
+	.CLK(CTS_63),
+	.D(n_11056),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [50]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[51]  (
+	.CLK(CTS_63),
+	.D(n_11055),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [51]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[52]  (
+	.CLK(CTS_63),
+	.D(n_11604),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [52]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[53]  (
+	.CLK(CTS_63),
+	.D(n_11286),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [53]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[54]  (
+	.CLK(CTS_63),
+	.D(n_11054),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [54]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[55]  (
+	.CLK(CTS_63),
+	.D(n_11052),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [55]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[56]  (
+	.CLK(CTS_75),
+	.D(n_11053),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [56]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[57]  (
+	.CLK(CTS_72),
+	.D(n_11269),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [57]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[58]  (
+	.CLK(CTS_72),
+	.D(n_11051),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [58]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[59]  (
+	.CLK(CTS_72),
+	.D(n_11268),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [59]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[60]  (
+	.CLK(CTS_72),
+	.D(n_11050),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [60]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[61]  (
+	.CLK(CTS_70),
+	.D(n_11267),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [61]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[62]  (
+	.CLK(CTS_70),
+	.D(n_11266),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [62]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[63]  (
+	.CLK(CTS_70),
+	.D(n_11539),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [63]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_2 \soc_top_u_top_u_core_cs_registers_i_priv_lvl_q_reg[0]  (
+	.CLK(CTS_67),
+	.D(n_4019),
+	.Q(soc_top_u_top_u_core_priv_mode_id[0]),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_2 \soc_top_u_top_u_core_cs_registers_i_priv_lvl_q_reg[1]  (
+	.CLK(CTS_67),
+	.D(n_4020),
+	.Q(soc_top_u_top_u_core_priv_mode_id[1]),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[0]  (
+	.CLK(CTS_67),
+	.D(soc_top_u_top_u_core_priv_mode_id[0]),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[prv] [0]),
+	.SCD(n_11457),
+	.SCE(n_1507),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[1]  (
+	.CLK(CTS_67),
+	.D(soc_top_u_top_u_core_priv_mode_id[1]),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[prv] [1]),
+	.SCD(n_11456),
+	.SCE(n_1507),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[2]  (
+	.CLK(CTS_67),
+	.D(n_11371),
+	.Q(soc_top_u_top_u_core_debug_single_step),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_debug_single_step),
+	.SCE(n_8592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[6]  (
+	.CLK(CTS_67),
+	.D(soc_top_u_top_u_core_debug_cause[0]),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[cause] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[cause] [0]),
+	.SCE(n_1507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[7]  (
+	.CLK(CTS_67),
+	.D(soc_top_u_top_u_core_debug_cause[1]),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[cause] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[cause] [1]),
+	.SCE(n_1507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[8]  (
+	.CLK(CTS_67),
+	.D(soc_top_u_top_u_core_debug_cause[2]),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[cause] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[cause] [2]),
+	.SCE(n_1507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[11]  (
+	.CLK(CTS_76),
+	.D(n_11140),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[stepie] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[stepie] ),
+	.SCE(n_8592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[12]  (
+	.CLK(CTS_137),
+	.D(n_11377),
+	.Q(soc_top_u_top_u_core_debug_ebreaku),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_debug_ebreaku),
+	.SCE(n_8592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[13]  (
+	.CLK(CTS_137),
+	.D(n_11483),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[ebreaks] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[ebreaks] ),
+	.SCE(n_8592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[15]  (
+	.CLK(CTS_137),
+	.D(n_10703),
+	.Q(soc_top_u_top_u_core_debug_ebreakm),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_debug_ebreakm),
+	.SCE(n_8592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[1]  (
+	.CLK(CTS_149),
+	.D(n_11339),
+	.Q(soc_top_u_top_u_core_csr_depc[1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[2]  (
+	.CLK(CTS_68),
+	.D(n_11532),
+	.Q(soc_top_u_top_u_core_csr_depc[2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[3]  (
+	.CLK(CTS_68),
+	.D(n_11341),
+	.Q(soc_top_u_top_u_core_csr_depc[3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[4]  (
+	.CLK(CTS_68),
+	.D(n_11425),
+	.Q(soc_top_u_top_u_core_csr_depc[4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[5]  (
+	.CLK(CTS_68),
+	.D(n_11428),
+	.Q(soc_top_u_top_u_core_csr_depc[5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[6]  (
+	.CLK(CTS_68),
+	.D(n_11427),
+	.Q(soc_top_u_top_u_core_csr_depc[6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[7]  (
+	.CLK(CTS_68),
+	.D(n_11533),
+	.Q(soc_top_u_top_u_core_csr_depc[7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[8]  (
+	.CLK(CTS_68),
+	.D(n_11298),
+	.Q(soc_top_u_top_u_core_csr_depc[8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[9]  (
+	.CLK(CTS_149),
+	.D(n_10882),
+	.Q(soc_top_u_top_u_core_csr_depc[9]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[10]  (
+	.CLK(CTS_149),
+	.D(n_10881),
+	.Q(soc_top_u_top_u_core_csr_depc[10]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[11]  (
+	.CLK(CTS_68),
+	.D(n_11424),
+	.Q(soc_top_u_top_u_core_csr_depc[11]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[12]  (
+	.CLK(CTS_149),
+	.D(n_11534),
+	.Q(soc_top_u_top_u_core_csr_depc[12]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[13]  (
+	.CLK(CTS_149),
+	.D(n_11603),
+	.Q(soc_top_u_top_u_core_csr_depc[13]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[14]  (
+	.CLK(CTS_149),
+	.D(n_10880),
+	.Q(soc_top_u_top_u_core_csr_depc[14]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[15]  (
+	.CLK(CTS_149),
+	.D(n_11025),
+	.Q(soc_top_u_top_u_core_csr_depc[15]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[16]  (
+	.CLK(CTS_86),
+	.D(n_10879),
+	.Q(soc_top_u_top_u_core_csr_depc[16]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[17]  (
+	.CLK(CTS_85),
+	.D(n_11316),
+	.Q(soc_top_u_top_u_core_csr_depc[17]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[18]  (
+	.CLK(CTS_85),
+	.D(n_10878),
+	.Q(soc_top_u_top_u_core_csr_depc[18]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[19]  (
+	.CLK(CTS_85),
+	.D(n_10877),
+	.Q(soc_top_u_top_u_core_csr_depc[19]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[20]  (
+	.CLK(CTS_86),
+	.D(n_11535),
+	.Q(soc_top_u_top_u_core_csr_depc[20]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[21]  (
+	.CLK(CTS_85),
+	.D(n_11020),
+	.Q(soc_top_u_top_u_core_csr_depc[21]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[22]  (
+	.CLK(CTS_85),
+	.D(n_10875),
+	.Q(soc_top_u_top_u_core_csr_depc[22]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[23]  (
+	.CLK(CTS_85),
+	.D(n_10876),
+	.Q(soc_top_u_top_u_core_csr_depc[23]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[24]  (
+	.CLK(CTS_85),
+	.D(n_10874),
+	.Q(soc_top_u_top_u_core_csr_depc[24]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[25]  (
+	.CLK(CTS_71),
+	.D(n_11024),
+	.Q(soc_top_u_top_u_core_csr_depc[25]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[26]  (
+	.CLK(CTS_71),
+	.D(n_10938),
+	.Q(soc_top_u_top_u_core_csr_depc[26]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[27]  (
+	.CLK(CTS_71),
+	.D(n_11023),
+	.Q(soc_top_u_top_u_core_csr_depc[27]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[28]  (
+	.CLK(CTS_149),
+	.D(n_10872),
+	.Q(soc_top_u_top_u_core_csr_depc[28]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[29]  (
+	.CLK(CTS_149),
+	.D(n_11022),
+	.Q(soc_top_u_top_u_core_csr_depc[29]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[30]  (
+	.CLK(CTS_149),
+	.D(n_11021),
+	.Q(soc_top_u_top_u_core_csr_depc[30]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[31]  (
+	.CLK(CTS_149),
+	.D(n_11426),
+	.Q(soc_top_u_top_u_core_csr_depc[31]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[0]  (
+	.CLK(CTS_76),
+	.D(n_10452),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[0]),
+	.SCE(n_7050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[1]  (
+	.CLK(CTS_67),
+	.D(n_10941),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[1]),
+	.SCE(n_7050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[2]  (
+	.CLK(CTS_67),
+	.D(n_11371),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[2]),
+	.SCE(n_7050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[3]  (
+	.CLK(CTS_76),
+	.D(n_10939),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[3]),
+	.SCE(n_7050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[4]  (
+	.CLK(CTS_67),
+	.D(n_11142),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[4]),
+	.SCE(n_7050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[5]  (
+	.CLK(CTS_76),
+	.D(n_11149),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[5]),
+	.SCE(n_7050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[6]  (
+	.CLK(CTS_76),
+	.D(n_11144),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[6]),
+	.SCE(n_7050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[7]  (
+	.CLK(CTS_67),
+	.D(n_11375),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[7]),
+	.SCE(n_7050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[8]  (
+	.CLK(CTS_76),
+	.D(n_10945),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[8]),
+	.SCE(n_7050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[9]  (
+	.CLK(CTS_137),
+	.D(n_10470),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[9]),
+	.SCE(n_7050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[10]  (
+	.CLK(CTS_137),
+	.D(n_10472),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[10]),
+	.SCE(n_7050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[11]  (
+	.CLK(CTS_76),
+	.D(n_11140),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[11]),
+	.SCE(n_7050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[12]  (
+	.CLK(CTS_137),
+	.D(n_11377),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[12]),
+	.SCE(n_7050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[13]  (
+	.CLK(CTS_70),
+	.D(n_11483),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[13]),
+	.SCE(n_7050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[14]  (
+	.CLK(CTS_137),
+	.D(n_10474),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[14]),
+	.SCE(n_7050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[15]  (
+	.CLK(CTS_70),
+	.D(n_10703),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[15]),
+	.SCE(n_7050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[16]  (
+	.CLK(CTS_75),
+	.D(n_10456),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[16]),
+	.SCE(n_7050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[17]  (
+	.CLK(CTS_84),
+	.D(n_10943),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[17]),
+	.SCE(n_7050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[18]  (
+	.CLK(CTS_84),
+	.D(n_10454),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[18]),
+	.SCE(n_7050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[19]  (
+	.CLK(CTS_84),
+	.D(n_10468),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[19]),
+	.SCE(n_7050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[20]  (
+	.CLK(CTS_86),
+	.D(n_11379),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[20]),
+	.SCE(n_7050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[21]  (
+	.CLK(CTS_86),
+	.D(n_10701),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[21]),
+	.SCE(n_7050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[22]  (
+	.CLK(CTS_84),
+	.D(n_10467),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[22]),
+	.SCE(n_7050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[23]  (
+	.CLK(CTS_84),
+	.D(n_10465),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[23]),
+	.SCE(n_7050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[24]  (
+	.CLK(CTS_84),
+	.D(n_10463),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[24]),
+	.SCE(n_7050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[25]  (
+	.CLK(CTS_71),
+	.D(n_10712),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[25]),
+	.SCE(n_7050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[26]  (
+	.CLK(CTS_86),
+	.D(n_10461),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[26]),
+	.SCE(n_7050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[27]  (
+	.CLK(CTS_71),
+	.D(n_10706),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[27]),
+	.SCE(n_7050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[28]  (
+	.CLK(CTS_71),
+	.D(n_10459),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[28]),
+	.SCE(n_7050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[29]  (
+	.CLK(CTS_70),
+	.D(n_10707),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[29]),
+	.SCE(n_7050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[30]  (
+	.CLK(CTS_137),
+	.D(n_10710),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[30]),
+	.SCE(n_7050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[31]  (
+	.CLK(CTS_137),
+	.D(n_11146),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[31]),
+	.SCE(n_7050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[0]  (
+	.CLK(CTS_76),
+	.D(n_10452),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[0]),
+	.SCE(n_7049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[1]  (
+	.CLK(CTS_67),
+	.D(n_10941),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[1]),
+	.SCE(n_7049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[2]  (
+	.CLK(CTS_67),
+	.D(n_11371),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[2]),
+	.SCE(n_7049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[3]  (
+	.CLK(CTS_76),
+	.D(n_10939),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[3]),
+	.SCE(n_7049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[4]  (
+	.CLK(CTS_76),
+	.D(n_11142),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[4]),
+	.SCE(n_7049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[5]  (
+	.CLK(CTS_76),
+	.D(n_11149),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[5]),
+	.SCE(n_7049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[6]  (
+	.CLK(CTS_76),
+	.D(n_11144),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[6]),
+	.SCE(n_7049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[7]  (
+	.CLK(CTS_67),
+	.D(n_11375),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[7]),
+	.SCE(n_7049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[8]  (
+	.CLK(CTS_70),
+	.D(n_10945),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[8]),
+	.SCE(n_7049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[9]  (
+	.CLK(CTS_137),
+	.D(n_10470),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[9]),
+	.SCE(n_7049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[10]  (
+	.CLK(CTS_137),
+	.D(n_10472),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[10]),
+	.SCE(n_7049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[11]  (
+	.CLK(CTS_76),
+	.D(n_11140),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[11]),
+	.SCE(n_7049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[12]  (
+	.CLK(CTS_137),
+	.D(n_11377),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[12]),
+	.SCE(n_7049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[13]  (
+	.CLK(CTS_70),
+	.D(n_11483),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[13]),
+	.SCE(n_7049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[14]  (
+	.CLK(CTS_137),
+	.D(n_10474),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[14]),
+	.SCE(n_7049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[15]  (
+	.CLK(CTS_71),
+	.D(n_10703),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[15]),
+	.SCE(n_7049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[16]  (
+	.CLK(CTS_75),
+	.D(n_10456),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[16]),
+	.SCE(n_7049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[17]  (
+	.CLK(CTS_86),
+	.D(n_10943),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[17]),
+	.SCE(n_7049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[18]  (
+	.CLK(CTS_84),
+	.D(n_10454),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[18]),
+	.SCE(n_7049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[19]  (
+	.CLK(CTS_84),
+	.D(n_10468),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[19]),
+	.SCE(n_7049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[20]  (
+	.CLK(CTS_86),
+	.D(n_11379),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[20]),
+	.SCE(n_7049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[21]  (
+	.CLK(CTS_86),
+	.D(n_10701),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[21]),
+	.SCE(n_7049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[22]  (
+	.CLK(CTS_84),
+	.D(n_10467),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[22]),
+	.SCE(n_7049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[23]  (
+	.CLK(CTS_84),
+	.D(n_10465),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[23]),
+	.SCE(n_7049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[24]  (
+	.CLK(CTS_84),
+	.D(n_10463),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[24]),
+	.SCE(n_7049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[25]  (
+	.CLK(CTS_71),
+	.D(n_10712),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[25]),
+	.SCE(n_7049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[26]  (
+	.CLK(CTS_86),
+	.D(n_10461),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[26]),
+	.SCE(n_7049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[27]  (
+	.CLK(CTS_71),
+	.D(n_10706),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[27]),
+	.SCE(n_7049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[28]  (
+	.CLK(CTS_71),
+	.D(n_10459),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[28]),
+	.SCE(n_7049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[29]  (
+	.CLK(CTS_70),
+	.D(n_10707),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[29]),
+	.SCE(n_7049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[30]  (
+	.CLK(CTS_137),
+	.D(n_10710),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[30]),
+	.SCE(n_7049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[31]  (
+	.CLK(CTS_137),
+	.D(n_11146),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[31]),
+	.SCE(n_7049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[0]  (
+	.CLK(CTS_67),
+	.D(n_10968),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mcause_q[0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[1]  (
+	.CLK(CTS_67),
+	.D(n_11386),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mcause_q[1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[2]  (
+	.CLK(CTS_67),
+	.D(n_11593),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mcause_q[2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[3]  (
+	.CLK(CTS_67),
+	.D(n_11387),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mcause_q[3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[4]  (
+	.CLK(CTS_67),
+	.D(n_11398),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mcause_q[4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[5]  (
+	.CLK(CTS_67),
+	.D(n_11489),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mcause_q[5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[1]  (
+	.CLK(CTS_149),
+	.D(n_11353),
+	.Q(soc_top_u_top_u_core_csr_mepc[1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[2]  (
+	.CLK(CTS_68),
+	.D(n_11525),
+	.Q(soc_top_u_top_u_core_csr_mepc[2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[3]  (
+	.CLK(CTS_68),
+	.D(n_11354),
+	.Q(soc_top_u_top_u_core_csr_mepc[3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[4]  (
+	.CLK(CTS_68),
+	.D(n_11411),
+	.Q(soc_top_u_top_u_core_csr_mepc[4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[5]  (
+	.CLK(CTS_68),
+	.D(n_11422),
+	.Q(soc_top_u_top_u_core_csr_mepc[5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[6]  (
+	.CLK(CTS_68),
+	.D(n_11421),
+	.Q(soc_top_u_top_u_core_csr_mepc[6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[7]  (
+	.CLK(CTS_68),
+	.D(n_11524),
+	.Q(soc_top_u_top_u_core_csr_mepc[7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[8]  (
+	.CLK(CTS_68),
+	.D(n_11344),
+	.Q(soc_top_u_top_u_core_csr_mepc[8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[9]  (
+	.CLK(CTS_149),
+	.D(n_10870),
+	.Q(soc_top_u_top_u_core_csr_mepc[9]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[10]  (
+	.CLK(CTS_68),
+	.D(n_10869),
+	.Q(soc_top_u_top_u_core_csr_mepc[10]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[11]  (
+	.CLK(CTS_68),
+	.D(n_11410),
+	.Q(soc_top_u_top_u_core_csr_mepc[11]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[12]  (
+	.CLK(CTS_149),
+	.D(n_11523),
+	.Q(soc_top_u_top_u_core_csr_mepc[12]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[13]  (
+	.CLK(CTS_149),
+	.D(n_11622),
+	.Q(soc_top_u_top_u_core_csr_mepc[13]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[14]  (
+	.CLK(CTS_149),
+	.D(n_10868),
+	.Q(soc_top_u_top_u_core_csr_mepc[14]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[15]  (
+	.CLK(CTS_149),
+	.D(n_11019),
+	.Q(soc_top_u_top_u_core_csr_mepc[15]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[16]  (
+	.CLK(CTS_86),
+	.D(n_10867),
+	.Q(soc_top_u_top_u_core_csr_mepc[16]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[17]  (
+	.CLK(CTS_85),
+	.D(n_11355),
+	.Q(soc_top_u_top_u_core_csr_mepc[17]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[18]  (
+	.CLK(CTS_85),
+	.D(n_10866),
+	.Q(soc_top_u_top_u_core_csr_mepc[18]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[19]  (
+	.CLK(CTS_85),
+	.D(n_10865),
+	.Q(soc_top_u_top_u_core_csr_mepc[19]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[20]  (
+	.CLK(CTS_86),
+	.D(n_11531),
+	.Q(soc_top_u_top_u_core_csr_mepc[20]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[21]  (
+	.CLK(CTS_85),
+	.D(n_11003),
+	.Q(soc_top_u_top_u_core_csr_mepc[21]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[22]  (
+	.CLK(CTS_85),
+	.D(n_10864),
+	.Q(soc_top_u_top_u_core_csr_mepc[22]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[23]  (
+	.CLK(CTS_85),
+	.D(n_10861),
+	.Q(soc_top_u_top_u_core_csr_mepc[23]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[24]  (
+	.CLK(CTS_85),
+	.D(n_10863),
+	.Q(soc_top_u_top_u_core_csr_mepc[24]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[25]  (
+	.CLK(CTS_86),
+	.D(n_11017),
+	.Q(soc_top_u_top_u_core_csr_mepc[25]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[26]  (
+	.CLK(CTS_86),
+	.D(n_10862),
+	.Q(soc_top_u_top_u_core_csr_mepc[26]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[27]  (
+	.CLK(CTS_71),
+	.D(n_11018),
+	.Q(soc_top_u_top_u_core_csr_mepc[27]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[28]  (
+	.CLK(CTS_71),
+	.D(n_10860),
+	.Q(soc_top_u_top_u_core_csr_mepc[28]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[29]  (
+	.CLK(CTS_149),
+	.D(n_11016),
+	.Q(soc_top_u_top_u_core_csr_mepc[29]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[30]  (
+	.CLK(CTS_149),
+	.D(n_11015),
+	.Q(soc_top_u_top_u_core_csr_mepc[30]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[31]  (
+	.CLK(CTS_149),
+	.D(n_11420),
+	.Q(soc_top_u_top_u_core_csr_mepc[31]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[0]  (
+	.CLK(CTS_84),
+	.D(n_10456),
+	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[0]),
+	.SCE(n_7077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[1]  (
+	.CLK(CTS_86),
+	.D(n_10943),
+	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[1]),
+	.SCE(n_7077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[2]  (
+	.CLK(CTS_84),
+	.D(n_10454),
+	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[2]),
+	.SCE(n_7077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[3]  (
+	.CLK(CTS_84),
+	.D(n_10468),
+	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[3]),
+	.SCE(n_7077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[4]  (
+	.CLK(CTS_86),
+	.D(n_11379),
+	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[4]),
+	.SCE(n_7077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[5]  (
+	.CLK(CTS_86),
+	.D(n_10701),
+	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[5]),
+	.SCE(n_7077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[6]  (
+	.CLK(CTS_84),
+	.D(n_10467),
+	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[6]),
+	.SCE(n_7077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[7]  (
+	.CLK(CTS_84),
+	.D(n_10465),
+	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[7]),
+	.SCE(n_7077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[8]  (
+	.CLK(CTS_84),
+	.D(n_10463),
+	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[8]),
+	.SCE(n_7077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[9]  (
+	.CLK(CTS_71),
+	.D(n_10712),
+	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[9]),
+	.SCE(n_7077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[10]  (
+	.CLK(CTS_86),
+	.D(n_10461),
+	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[10]),
+	.SCE(n_7077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[11]  (
+	.CLK(CTS_71),
+	.D(n_10706),
+	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[11]),
+	.SCE(n_7077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[12]  (
+	.CLK(CTS_71),
+	.D(n_10459),
+	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[12]),
+	.SCE(n_7077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[13]  (
+	.CLK(CTS_137),
+	.D(n_10707),
+	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[13]),
+	.SCE(n_7077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[14]  (
+	.CLK(CTS_137),
+	.D(n_10710),
+	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[14]),
+	.SCE(n_7077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[15]  (
+	.CLK(CTS_67),
+	.D(n_11140),
+	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[15]),
+	.SCE(n_7077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[16]  (
+	.CLK(CTS_67),
+	.D(n_11375),
+	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[16]),
+	.SCE(n_7077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[17]  (
+	.CLK(CTS_67),
+	.D(n_10939),
+	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[17]),
+	.SCE(n_7077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[0]  (
+	.CLK(CTS_67),
+	.D(n_10452),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[0]),
+	.SCE(n_7053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[1]  (
+	.CLK(CTS_76),
+	.D(n_10941),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[1]),
+	.SCE(n_7053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[2]  (
+	.CLK(CTS_76),
+	.D(n_11371),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[2]),
+	.SCE(n_7053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[3]  (
+	.CLK(CTS_76),
+	.D(n_10939),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[3]),
+	.SCE(n_7053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[4]  (
+	.CLK(CTS_76),
+	.D(n_11142),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[4]),
+	.SCE(n_7053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[5]  (
+	.CLK(CTS_76),
+	.D(n_11149),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[5]),
+	.SCE(n_7053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[6]  (
+	.CLK(CTS_76),
+	.D(n_11144),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[6]),
+	.SCE(n_7053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[7]  (
+	.CLK(CTS_76),
+	.D(n_11375),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[7]),
+	.SCE(n_7053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[8]  (
+	.CLK(CTS_70),
+	.D(n_10945),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[8]),
+	.SCE(n_7053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[9]  (
+	.CLK(CTS_70),
+	.D(n_10470),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[9]),
+	.SCE(n_7053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[10]  (
+	.CLK(CTS_70),
+	.D(n_10472),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[10]),
+	.SCE(n_7053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[11]  (
+	.CLK(CTS_76),
+	.D(n_11140),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[11]),
+	.SCE(n_7053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[12]  (
+	.CLK(CTS_137),
+	.D(n_11377),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[12]),
+	.SCE(n_7053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[13]  (
+	.CLK(CTS_137),
+	.D(n_11483),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[13]),
+	.SCE(n_7053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[14]  (
+	.CLK(CTS_70),
+	.D(n_10474),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[14]),
+	.SCE(n_7053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[15]  (
+	.CLK(CTS_70),
+	.D(n_10703),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[15]),
+	.SCE(n_7053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[16]  (
+	.CLK(CTS_75),
+	.D(n_10456),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[16]),
+	.SCE(n_7053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[17]  (
+	.CLK(CTS_84),
+	.D(n_10943),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[17]),
+	.SCE(n_7053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[18]  (
+	.CLK(CTS_84),
+	.D(n_10454),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[18]),
+	.SCE(n_7053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[19]  (
+	.CLK(CTS_84),
+	.D(n_10468),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[19]),
+	.SCE(n_7053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[20]  (
+	.CLK(CTS_75),
+	.D(n_11379),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[20]),
+	.SCE(n_7053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[21]  (
+	.CLK(CTS_86),
+	.D(n_10701),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[21]),
+	.SCE(n_7053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[22]  (
+	.CLK(CTS_84),
+	.D(n_10467),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[22]),
+	.SCE(n_7053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[23]  (
+	.CLK(CTS_84),
+	.D(n_10465),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[23]),
+	.SCE(n_7053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[24]  (
+	.CLK(CTS_84),
+	.D(n_10463),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[24]),
+	.SCE(n_7053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[25]  (
+	.CLK(CTS_71),
+	.D(n_10712),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[25]),
+	.SCE(n_7053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[26]  (
+	.CLK(CTS_71),
+	.D(n_10461),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[26]),
+	.SCE(n_7053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[27]  (
+	.CLK(CTS_71),
+	.D(n_10706),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[27]),
+	.SCE(n_7053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[28]  (
+	.CLK(CTS_71),
+	.D(n_10459),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[28]),
+	.SCE(n_7053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[29]  (
+	.CLK(CTS_70),
+	.D(n_10707),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[29]),
+	.SCE(n_7053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[30]  (
+	.CLK(CTS_70),
+	.D(n_10710),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[30]),
+	.SCE(n_7053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[31]  (
+	.CLK(CTS_137),
+	.D(n_11146),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[31]),
+	.SCE(n_7053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[0]  (
+	.CLK(CTS_86),
+	.D(n_10701),
+	.Q(soc_top_u_top_u_core_csr_mstatus_tw),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_csr_mstatus_tw),
+	.SCE(n_3855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[1]  (
+	.CLK(CTS_86),
+	.D(n_10943),
+	.Q(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[17]),
+	.SCE(n_3855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[2]  (
+	.CLK(CTS_67),
+	.D(n_11719),
+	.Q(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[11]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[3]  (
+	.CLK(CTS_67),
+	.D(n_11731),
+	.Q(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[12]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_1 \soc_top_u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[4]  (
+	.CLK(CTS_67),
+	.D(n_11678),
+	.Q(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[7]),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[5]  (
+	.CLK(CTS_67),
+	.D(n_11509),
+	.Q(soc_top_u_top_u_core_csr_mstatus_mie),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[0]  (
+	.CLK(CTS_76),
+	.D(n_10858),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[1]  (
+	.CLK(CTS_62),
+	.D(n_11349),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[2]  (
+	.CLK(CTS_76),
+	.D(n_11592),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[3]  (
+	.CLK(CTS_76),
+	.D(n_11350),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[4]  (
+	.CLK(CTS_76),
+	.D(n_11488),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[5]  (
+	.CLK(CTS_62),
+	.D(n_11487),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[6]  (
+	.CLK(CTS_70),
+	.D(n_11486),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[7]  (
+	.CLK(CTS_76),
+	.D(n_11591),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[8]  (
+	.CLK(CTS_70),
+	.D(n_11388),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[9]  (
+	.CLK(CTS_70),
+	.D(n_10967),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[9]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[10]  (
+	.CLK(CTS_70),
+	.D(n_10966),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[10]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[11]  (
+	.CLK(CTS_76),
+	.D(n_11414),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[11]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[12]  (
+	.CLK(CTS_137),
+	.D(n_11590),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[12]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[13]  (
+	.CLK(CTS_70),
+	.D(n_11664),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[13]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[14]  (
+	.CLK(CTS_72),
+	.D(n_10965),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[14]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[15]  (
+	.CLK(CTS_72),
+	.D(n_11158),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[15]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[16]  (
+	.CLK(CTS_75),
+	.D(n_10964),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[16]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[17]  (
+	.CLK(CTS_84),
+	.D(n_11389),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[17]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[18]  (
+	.CLK(CTS_75),
+	.D(n_10962),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[18]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[19]  (
+	.CLK(CTS_84),
+	.D(n_10963),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[19]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[20]  (
+	.CLK(CTS_75),
+	.D(n_11589),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[20]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[21]  (
+	.CLK(CTS_86),
+	.D(n_11157),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[21]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[22]  (
+	.CLK(CTS_84),
+	.D(n_10961),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[22]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[23]  (
+	.CLK(CTS_84),
+	.D(n_10960),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[23]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[24]  (
+	.CLK(CTS_75),
+	.D(n_10959),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[24]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[25]  (
+	.CLK(CTS_86),
+	.D(n_11156),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[25]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[26]  (
+	.CLK(CTS_75),
+	.D(n_10958),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[26]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[27]  (
+	.CLK(CTS_71),
+	.D(n_11155),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[27]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[28]  (
+	.CLK(CTS_72),
+	.D(n_10957),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[28]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[29]  (
+	.CLK(CTS_137),
+	.D(n_11153),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[29]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[30]  (
+	.CLK(CTS_137),
+	.D(n_11154),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[30]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[31]  (
+	.CLK(CTS_137),
+	.D(n_11485),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[31]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[2]  (
+	.CLK(CTS_68),
+	.D(n_11508),
+	.Q(soc_top_u_top_u_core_csr_mtvec[2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[3]  (
+	.CLK(CTS_68),
+	.D(n_11365),
+	.Q(soc_top_u_top_u_core_csr_mtvec[3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[4]  (
+	.CLK(CTS_68),
+	.D(n_11397),
+	.Q(soc_top_u_top_u_core_csr_mtvec[4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[5]  (
+	.CLK(CTS_68),
+	.D(n_11396),
+	.Q(soc_top_u_top_u_core_csr_mtvec[5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[6]  (
+	.CLK(CTS_76),
+	.D(n_11395),
+	.Q(soc_top_u_top_u_core_csr_mtvec[6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[7]  (
+	.CLK(CTS_68),
+	.D(n_11507),
+	.Q(soc_top_u_top_u_core_csr_mtvec[7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[8]  (
+	.CLK(CTS_137),
+	.D(n_11366),
+	.Q(soc_top_u_top_u_core_csr_mtvec[8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[10]  (
+	.CLK(CTS_137),
+	.D(n_10821),
+	.Q(soc_top_u_top_u_core_csr_mtvec[10]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[11]  (
+	.CLK(CTS_76),
+	.D(n_11394),
+	.Q(soc_top_u_top_u_core_csr_mtvec[11]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[12]  (
+	.CLK(CTS_137),
+	.D(n_11506),
+	.Q(soc_top_u_top_u_core_csr_mtvec[12]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[13]  (
+	.CLK(CTS_137),
+	.D(n_11656),
+	.Q(soc_top_u_top_u_core_csr_mtvec[13]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[14]  (
+	.CLK(CTS_137),
+	.D(n_10822),
+	.Q(soc_top_u_top_u_core_csr_mtvec[14]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[15]  (
+	.CLK(CTS_71),
+	.D(n_10991),
+	.Q(soc_top_u_top_u_core_csr_mtvec[15]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[16]  (
+	.CLK(CTS_86),
+	.D(n_10892),
+	.Q(soc_top_u_top_u_core_csr_mtvec[16]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[17]  (
+	.CLK(CTS_86),
+	.D(n_11367),
+	.Q(soc_top_u_top_u_core_csr_mtvec[17]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[18]  (
+	.CLK(CTS_84),
+	.D(n_10897),
+	.Q(soc_top_u_top_u_core_csr_mtvec[18]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[19]  (
+	.CLK(CTS_84),
+	.D(n_10901),
+	.Q(soc_top_u_top_u_core_csr_mtvec[19]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[20]  (
+	.CLK(CTS_86),
+	.D(n_11505),
+	.Q(soc_top_u_top_u_core_csr_mtvec[20]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[21]  (
+	.CLK(CTS_86),
+	.D(n_10992),
+	.Q(soc_top_u_top_u_core_csr_mtvec[21]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[22]  (
+	.CLK(CTS_84),
+	.D(n_10902),
+	.Q(soc_top_u_top_u_core_csr_mtvec[22]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[23]  (
+	.CLK(CTS_84),
+	.D(n_10903),
+	.Q(soc_top_u_top_u_core_csr_mtvec[23]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[24]  (
+	.CLK(CTS_84),
+	.D(n_10904),
+	.Q(soc_top_u_top_u_core_csr_mtvec[24]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[25]  (
+	.CLK(CTS_86),
+	.D(n_10993),
+	.Q(soc_top_u_top_u_core_csr_mtvec[25]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[26]  (
+	.CLK(CTS_86),
+	.D(n_10907),
+	.Q(soc_top_u_top_u_core_csr_mtvec[26]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[27]  (
+	.CLK(CTS_71),
+	.D(n_10994),
+	.Q(soc_top_u_top_u_core_csr_mtvec[27]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[28]  (
+	.CLK(CTS_71),
+	.D(n_10910),
+	.Q(soc_top_u_top_u_core_csr_mtvec[28]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[29]  (
+	.CLK(CTS_137),
+	.D(n_10970),
+	.Q(soc_top_u_top_u_core_csr_mtvec[29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_csr_mtvec[29]),
+	.SCE(n_7620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[30]  (
+	.CLK(CTS_137),
+	.D(n_10995),
+	.Q(soc_top_u_top_u_core_csr_mtvec[30]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[31]  (
+	.CLK(CTS_137),
+	.D(n_11393),
+	.Q(soc_top_u_top_u_core_csr_mtvec[31]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_div_by_zero_q_reg  (
+	.CLK(CTS_69),
+	.D(n_491),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_div_by_zero_q ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_div_by_zero_q ),
+	.SCE(n_8337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q_reg[0]  (
+	.CLK(CTS_69),
+	.D(n_11857),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q_reg[2]  (
+	.CLK(CTS_69),
+	.D(n_11845),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[0]  (
+	.CLK(CTS_58),
+	.D(n_9464),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[1]  (
+	.CLK(CTS_69),
+	.D(n_10005),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[2]  (
+	.CLK(CTS_69),
+	.D(n_10001),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[3]  (
+	.CLK(CTS_58),
+	.D(n_10039),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[4]  (
+	.CLK(CTS_58),
+	.D(n_10000),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[5]  (
+	.CLK(CTS_58),
+	.D(n_10020),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[6]  (
+	.CLK(CTS_58),
+	.D(n_10019),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[7]  (
+	.CLK(CTS_58),
+	.D(n_10038),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[8]  (
+	.CLK(CTS_58),
+	.D(n_9999),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[9]  (
+	.CLK(CTS_58),
+	.D(n_10018),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [9]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[10]  (
+	.CLK(CTS_58),
+	.D(n_10017),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [10]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[11]  (
+	.CLK(CTS_58),
+	.D(n_10037),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [11]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[12]  (
+	.CLK(CTS_58),
+	.D(n_10035),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [12]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[13]  (
+	.CLK(CTS_58),
+	.D(n_10036),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [13]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[14]  (
+	.CLK(CTS_58),
+	.D(n_10034),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [14]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[15]  (
+	.CLK(CTS_58),
+	.D(n_10033),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [15]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[16]  (
+	.CLK(CTS_58),
+	.D(n_9991),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [16]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[17]  (
+	.CLK(CTS_58),
+	.D(n_10013),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [17]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[18]  (
+	.CLK(CTS_69),
+	.D(n_10011),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [18]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[19]  (
+	.CLK(CTS_58),
+	.D(n_10032),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [19]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[20]  (
+	.CLK(CTS_58),
+	.D(n_10010),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [20]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[21]  (
+	.CLK(CTS_58),
+	.D(n_10024),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [21]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[22]  (
+	.CLK(CTS_58),
+	.D(n_10025),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [22]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[23]  (
+	.CLK(CTS_58),
+	.D(n_10031),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [23]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[24]  (
+	.CLK(CTS_58),
+	.D(n_10009),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [24]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[25]  (
+	.CLK(CTS_58),
+	.D(n_10023),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [25]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[26]  (
+	.CLK(CTS_58),
+	.D(n_10022),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [26]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[27]  (
+	.CLK(CTS_58),
+	.D(n_10030),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [27]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[28]  (
+	.CLK(CTS_58),
+	.D(n_10029),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [28]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[29]  (
+	.CLK(CTS_58),
+	.D(n_10028),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [29]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[30]  (
+	.CLK(CTS_58),
+	.D(n_10026),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [30]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[31]  (
+	.CLK(CTS_58),
+	.D(n_10027),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [31]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[32]  (
+	.CLK(CTS_58),
+	.D(n_9728),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [32]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[0]  (
+	.CLK(CTS_58),
+	.D(n_9838),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[1]  (
+	.CLK(CTS_111),
+	.D(n_9837),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[2]  (
+	.CLK(CTS_111),
+	.D(n_9836),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[3]  (
+	.CLK(CTS_111),
+	.D(n_9834),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[4]  (
+	.CLK(CTS_111),
+	.D(n_9835),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[5]  (
+	.CLK(CTS_111),
+	.D(n_9833),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[6]  (
+	.CLK(CTS_111),
+	.D(n_9832),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[7]  (
+	.CLK(CTS_111),
+	.D(n_9831),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[8]  (
+	.CLK(CTS_111),
+	.D(n_9830),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[9]  (
+	.CLK(CTS_111),
+	.D(n_9829),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [9]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[10]  (
+	.CLK(CTS_111),
+	.D(n_9828),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [10]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[11]  (
+	.CLK(CTS_111),
+	.D(n_9827),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [11]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[12]  (
+	.CLK(CTS_111),
+	.D(n_9826),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [12]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[13]  (
+	.CLK(CTS_111),
+	.D(n_9825),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [13]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[14]  (
+	.CLK(CTS_111),
+	.D(n_9824),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [14]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[15]  (
+	.CLK(CTS_111),
+	.D(n_9823),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [15]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[16]  (
+	.CLK(CTS_111),
+	.D(n_9821),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [16]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[17]  (
+	.CLK(CTS_111),
+	.D(n_9822),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [17]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[18]  (
+	.CLK(CTS_111),
+	.D(n_9820),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [18]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[19]  (
+	.CLK(CTS_111),
+	.D(n_9819),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [19]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[20]  (
+	.CLK(CTS_58),
+	.D(n_9818),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [20]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[21]  (
+	.CLK(CTS_111),
+	.D(n_9817),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [21]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[22]  (
+	.CLK(CTS_111),
+	.D(n_9815),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [22]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[23]  (
+	.CLK(CTS_111),
+	.D(n_9816),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [23]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[24]  (
+	.CLK(CTS_111),
+	.D(n_9814),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [24]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[25]  (
+	.CLK(CTS_111),
+	.D(n_9813),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [25]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[26]  (
+	.CLK(CTS_111),
+	.D(n_9812),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [26]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[27]  (
+	.CLK(CTS_111),
+	.D(n_9811),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [27]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[28]  (
+	.CLK(CTS_111),
+	.D(n_9810),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [28]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[29]  (
+	.CLK(CTS_111),
+	.D(n_9809),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [29]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[30]  (
+	.CLK(CTS_111),
+	.D(n_9808),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [30]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[31]  (
+	.CLK(CTS_58),
+	.D(n_9347),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [31]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][0]  (
+	.CLK(CTS_21),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [0]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61465),
+	.SCE(n_8548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][1]  (
+	.CLK(CTS_23),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [1]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_48951),
+	.SCE(n_8548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][2]  (
+	.CLK(CTS_19),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [2]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_49539),
+	.SCE(n_8548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][3]  (
+	.CLK(CTS_15),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [3]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_75820),
+	.SCE(n_8548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][4]  (
+	.CLK(CTS_17),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [4]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61343),
+	.SCE(n_8548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][5]  (
+	.CLK(CTS_17),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [5]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_78032),
+	.SCE(n_8548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][6]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [6]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_36668),
+	.SCE(n_8548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][7]  (
+	.CLK(CTS_13),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [7]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79116),
+	.SCE(n_8548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][8]  (
+	.CLK(CTS_30),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [8]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_26213),
+	.SCE(n_8548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][9]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [9]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79365),
+	.SCE(n_8548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][10]  (
+	.CLK(CTS_17),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [10]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_63770),
+	.SCE(n_8548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][11]  (
+	.CLK(CTS_16),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [11]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_48252),
+	.SCE(n_8548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][12]  (
+	.CLK(CTS_19),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [12]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81714),
+	.SCE(n_8548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][13]  (
+	.CLK(CTS_19),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [13]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_71268),
+	.SCE(n_8548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][14]  (
+	.CLK(CTS_25),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [14]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_69718),
+	.SCE(n_8548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][15]  (
+	.CLK(CTS_16),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [15]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_42763),
+	.SCE(n_8548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][16]  (
+	.CLK(CTS_27),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [16]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_26219),
+	.SCE(n_8548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][17]  (
+	.CLK(CTS_16),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [17]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80166),
+	.SCE(n_8548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][18]  (
+	.CLK(CTS_94),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [18]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_26228),
+	.SCE(n_8548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][19]  (
+	.CLK(CTS_97),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [19]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_41418),
+	.SCE(n_8548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][20]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [20]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_58683),
+	.SCE(n_8548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][21]  (
+	.CLK(CTS_26),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [21]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81732),
+	.SCE(n_8548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][22]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [22]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80787),
+	.SCE(n_8548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][23]  (
+	.CLK(CTS_21),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [23]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81707),
+	.SCE(n_8548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][24]  (
+	.CLK(CTS_13),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [24]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_91429),
+	.SCE(n_8548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][25]  (
+	.CLK(CTS_27),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [25]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61127),
+	.SCE(n_8548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][26]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [26]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_62943),
+	.SCE(n_8548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][27]  (
+	.CLK(CTS_14),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [27]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_38889),
+	.SCE(n_8548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][28]  (
+	.CLK(CTS_13),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [28]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_60521),
+	.SCE(n_8548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][29]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [29]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_43178),
+	.SCE(n_8548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][30]  (
+	.CLK(CTS_20),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [30]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79280),
+	.SCE(n_8548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][31]  (
+	.CLK(CTS_26),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [31]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_70610),
+	.SCE(n_8548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][0]  (
+	.CLK(CTS_21),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [0]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61465),
+	.SCE(n_8552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][1]  (
+	.CLK(CTS_26),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [1]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_48951),
+	.SCE(n_8552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][2]  (
+	.CLK(CTS_19),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [2]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_49539),
+	.SCE(n_8552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][3]  (
+	.CLK(CTS_15),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [3]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_75820),
+	.SCE(n_8552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][4]  (
+	.CLK(CTS_17),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [4]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61343),
+	.SCE(n_8552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][5]  (
+	.CLK(CTS_15),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [5]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_78032),
+	.SCE(n_8552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][6]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [6]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_36668),
+	.SCE(n_8552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][7]  (
+	.CLK(CTS_13),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [7]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79116),
+	.SCE(n_8552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][8]  (
+	.CLK(CTS_30),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [8]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_26213),
+	.SCE(n_8552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][9]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [9]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79365),
+	.SCE(n_8552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][10]  (
+	.CLK(CTS_17),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [10]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_63770),
+	.SCE(n_8552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][11]  (
+	.CLK(CTS_16),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [11]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_48252),
+	.SCE(n_8552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][12]  (
+	.CLK(CTS_19),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [12]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81714),
+	.SCE(n_8552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][13]  (
+	.CLK(CTS_19),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [13]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_71268),
+	.SCE(n_8552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][14]  (
+	.CLK(CTS_25),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [14]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_69718),
+	.SCE(n_8552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][15]  (
+	.CLK(CTS_16),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [15]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_42763),
+	.SCE(n_8552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][16]  (
+	.CLK(CTS_27),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [16]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_26219),
+	.SCE(n_8552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][17]  (
+	.CLK(CTS_16),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [17]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80166),
+	.SCE(n_8552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][18]  (
+	.CLK(CTS_97),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [18]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_26228),
+	.SCE(n_8552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][19]  (
+	.CLK(CTS_97),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [19]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_41418),
+	.SCE(n_8552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][20]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [20]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_58683),
+	.SCE(n_8552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][21]  (
+	.CLK(CTS_26),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [21]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81732),
+	.SCE(n_8552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][22]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [22]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80787),
+	.SCE(n_8552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][23]  (
+	.CLK(CTS_21),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [23]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81707),
+	.SCE(n_8552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][24]  (
+	.CLK(CTS_14),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [24]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_91429),
+	.SCE(n_8552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][25]  (
+	.CLK(CTS_27),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [25]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61127),
+	.SCE(n_8552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][26]  (
+	.CLK(CTS_17),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [26]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_62943),
+	.SCE(n_8552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][27]  (
+	.CLK(CTS_14),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [27]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_38889),
+	.SCE(n_8552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][28]  (
+	.CLK(CTS_13),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [28]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_60521),
+	.SCE(n_8552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][29]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [29]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_43178),
+	.SCE(n_8552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][30]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [30]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79280),
+	.SCE(n_8552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][31]  (
+	.CLK(CTS_26),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [31]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_70610),
+	.SCE(n_8552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][0]  (
+	.CLK(CTS_21),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [0]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61465),
+	.SCE(n_8543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][1]  (
+	.CLK(CTS_23),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [1]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_48951),
+	.SCE(n_8543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][2]  (
+	.CLK(CTS_19),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [2]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_49539),
+	.SCE(n_8543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][3]  (
+	.CLK(CTS_15),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [3]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_75820),
+	.SCE(n_8543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][4]  (
+	.CLK(CTS_17),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [4]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61343),
+	.SCE(n_8543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][5]  (
+	.CLK(CTS_17),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [5]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_78032),
+	.SCE(n_8543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][6]  (
+	.CLK(CTS_20),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [6]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_36668),
+	.SCE(n_8543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][7]  (
+	.CLK(CTS_13),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [7]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79116),
+	.SCE(n_8543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][8]  (
+	.CLK(CTS_30),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [8]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_26213),
+	.SCE(n_8543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][9]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [9]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79365),
+	.SCE(n_8543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][10]  (
+	.CLK(CTS_17),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [10]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_63770),
+	.SCE(n_8543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][11]  (
+	.CLK(CTS_16),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [11]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_48252),
+	.SCE(n_8543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][12]  (
+	.CLK(CTS_19),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [12]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81714),
+	.SCE(n_8543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][13]  (
+	.CLK(CTS_19),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [13]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_71268),
+	.SCE(n_8543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][14]  (
+	.CLK(CTS_25),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [14]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_69718),
+	.SCE(n_8543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][15]  (
+	.CLK(CTS_16),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [15]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_42763),
+	.SCE(n_8543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][16]  (
+	.CLK(CTS_27),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [16]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_26219),
+	.SCE(n_8543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][17]  (
+	.CLK(CTS_16),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [17]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80166),
+	.SCE(n_8543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][18]  (
+	.CLK(CTS_94),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [18]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_26228),
+	.SCE(n_8543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][19]  (
+	.CLK(CTS_97),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [19]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_41418),
+	.SCE(n_8543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][20]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [20]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_58683),
+	.SCE(n_8543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][21]  (
+	.CLK(CTS_26),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [21]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81732),
+	.SCE(n_8543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][22]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [22]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80787),
+	.SCE(n_8543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][23]  (
+	.CLK(CTS_21),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [23]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81707),
+	.SCE(n_8543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][24]  (
+	.CLK(CTS_14),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [24]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_91429),
+	.SCE(n_8543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][25]  (
+	.CLK(CTS_27),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [25]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61127),
+	.SCE(n_8543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][26]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [26]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_62943),
+	.SCE(n_8543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][27]  (
+	.CLK(CTS_14),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [27]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_38889),
+	.SCE(n_8543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][28]  (
+	.CLK(CTS_13),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [28]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_60521),
+	.SCE(n_8543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][29]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [29]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_43178),
+	.SCE(n_8543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][30]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [30]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79280),
+	.SCE(n_8543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][31]  (
+	.CLK(CTS_26),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [31]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_70610),
+	.SCE(n_8543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][0]  (
+	.CLK(CTS_21),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [0]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61465),
+	.SCE(n_8541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][1]  (
+	.CLK(CTS_23),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [1]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_48951),
+	.SCE(n_8541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][2]  (
+	.CLK(CTS_19),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [2]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_49539),
+	.SCE(n_8541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][3]  (
+	.CLK(CTS_15),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [3]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_75820),
+	.SCE(n_8541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][4]  (
+	.CLK(CTS_17),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [4]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61343),
+	.SCE(n_8541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][5]  (
+	.CLK(CTS_15),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [5]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_78032),
+	.SCE(n_8541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][6]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [6]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_36668),
+	.SCE(n_8541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][7]  (
+	.CLK(CTS_13),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [7]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79116),
+	.SCE(n_8541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][8]  (
+	.CLK(CTS_15),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [8]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_26213),
+	.SCE(n_8541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][9]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [9]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79365),
+	.SCE(n_8541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][10]  (
+	.CLK(CTS_17),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [10]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_63770),
+	.SCE(n_8541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][11]  (
+	.CLK(CTS_16),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [11]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_48252),
+	.SCE(n_8541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][12]  (
+	.CLK(CTS_19),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [12]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81714),
+	.SCE(n_8541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][13]  (
+	.CLK(CTS_19),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [13]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_71268),
+	.SCE(n_8541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][14]  (
+	.CLK(CTS_25),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [14]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_69718),
+	.SCE(n_8541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][15]  (
+	.CLK(CTS_16),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [15]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_42763),
+	.SCE(n_8541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][16]  (
+	.CLK(CTS_27),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [16]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_26219),
+	.SCE(n_8541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][17]  (
+	.CLK(CTS_16),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [17]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80166),
+	.SCE(n_8541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][18]  (
+	.CLK(CTS_94),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [18]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_26228),
+	.SCE(n_8541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][19]  (
+	.CLK(CTS_97),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [19]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_41418),
+	.SCE(n_8541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][20]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [20]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_58683),
+	.SCE(n_8541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][21]  (
+	.CLK(CTS_26),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [21]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81732),
+	.SCE(n_8541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][22]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [22]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80787),
+	.SCE(n_8541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][23]  (
+	.CLK(CTS_21),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [23]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81707),
+	.SCE(n_8541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][24]  (
+	.CLK(CTS_14),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [24]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_91429),
+	.SCE(n_8541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][25]  (
+	.CLK(CTS_27),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [25]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61127),
+	.SCE(n_8541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][26]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [26]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_62943),
+	.SCE(n_8541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][27]  (
+	.CLK(CTS_14),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [27]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_38889),
+	.SCE(n_8541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][28]  (
+	.CLK(CTS_13),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [28]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_60521),
+	.SCE(n_8541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][29]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [29]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_43178),
+	.SCE(n_8541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][30]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [30]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79280),
+	.SCE(n_8541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][31]  (
+	.CLK(CTS_26),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [31]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_70610),
+	.SCE(n_8541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][0]  (
+	.CLK(CTS_21),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [0]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61465),
+	.SCE(n_7600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][1]  (
+	.CLK(CTS_23),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [1]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_48951),
+	.SCE(n_7600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][2]  (
+	.CLK(CTS_19),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [2]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_49539),
+	.SCE(n_7600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][3]  (
+	.CLK(CTS_15),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [3]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_75820),
+	.SCE(n_7600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][4]  (
+	.CLK(CTS_17),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [4]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61343),
+	.SCE(n_7600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][5]  (
+	.CLK(CTS_15),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [5]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_78032),
+	.SCE(n_7600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][6]  (
+	.CLK(CTS_20),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [6]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_36668),
+	.SCE(n_7600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][7]  (
+	.CLK(CTS_13),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [7]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79116),
+	.SCE(n_7600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][8]  (
+	.CLK(CTS_30),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [8]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_26213),
+	.SCE(n_7600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][9]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [9]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79365),
+	.SCE(n_7600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][10]  (
+	.CLK(CTS_17),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [10]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_63770),
+	.SCE(n_7600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][11]  (
+	.CLK(CTS_16),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [11]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_48252),
+	.SCE(n_7600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][12]  (
+	.CLK(CTS_19),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [12]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81714),
+	.SCE(n_7600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][13]  (
+	.CLK(CTS_19),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [13]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_71268),
+	.SCE(n_7600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][14]  (
+	.CLK(CTS_25),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [14]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_69718),
+	.SCE(n_7600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][15]  (
+	.CLK(CTS_16),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [15]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_42763),
+	.SCE(n_7600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][16]  (
+	.CLK(CTS_26),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [16]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_26219),
+	.SCE(n_7600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][17]  (
+	.CLK(CTS_16),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [17]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80166),
+	.SCE(n_7600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][18]  (
+	.CLK(CTS_94),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [18]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_26228),
+	.SCE(n_7600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][19]  (
+	.CLK(CTS_97),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [19]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_41418),
+	.SCE(n_7600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][20]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [20]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_58683),
+	.SCE(n_7600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][21]  (
+	.CLK(CTS_26),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [21]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81732),
+	.SCE(n_7600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][22]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [22]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80787),
+	.SCE(n_7600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][23]  (
+	.CLK(CTS_21),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [23]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81707),
+	.SCE(n_7600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][24]  (
+	.CLK(CTS_13),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [24]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_91429),
+	.SCE(n_7600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][25]  (
+	.CLK(CTS_27),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [25]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61127),
+	.SCE(n_7600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][26]  (
+	.CLK(CTS_17),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [26]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_62943),
+	.SCE(n_7600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][27]  (
+	.CLK(CTS_14),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [27]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_38889),
+	.SCE(n_7600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][28]  (
+	.CLK(CTS_13),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [28]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_60521),
+	.SCE(n_7600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][29]  (
+	.CLK(CTS_20),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [29]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_43178),
+	.SCE(n_7600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][30]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [30]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79280),
+	.SCE(n_7600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][31]  (
+	.CLK(CTS_26),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [31]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_70610),
+	.SCE(n_7600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][0]  (
+	.CLK(CTS_21),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [0]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61465),
+	.SCE(n_7599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][1]  (
+	.CLK(CTS_23),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [1]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_48951),
+	.SCE(n_7599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][2]  (
+	.CLK(CTS_19),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [2]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_49539),
+	.SCE(n_7599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][3]  (
+	.CLK(CTS_15),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [3]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_75820),
+	.SCE(n_7599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][4]  (
+	.CLK(CTS_17),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [4]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61343),
+	.SCE(n_7599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][5]  (
+	.CLK(CTS_15),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [5]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_78032),
+	.SCE(n_7599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][6]  (
+	.CLK(CTS_20),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [6]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_36668),
+	.SCE(n_7599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][7]  (
+	.CLK(CTS_13),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [7]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79116),
+	.SCE(n_7599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][8]  (
+	.CLK(CTS_15),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [8]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_26213),
+	.SCE(n_7599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][9]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [9]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79365),
+	.SCE(n_7599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][10]  (
+	.CLK(CTS_17),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [10]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_63770),
+	.SCE(n_7599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][11]  (
+	.CLK(CTS_16),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [11]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_48252),
+	.SCE(n_7599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][12]  (
+	.CLK(CTS_19),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [12]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81714),
+	.SCE(n_7599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][13]  (
+	.CLK(CTS_19),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [13]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_71268),
+	.SCE(n_7599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][14]  (
+	.CLK(CTS_26),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [14]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_69718),
+	.SCE(n_7599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][15]  (
+	.CLK(CTS_16),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [15]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_42763),
+	.SCE(n_7599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][16]  (
+	.CLK(CTS_27),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [16]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_26219),
+	.SCE(n_7599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][17]  (
+	.CLK(CTS_16),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [17]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80166),
+	.SCE(n_7599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][18]  (
+	.CLK(CTS_94),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [18]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_26228),
+	.SCE(n_7599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][19]  (
+	.CLK(CTS_97),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [19]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_41418),
+	.SCE(n_7599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][20]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [20]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_58683),
+	.SCE(n_7599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][21]  (
+	.CLK(CTS_26),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [21]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81732),
+	.SCE(n_7599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][22]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [22]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80787),
+	.SCE(n_7599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][23]  (
+	.CLK(CTS_21),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [23]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81707),
+	.SCE(n_7599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][24]  (
+	.CLK(CTS_13),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [24]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_91429),
+	.SCE(n_7599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][25]  (
+	.CLK(CTS_27),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [25]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61127),
+	.SCE(n_7599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][26]  (
+	.CLK(CTS_17),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [26]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_62943),
+	.SCE(n_7599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][27]  (
+	.CLK(CTS_14),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [27]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_38889),
+	.SCE(n_7599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][28]  (
+	.CLK(CTS_13),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [28]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_60521),
+	.SCE(n_7599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][29]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [29]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_43178),
+	.SCE(n_7599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][30]  (
+	.CLK(CTS_20),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [30]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79280),
+	.SCE(n_7599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][31]  (
+	.CLK(CTS_26),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [31]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_70610),
+	.SCE(n_7599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][0]  (
+	.CLK(CTS_21),
+	.D(n_61465),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [0]),
+	.SCE(n_7597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][1]  (
+	.CLK(CTS_23),
+	.D(n_48951),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [1]),
+	.SCE(n_7597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][2]  (
+	.CLK(CTS_19),
+	.D(n_49539),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [2]),
+	.SCE(n_7597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][3]  (
+	.CLK(CTS_15),
+	.D(n_75820),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [3]),
+	.SCE(n_7597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][4]  (
+	.CLK(CTS_17),
+	.D(n_61343),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [4]),
+	.SCE(n_7597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][5]  (
+	.CLK(CTS_17),
+	.D(n_78032),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [5]),
+	.SCE(n_7597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][6]  (
+	.CLK(CTS_20),
+	.D(n_36668),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [6]),
+	.SCE(n_7597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][7]  (
+	.CLK(CTS_13),
+	.D(n_79116),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [7]),
+	.SCE(n_7597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][8]  (
+	.CLK(CTS_15),
+	.D(n_26213),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [8]),
+	.SCE(n_7597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][9]  (
+	.CLK(CTS_20),
+	.D(n_79365),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [9]),
+	.SCE(n_7597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][10]  (
+	.CLK(CTS_17),
+	.D(n_63770),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [10]),
+	.SCE(n_7597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][11]  (
+	.CLK(CTS_16),
+	.D(n_48252),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [11]),
+	.SCE(n_7597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][12]  (
+	.CLK(CTS_15),
+	.D(n_81714),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [12]),
+	.SCE(n_7597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][13]  (
+	.CLK(CTS_15),
+	.D(n_71268),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [13]),
+	.SCE(n_7597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][14]  (
+	.CLK(CTS_26),
+	.D(n_69718),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [14]),
+	.SCE(n_7597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][15]  (
+	.CLK(CTS_13),
+	.D(n_42763),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [15]),
+	.SCE(n_7597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][16]  (
+	.CLK(CTS_27),
+	.D(n_26219),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [16]),
+	.SCE(n_7597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][17]  (
+	.CLK(CTS_16),
+	.D(n_80166),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [17]),
+	.SCE(n_7597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][18]  (
+	.CLK(CTS_27),
+	.D(n_26228),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [18]),
+	.SCE(n_7597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][19]  (
+	.CLK(CTS_27),
+	.D(n_41418),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [19]),
+	.SCE(n_7597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][20]  (
+	.CLK(CTS_24),
+	.D(n_58683),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [20]),
+	.SCE(n_7597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][21]  (
+	.CLK(CTS_26),
+	.D(n_81732),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [21]),
+	.SCE(n_7597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][22]  (
+	.CLK(CTS_25),
+	.D(n_80787),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [22]),
+	.SCE(n_7597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][23]  (
+	.CLK(CTS_21),
+	.D(n_81707),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [23]),
+	.SCE(n_7597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][24]  (
+	.CLK(CTS_13),
+	.D(n_91429),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [24]),
+	.SCE(n_7597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][25]  (
+	.CLK(CTS_27),
+	.D(n_61127),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [25]),
+	.SCE(n_7597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][26]  (
+	.CLK(CTS_20),
+	.D(n_62943),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [26]),
+	.SCE(n_7597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][27]  (
+	.CLK(CTS_14),
+	.D(n_38889),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [27]),
+	.SCE(n_7597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][28]  (
+	.CLK(CTS_13),
+	.D(n_60521),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [28]),
+	.SCE(n_7597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][29]  (
+	.CLK(CTS_20),
+	.D(n_43178),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [29]),
+	.SCE(n_7597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][30]  (
+	.CLK(CTS_20),
+	.D(n_79280),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [30]),
+	.SCE(n_7597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][31]  (
+	.CLK(CTS_26),
+	.D(n_70610),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [31]),
+	.SCE(n_7597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][0]  (
+	.CLK(CTS_21),
+	.D(n_61465),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [0]),
+	.SCE(n_7586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][1]  (
+	.CLK(CTS_23),
+	.D(n_48951),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [1]),
+	.SCE(n_7586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][2]  (
+	.CLK(CTS_19),
+	.D(n_49539),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [2]),
+	.SCE(n_7586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][3]  (
+	.CLK(CTS_15),
+	.D(n_75820),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [3]),
+	.SCE(n_7586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][4]  (
+	.CLK(CTS_17),
+	.D(n_61343),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [4]),
+	.SCE(n_7586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][5]  (
+	.CLK(CTS_17),
+	.D(n_78032),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [5]),
+	.SCE(n_7586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][6]  (
+	.CLK(CTS_20),
+	.D(n_36668),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [6]),
+	.SCE(n_7586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][7]  (
+	.CLK(CTS_13),
+	.D(n_79116),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [7]),
+	.SCE(n_7586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][8]  (
+	.CLK(CTS_15),
+	.D(n_26213),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [8]),
+	.SCE(n_7586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][9]  (
+	.CLK(CTS_20),
+	.D(n_79365),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [9]),
+	.SCE(n_7586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][10]  (
+	.CLK(CTS_17),
+	.D(n_63770),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [10]),
+	.SCE(n_7586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][11]  (
+	.CLK(CTS_16),
+	.D(n_48252),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [11]),
+	.SCE(n_7586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][12]  (
+	.CLK(CTS_19),
+	.D(n_81714),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [12]),
+	.SCE(n_7586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][13]  (
+	.CLK(CTS_15),
+	.D(n_71268),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [13]),
+	.SCE(n_7586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][14]  (
+	.CLK(CTS_26),
+	.D(n_69718),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [14]),
+	.SCE(n_7586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][15]  (
+	.CLK(CTS_16),
+	.D(n_42763),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [15]),
+	.SCE(n_7586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][16]  (
+	.CLK(CTS_27),
+	.D(n_26219),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [16]),
+	.SCE(n_7586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][17]  (
+	.CLK(CTS_16),
+	.D(n_80166),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [17]),
+	.SCE(n_7586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][18]  (
+	.CLK(CTS_27),
+	.D(n_26228),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [18]),
+	.SCE(n_7586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][19]  (
+	.CLK(CTS_97),
+	.D(n_41418),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [19]),
+	.SCE(n_7586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][20]  (
+	.CLK(CTS_25),
+	.D(n_58683),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [20]),
+	.SCE(n_7586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][21]  (
+	.CLK(CTS_26),
+	.D(n_81732),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [21]),
+	.SCE(n_7586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][22]  (
+	.CLK(CTS_26),
+	.D(n_80787),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [22]),
+	.SCE(n_7586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][23]  (
+	.CLK(CTS_21),
+	.D(n_81707),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [23]),
+	.SCE(n_7586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][24]  (
+	.CLK(CTS_13),
+	.D(n_91429),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [24]),
+	.SCE(n_7586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][25]  (
+	.CLK(CTS_27),
+	.D(n_61127),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [25]),
+	.SCE(n_7586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][26]  (
+	.CLK(CTS_17),
+	.D(n_62943),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [26]),
+	.SCE(n_7586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][27]  (
+	.CLK(CTS_14),
+	.D(n_38889),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [27]),
+	.SCE(n_7586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][28]  (
+	.CLK(CTS_13),
+	.D(n_60521),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [28]),
+	.SCE(n_7586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][29]  (
+	.CLK(CTS_20),
+	.D(n_43178),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [29]),
+	.SCE(n_7586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][30]  (
+	.CLK(CTS_20),
+	.D(n_79280),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [30]),
+	.SCE(n_7586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][31]  (
+	.CLK(CTS_26),
+	.D(n_70610),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [31]),
+	.SCE(n_7586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][0]  (
+	.CLK(CTS_21),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [0]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61465),
+	.SCE(n_8553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][1]  (
+	.CLK(CTS_23),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [1]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_48951),
+	.SCE(n_8553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][2]  (
+	.CLK(CTS_19),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [2]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_49539),
+	.SCE(n_8553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][3]  (
+	.CLK(CTS_17),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [3]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_75820),
+	.SCE(n_8553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][4]  (
+	.CLK(CTS_17),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [4]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61343),
+	.SCE(n_8553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][5]  (
+	.CLK(CTS_17),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [5]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_78032),
+	.SCE(n_8553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][6]  (
+	.CLK(CTS_20),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [6]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_36668),
+	.SCE(n_8553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][7]  (
+	.CLK(CTS_13),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [7]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79116),
+	.SCE(n_8553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][8]  (
+	.CLK(CTS_15),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [8]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_26213),
+	.SCE(n_8553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][9]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [9]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79365),
+	.SCE(n_8553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][10]  (
+	.CLK(CTS_17),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [10]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_63770),
+	.SCE(n_8553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][11]  (
+	.CLK(CTS_16),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [11]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_48252),
+	.SCE(n_8553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][12]  (
+	.CLK(CTS_19),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [12]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81714),
+	.SCE(n_8553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][13]  (
+	.CLK(CTS_15),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [13]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_71268),
+	.SCE(n_8553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][14]  (
+	.CLK(CTS_26),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [14]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_69718),
+	.SCE(n_8553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][15]  (
+	.CLK(CTS_16),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [15]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_42763),
+	.SCE(n_8553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][16]  (
+	.CLK(CTS_27),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [16]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_26219),
+	.SCE(n_8553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][17]  (
+	.CLK(CTS_16),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [17]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80166),
+	.SCE(n_8553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][18]  (
+	.CLK(CTS_27),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [18]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_26228),
+	.SCE(n_8553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][19]  (
+	.CLK(CTS_97),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [19]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_41418),
+	.SCE(n_8553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][20]  (
+	.CLK(CTS_25),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [20]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_58683),
+	.SCE(n_8553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][21]  (
+	.CLK(CTS_26),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [21]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81732),
+	.SCE(n_8553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][22]  (
+	.CLK(CTS_25),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [22]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80787),
+	.SCE(n_8553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][23]  (
+	.CLK(CTS_21),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [23]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81707),
+	.SCE(n_8553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][24]  (
+	.CLK(CTS_13),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [24]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_91429),
+	.SCE(n_8553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][25]  (
+	.CLK(CTS_94),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [25]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61127),
+	.SCE(n_8553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][26]  (
+	.CLK(CTS_20),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [26]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_62943),
+	.SCE(n_8553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][27]  (
+	.CLK(CTS_14),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [27]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_38889),
+	.SCE(n_8553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][28]  (
+	.CLK(CTS_13),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [28]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_60521),
+	.SCE(n_8553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][29]  (
+	.CLK(CTS_20),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [29]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_43178),
+	.SCE(n_8553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][30]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [30]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79280),
+	.SCE(n_8553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][31]  (
+	.CLK(CTS_26),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [31]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_70610),
+	.SCE(n_8553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][0]  (
+	.CLK(CTS_21),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [0]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61465),
+	.SCE(n_8554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][1]  (
+	.CLK(CTS_26),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [1]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_48951),
+	.SCE(n_8554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][2]  (
+	.CLK(CTS_19),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [2]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_49539),
+	.SCE(n_8554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][3]  (
+	.CLK(CTS_17),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [3]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_75820),
+	.SCE(n_8554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][4]  (
+	.CLK(CTS_20),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [4]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61343),
+	.SCE(n_8554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][5]  (
+	.CLK(CTS_17),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [5]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_78032),
+	.SCE(n_8554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][6]  (
+	.CLK(CTS_20),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [6]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_36668),
+	.SCE(n_8554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][7]  (
+	.CLK(CTS_13),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [7]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79116),
+	.SCE(n_8554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][8]  (
+	.CLK(CTS_30),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [8]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_26213),
+	.SCE(n_8554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][9]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [9]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79365),
+	.SCE(n_8554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][10]  (
+	.CLK(CTS_17),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [10]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_63770),
+	.SCE(n_8554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][11]  (
+	.CLK(CTS_16),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [11]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_48252),
+	.SCE(n_8554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][12]  (
+	.CLK(CTS_19),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [12]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81714),
+	.SCE(n_8554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][13]  (
+	.CLK(CTS_19),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [13]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_71268),
+	.SCE(n_8554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][14]  (
+	.CLK(CTS_26),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [14]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_69718),
+	.SCE(n_8554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][15]  (
+	.CLK(CTS_13),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [15]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_42763),
+	.SCE(n_8554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][16]  (
+	.CLK(CTS_27),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [16]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_26219),
+	.SCE(n_8554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][17]  (
+	.CLK(CTS_16),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [17]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80166),
+	.SCE(n_8554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][18]  (
+	.CLK(CTS_27),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [18]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_26228),
+	.SCE(n_8554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][19]  (
+	.CLK(CTS_97),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [19]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_41418),
+	.SCE(n_8554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][20]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [20]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_58683),
+	.SCE(n_8554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][21]  (
+	.CLK(CTS_26),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [21]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81732),
+	.SCE(n_8554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][22]  (
+	.CLK(CTS_26),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [22]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80787),
+	.SCE(n_8554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][23]  (
+	.CLK(CTS_21),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [23]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81707),
+	.SCE(n_8554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][24]  (
+	.CLK(CTS_14),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [24]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_91429),
+	.SCE(n_8554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][25]  (
+	.CLK(CTS_94),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [25]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61127),
+	.SCE(n_8554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][26]  (
+	.CLK(CTS_20),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [26]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_62943),
+	.SCE(n_8554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][27]  (
+	.CLK(CTS_14),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [27]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_38889),
+	.SCE(n_8554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][28]  (
+	.CLK(CTS_21),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [28]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_60521),
+	.SCE(n_8554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][29]  (
+	.CLK(CTS_20),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [29]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_43178),
+	.SCE(n_8554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][30]  (
+	.CLK(CTS_20),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [30]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79280),
+	.SCE(n_8554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][31]  (
+	.CLK(CTS_26),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [31]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_70610),
+	.SCE(n_8554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][0]  (
+	.CLK(CTS_21),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [0]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61465),
+	.SCE(n_8555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][1]  (
+	.CLK(CTS_23),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [1]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_48951),
+	.SCE(n_8555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][2]  (
+	.CLK(CTS_19),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [2]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_49539),
+	.SCE(n_8555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][3]  (
+	.CLK(CTS_17),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [3]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_75820),
+	.SCE(n_8555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][4]  (
+	.CLK(CTS_20),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [4]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61343),
+	.SCE(n_8555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][5]  (
+	.CLK(CTS_15),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [5]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_78032),
+	.SCE(n_8555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][6]  (
+	.CLK(CTS_20),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [6]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_36668),
+	.SCE(n_8555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][7]  (
+	.CLK(CTS_13),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [7]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79116),
+	.SCE(n_8555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][8]  (
+	.CLK(CTS_30),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [8]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_26213),
+	.SCE(n_8555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][9]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [9]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79365),
+	.SCE(n_8555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][10]  (
+	.CLK(CTS_17),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [10]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_63770),
+	.SCE(n_8555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][11]  (
+	.CLK(CTS_16),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [11]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_48252),
+	.SCE(n_8555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][12]  (
+	.CLK(CTS_15),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [12]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81714),
+	.SCE(n_8555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][13]  (
+	.CLK(CTS_15),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [13]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_71268),
+	.SCE(n_8555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][14]  (
+	.CLK(CTS_26),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [14]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_69718),
+	.SCE(n_8555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][15]  (
+	.CLK(CTS_13),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [15]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_42763),
+	.SCE(n_8555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][16]  (
+	.CLK(CTS_26),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [16]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_26219),
+	.SCE(n_8555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][17]  (
+	.CLK(CTS_16),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [17]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80166),
+	.SCE(n_8555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][18]  (
+	.CLK(CTS_94),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [18]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_26228),
+	.SCE(n_8555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][19]  (
+	.CLK(CTS_94),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [19]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_41418),
+	.SCE(n_8555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][20]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [20]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_58683),
+	.SCE(n_8555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][21]  (
+	.CLK(CTS_26),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [21]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81732),
+	.SCE(n_8555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][22]  (
+	.CLK(CTS_25),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [22]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80787),
+	.SCE(n_8555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][23]  (
+	.CLK(CTS_21),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [23]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81707),
+	.SCE(n_8555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][24]  (
+	.CLK(CTS_13),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [24]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_91429),
+	.SCE(n_8555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][25]  (
+	.CLK(CTS_94),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [25]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61127),
+	.SCE(n_8555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][26]  (
+	.CLK(CTS_20),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [26]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_62943),
+	.SCE(n_8555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][27]  (
+	.CLK(CTS_14),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [27]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_38889),
+	.SCE(n_8555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][28]  (
+	.CLK(CTS_13),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [28]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_60521),
+	.SCE(n_8555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][29]  (
+	.CLK(CTS_20),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [29]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_43178),
+	.SCE(n_8555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][30]  (
+	.CLK(CTS_20),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [30]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79280),
+	.SCE(n_8555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][31]  (
+	.CLK(CTS_26),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [31]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_70610),
+	.SCE(n_8555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][0]  (
+	.CLK(CTS_21),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [0]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61465),
+	.SCE(n_8556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][1]  (
+	.CLK(CTS_23),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [1]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_48951),
+	.SCE(n_8556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][2]  (
+	.CLK(CTS_19),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [2]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_49539),
+	.SCE(n_8556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][3]  (
+	.CLK(CTS_17),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [3]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_75820),
+	.SCE(n_8556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][4]  (
+	.CLK(CTS_17),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [4]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61343),
+	.SCE(n_8556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][5]  (
+	.CLK(CTS_15),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [5]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_78032),
+	.SCE(n_8556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][6]  (
+	.CLK(CTS_20),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [6]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_36668),
+	.SCE(n_8556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][7]  (
+	.CLK(CTS_13),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [7]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79116),
+	.SCE(n_8556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][8]  (
+	.CLK(CTS_30),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [8]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_26213),
+	.SCE(n_8556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][9]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [9]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79365),
+	.SCE(n_8556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][10]  (
+	.CLK(CTS_17),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [10]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_63770),
+	.SCE(n_8556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][11]  (
+	.CLK(CTS_16),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [11]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_48252),
+	.SCE(n_8556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][12]  (
+	.CLK(CTS_19),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [12]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81714),
+	.SCE(n_8556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][13]  (
+	.CLK(CTS_15),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [13]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_71268),
+	.SCE(n_8556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][14]  (
+	.CLK(CTS_26),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [14]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_69718),
+	.SCE(n_8556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][15]  (
+	.CLK(CTS_16),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [15]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_42763),
+	.SCE(n_8556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][16]  (
+	.CLK(CTS_27),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [16]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_26219),
+	.SCE(n_8556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][17]  (
+	.CLK(CTS_16),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [17]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80166),
+	.SCE(n_8556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][18]  (
+	.CLK(CTS_94),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [18]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_26228),
+	.SCE(n_8556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][19]  (
+	.CLK(CTS_94),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [19]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_41418),
+	.SCE(n_8556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][20]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [20]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_58683),
+	.SCE(n_8556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][21]  (
+	.CLK(CTS_26),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [21]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81732),
+	.SCE(n_8556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][22]  (
+	.CLK(CTS_26),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [22]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80787),
+	.SCE(n_8556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][23]  (
+	.CLK(CTS_21),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [23]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81707),
+	.SCE(n_8556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][24]  (
+	.CLK(CTS_13),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [24]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_91429),
+	.SCE(n_8556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][25]  (
+	.CLK(CTS_94),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [25]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61127),
+	.SCE(n_8556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][26]  (
+	.CLK(CTS_20),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [26]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_62943),
+	.SCE(n_8556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][27]  (
+	.CLK(CTS_14),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [27]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_38889),
+	.SCE(n_8556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][28]  (
+	.CLK(CTS_13),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [28]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_60521),
+	.SCE(n_8556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][29]  (
+	.CLK(CTS_20),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [29]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_43178),
+	.SCE(n_8556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][30]  (
+	.CLK(CTS_20),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [30]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79280),
+	.SCE(n_8556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][31]  (
+	.CLK(CTS_26),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [31]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_70610),
+	.SCE(n_8556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][0]  (
+	.CLK(CTS_21),
+	.D(n_61465),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [0]),
+	.SCE(n_7596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][1]  (
+	.CLK(CTS_23),
+	.D(n_48951),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [1]),
+	.SCE(n_7596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][2]  (
+	.CLK(CTS_19),
+	.D(n_49539),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [2]),
+	.SCE(n_7596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][3]  (
+	.CLK(CTS_15),
+	.D(n_75820),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [3]),
+	.SCE(n_7596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][4]  (
+	.CLK(CTS_17),
+	.D(n_61343),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [4]),
+	.SCE(n_7596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][5]  (
+	.CLK(CTS_15),
+	.D(n_78032),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [5]),
+	.SCE(n_7596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][6]  (
+	.CLK(CTS_20),
+	.D(n_36668),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [6]),
+	.SCE(n_7596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][7]  (
+	.CLK(CTS_13),
+	.D(n_79116),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [7]),
+	.SCE(n_7596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][8]  (
+	.CLK(CTS_30),
+	.D(n_26213),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [8]),
+	.SCE(n_7596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][9]  (
+	.CLK(CTS_24),
+	.D(n_79365),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [9]),
+	.SCE(n_7596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][10]  (
+	.CLK(CTS_17),
+	.D(n_63770),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [10]),
+	.SCE(n_7596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][11]  (
+	.CLK(CTS_16),
+	.D(n_48252),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [11]),
+	.SCE(n_7596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][12]  (
+	.CLK(CTS_15),
+	.D(n_81714),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [12]),
+	.SCE(n_7596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][13]  (
+	.CLK(CTS_19),
+	.D(n_71268),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [13]),
+	.SCE(n_7596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][14]  (
+	.CLK(CTS_26),
+	.D(n_69718),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [14]),
+	.SCE(n_7596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][15]  (
+	.CLK(CTS_13),
+	.D(n_42763),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [15]),
+	.SCE(n_7596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][16]  (
+	.CLK(CTS_26),
+	.D(n_26219),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [16]),
+	.SCE(n_7596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][17]  (
+	.CLK(CTS_16),
+	.D(n_80166),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [17]),
+	.SCE(n_7596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][18]  (
+	.CLK(CTS_27),
+	.D(n_26228),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [18]),
+	.SCE(n_7596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][19]  (
+	.CLK(CTS_97),
+	.D(n_41418),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [19]),
+	.SCE(n_7596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][20]  (
+	.CLK(CTS_24),
+	.D(n_58683),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [20]),
+	.SCE(n_7596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][21]  (
+	.CLK(CTS_26),
+	.D(n_81732),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [21]),
+	.SCE(n_7596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][22]  (
+	.CLK(CTS_24),
+	.D(n_80787),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [22]),
+	.SCE(n_7596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][23]  (
+	.CLK(CTS_21),
+	.D(n_81707),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [23]),
+	.SCE(n_7596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][24]  (
+	.CLK(CTS_13),
+	.D(n_91429),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [24]),
+	.SCE(n_7596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][25]  (
+	.CLK(CTS_94),
+	.D(n_61127),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [25]),
+	.SCE(n_7596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][26]  (
+	.CLK(CTS_20),
+	.D(n_62943),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [26]),
+	.SCE(n_7596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][27]  (
+	.CLK(CTS_13),
+	.D(n_38889),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [27]),
+	.SCE(n_7596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][28]  (
+	.CLK(CTS_13),
+	.D(n_60521),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [28]),
+	.SCE(n_7596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][29]  (
+	.CLK(CTS_20),
+	.D(n_43178),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [29]),
+	.SCE(n_7596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][30]  (
+	.CLK(CTS_20),
+	.D(n_79280),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [30]),
+	.SCE(n_7596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][31]  (
+	.CLK(CTS_26),
+	.D(n_70610),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [31]),
+	.SCE(n_7596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][0]  (
+	.CLK(CTS_21),
+	.D(n_61465),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [0]),
+	.SCE(n_7585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][1]  (
+	.CLK(CTS_23),
+	.D(n_48951),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [1]),
+	.SCE(n_7585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][2]  (
+	.CLK(CTS_19),
+	.D(n_49539),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [2]),
+	.SCE(n_7585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][3]  (
+	.CLK(CTS_17),
+	.D(n_75820),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [3]),
+	.SCE(n_7585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][4]  (
+	.CLK(CTS_20),
+	.D(n_61343),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [4]),
+	.SCE(n_7585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][5]  (
+	.CLK(CTS_15),
+	.D(n_78032),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [5]),
+	.SCE(n_7585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][6]  (
+	.CLK(CTS_20),
+	.D(n_36668),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [6]),
+	.SCE(n_7585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][7]  (
+	.CLK(CTS_13),
+	.D(n_79116),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [7]),
+	.SCE(n_7585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][8]  (
+	.CLK(CTS_30),
+	.D(n_26213),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [8]),
+	.SCE(n_7585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][9]  (
+	.CLK(CTS_24),
+	.D(n_79365),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [9]),
+	.SCE(n_7585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][10]  (
+	.CLK(CTS_17),
+	.D(n_63770),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [10]),
+	.SCE(n_7585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][11]  (
+	.CLK(CTS_16),
+	.D(n_48252),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [11]),
+	.SCE(n_7585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][12]  (
+	.CLK(CTS_19),
+	.D(n_81714),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [12]),
+	.SCE(n_7585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][13]  (
+	.CLK(CTS_15),
+	.D(n_71268),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [13]),
+	.SCE(n_7585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][14]  (
+	.CLK(CTS_26),
+	.D(n_69718),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [14]),
+	.SCE(n_7585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][15]  (
+	.CLK(CTS_13),
+	.D(n_42763),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [15]),
+	.SCE(n_7585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][16]  (
+	.CLK(CTS_27),
+	.D(n_26219),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [16]),
+	.SCE(n_7585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][17]  (
+	.CLK(CTS_16),
+	.D(n_80166),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [17]),
+	.SCE(n_7585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][18]  (
+	.CLK(CTS_27),
+	.D(n_26228),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [18]),
+	.SCE(n_7585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][19]  (
+	.CLK(CTS_94),
+	.D(n_41418),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [19]),
+	.SCE(n_7585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][20]  (
+	.CLK(CTS_25),
+	.D(n_58683),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [20]),
+	.SCE(n_7585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][21]  (
+	.CLK(CTS_26),
+	.D(n_81732),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [21]),
+	.SCE(n_7585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][22]  (
+	.CLK(CTS_26),
+	.D(n_80787),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [22]),
+	.SCE(n_7585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][23]  (
+	.CLK(CTS_21),
+	.D(n_81707),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [23]),
+	.SCE(n_7585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][24]  (
+	.CLK(CTS_13),
+	.D(n_91429),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [24]),
+	.SCE(n_7585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][25]  (
+	.CLK(CTS_94),
+	.D(n_61127),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [25]),
+	.SCE(n_7585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][26]  (
+	.CLK(CTS_20),
+	.D(n_62943),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [26]),
+	.SCE(n_7585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][27]  (
+	.CLK(CTS_13),
+	.D(n_38889),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [27]),
+	.SCE(n_7585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][28]  (
+	.CLK(CTS_13),
+	.D(n_60521),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [28]),
+	.SCE(n_7585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][29]  (
+	.CLK(CTS_20),
+	.D(n_43178),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [29]),
+	.SCE(n_7585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][30]  (
+	.CLK(CTS_20),
+	.D(n_79280),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [30]),
+	.SCE(n_7585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][31]  (
+	.CLK(CTS_26),
+	.D(n_70610),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [31]),
+	.SCE(n_7585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][0]  (
+	.CLK(CTS_21),
+	.D(n_61465),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [0]),
+	.SCE(n_7602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][1]  (
+	.CLK(CTS_23),
+	.D(n_48951),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [1]),
+	.SCE(n_7602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][2]  (
+	.CLK(CTS_19),
+	.D(n_49539),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [2]),
+	.SCE(n_7602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][3]  (
+	.CLK(CTS_17),
+	.D(n_75820),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [3]),
+	.SCE(n_7602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][4]  (
+	.CLK(CTS_17),
+	.D(n_61343),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [4]),
+	.SCE(n_7602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][5]  (
+	.CLK(CTS_15),
+	.D(n_78032),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [5]),
+	.SCE(n_7602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][6]  (
+	.CLK(CTS_20),
+	.D(n_36668),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [6]),
+	.SCE(n_7602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][7]  (
+	.CLK(CTS_13),
+	.D(n_79116),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [7]),
+	.SCE(n_7602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][8]  (
+	.CLK(CTS_30),
+	.D(n_26213),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [8]),
+	.SCE(n_7602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][9]  (
+	.CLK(CTS_20),
+	.D(n_79365),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [9]),
+	.SCE(n_7602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][10]  (
+	.CLK(CTS_17),
+	.D(n_63770),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [10]),
+	.SCE(n_7602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][11]  (
+	.CLK(CTS_16),
+	.D(n_48252),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [11]),
+	.SCE(n_7602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][12]  (
+	.CLK(CTS_19),
+	.D(n_81714),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [12]),
+	.SCE(n_7602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][13]  (
+	.CLK(CTS_15),
+	.D(n_71268),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [13]),
+	.SCE(n_7602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][14]  (
+	.CLK(CTS_26),
+	.D(n_69718),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [14]),
+	.SCE(n_7602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][15]  (
+	.CLK(CTS_16),
+	.D(n_42763),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [15]),
+	.SCE(n_7602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][16]  (
+	.CLK(CTS_27),
+	.D(n_26219),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [16]),
+	.SCE(n_7602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][17]  (
+	.CLK(CTS_16),
+	.D(n_80166),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [17]),
+	.SCE(n_7602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][18]  (
+	.CLK(CTS_27),
+	.D(n_26228),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [18]),
+	.SCE(n_7602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][19]  (
+	.CLK(CTS_94),
+	.D(n_41418),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [19]),
+	.SCE(n_7602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][20]  (
+	.CLK(CTS_24),
+	.D(n_58683),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [20]),
+	.SCE(n_7602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][21]  (
+	.CLK(CTS_26),
+	.D(n_81732),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [21]),
+	.SCE(n_7602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][22]  (
+	.CLK(CTS_26),
+	.D(n_80787),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [22]),
+	.SCE(n_7602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][23]  (
+	.CLK(CTS_21),
+	.D(n_81707),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [23]),
+	.SCE(n_7602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][24]  (
+	.CLK(CTS_14),
+	.D(n_91429),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [24]),
+	.SCE(n_7602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][25]  (
+	.CLK(CTS_27),
+	.D(n_61127),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [25]),
+	.SCE(n_7602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][26]  (
+	.CLK(CTS_20),
+	.D(n_62943),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [26]),
+	.SCE(n_7602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][27]  (
+	.CLK(CTS_14),
+	.D(n_38889),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [27]),
+	.SCE(n_7602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][28]  (
+	.CLK(CTS_13),
+	.D(n_60521),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [28]),
+	.SCE(n_7602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][29]  (
+	.CLK(CTS_20),
+	.D(n_43178),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [29]),
+	.SCE(n_7602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][30]  (
+	.CLK(CTS_20),
+	.D(n_79280),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [30]),
+	.SCE(n_7602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][31]  (
+	.CLK(CTS_26),
+	.D(n_70610),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [31]),
+	.SCE(n_7602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][0]  (
+	.CLK(CTS_21),
+	.D(n_61465),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [0]),
+	.SCE(n_7591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][1]  (
+	.CLK(CTS_23),
+	.D(n_48951),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [1]),
+	.SCE(n_7591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][2]  (
+	.CLK(CTS_19),
+	.D(n_49539),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [2]),
+	.SCE(n_7591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][3]  (
+	.CLK(CTS_17),
+	.D(n_75820),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [3]),
+	.SCE(n_7591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][4]  (
+	.CLK(CTS_17),
+	.D(n_61343),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [4]),
+	.SCE(n_7591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][5]  (
+	.CLK(CTS_15),
+	.D(n_78032),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [5]),
+	.SCE(n_7591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][6]  (
+	.CLK(CTS_20),
+	.D(n_36668),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [6]),
+	.SCE(n_7591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][7]  (
+	.CLK(CTS_13),
+	.D(n_79116),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [7]),
+	.SCE(n_7591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][8]  (
+	.CLK(CTS_30),
+	.D(n_26213),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [8]),
+	.SCE(n_7591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][9]  (
+	.CLK(CTS_20),
+	.D(n_79365),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [9]),
+	.SCE(n_7591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][10]  (
+	.CLK(CTS_17),
+	.D(n_63770),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [10]),
+	.SCE(n_7591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][11]  (
+	.CLK(CTS_16),
+	.D(n_48252),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [11]),
+	.SCE(n_7591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][12]  (
+	.CLK(CTS_19),
+	.D(n_81714),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [12]),
+	.SCE(n_7591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][13]  (
+	.CLK(CTS_15),
+	.D(n_71268),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [13]),
+	.SCE(n_7591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][14]  (
+	.CLK(CTS_26),
+	.D(n_69718),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [14]),
+	.SCE(n_7591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][15]  (
+	.CLK(CTS_13),
+	.D(n_42763),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [15]),
+	.SCE(n_7591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][16]  (
+	.CLK(CTS_26),
+	.D(n_26219),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [16]),
+	.SCE(n_7591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][17]  (
+	.CLK(CTS_16),
+	.D(n_80166),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [17]),
+	.SCE(n_7591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][18]  (
+	.CLK(CTS_27),
+	.D(n_26228),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [18]),
+	.SCE(n_7591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][19]  (
+	.CLK(CTS_94),
+	.D(n_41418),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [19]),
+	.SCE(n_7591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][20]  (
+	.CLK(CTS_24),
+	.D(n_58683),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [20]),
+	.SCE(n_7591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][21]  (
+	.CLK(CTS_26),
+	.D(n_81732),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [21]),
+	.SCE(n_7591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][22]  (
+	.CLK(CTS_26),
+	.D(n_80787),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [22]),
+	.SCE(n_7591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][23]  (
+	.CLK(CTS_21),
+	.D(n_81707),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [23]),
+	.SCE(n_7591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][24]  (
+	.CLK(CTS_14),
+	.D(n_91429),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [24]),
+	.SCE(n_7591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][25]  (
+	.CLK(CTS_27),
+	.D(n_61127),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [25]),
+	.SCE(n_7591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][26]  (
+	.CLK(CTS_20),
+	.D(n_62943),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [26]),
+	.SCE(n_7591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][27]  (
+	.CLK(CTS_13),
+	.D(n_38889),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [27]),
+	.SCE(n_7591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][28]  (
+	.CLK(CTS_13),
+	.D(n_60521),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [28]),
+	.SCE(n_7591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][29]  (
+	.CLK(CTS_20),
+	.D(n_43178),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [29]),
+	.SCE(n_7591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][30]  (
+	.CLK(CTS_20),
+	.D(n_79280),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [30]),
+	.SCE(n_7591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][31]  (
+	.CLK(CTS_26),
+	.D(n_70610),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [31]),
+	.SCE(n_7591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][0]  (
+	.CLK(CTS_21),
+	.D(n_61465),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [0]),
+	.SCE(n_8538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][1]  (
+	.CLK(CTS_23),
+	.D(n_48951),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [1]),
+	.SCE(n_8538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][2]  (
+	.CLK(CTS_19),
+	.D(n_49539),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [2]),
+	.SCE(n_8538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][3]  (
+	.CLK(CTS_15),
+	.D(n_75820),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [3]),
+	.SCE(n_8538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][4]  (
+	.CLK(CTS_20),
+	.D(n_61343),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [4]),
+	.SCE(n_8538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][5]  (
+	.CLK(CTS_15),
+	.D(n_78032),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [5]),
+	.SCE(n_8538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][6]  (
+	.CLK(CTS_20),
+	.D(n_36668),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [6]),
+	.SCE(n_8538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][7]  (
+	.CLK(CTS_13),
+	.D(n_79116),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [7]),
+	.SCE(n_8538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][8]  (
+	.CLK(CTS_30),
+	.D(n_26213),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [8]),
+	.SCE(n_8538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][9]  (
+	.CLK(CTS_17),
+	.D(n_79365),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [9]),
+	.SCE(n_8538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][10]  (
+	.CLK(CTS_30),
+	.D(n_63770),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [10]),
+	.SCE(n_8538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][11]  (
+	.CLK(CTS_16),
+	.D(n_48252),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [11]),
+	.SCE(n_8538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][12]  (
+	.CLK(CTS_19),
+	.D(n_81714),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [12]),
+	.SCE(n_8538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][13]  (
+	.CLK(CTS_19),
+	.D(n_71268),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [13]),
+	.SCE(n_8538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][14]  (
+	.CLK(CTS_14),
+	.D(n_69718),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [14]),
+	.SCE(n_8538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][15]  (
+	.CLK(CTS_16),
+	.D(n_42763),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [15]),
+	.SCE(n_8538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][16]  (
+	.CLK(CTS_14),
+	.D(n_26219),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [16]),
+	.SCE(n_8538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][17]  (
+	.CLK(CTS_16),
+	.D(n_80166),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [17]),
+	.SCE(n_8538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][18]  (
+	.CLK(CTS_97),
+	.D(n_26228),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [18]),
+	.SCE(n_8538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][19]  (
+	.CLK(CTS_97),
+	.D(n_41418),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [19]),
+	.SCE(n_8538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][20]  (
+	.CLK(CTS_14),
+	.D(n_58683),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [20]),
+	.SCE(n_8538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][21]  (
+	.CLK(CTS_14),
+	.D(n_81732),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [21]),
+	.SCE(n_8538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][22]  (
+	.CLK(CTS_14),
+	.D(n_80787),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [22]),
+	.SCE(n_8538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][23]  (
+	.CLK(CTS_21),
+	.D(n_81707),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [23]),
+	.SCE(n_8538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][24]  (
+	.CLK(CTS_14),
+	.D(n_91429),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [24]),
+	.SCE(n_8538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][25]  (
+	.CLK(CTS_97),
+	.D(n_61127),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [25]),
+	.SCE(n_8538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][26]  (
+	.CLK(CTS_20),
+	.D(n_62943),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [26]),
+	.SCE(n_8538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][27]  (
+	.CLK(CTS_14),
+	.D(n_38889),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [27]),
+	.SCE(n_8538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][28]  (
+	.CLK(CTS_13),
+	.D(n_60521),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [28]),
+	.SCE(n_8538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][29]  (
+	.CLK(CTS_30),
+	.D(n_43178),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [29]),
+	.SCE(n_8538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][30]  (
+	.CLK(CTS_30),
+	.D(n_79280),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [30]),
+	.SCE(n_8538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][31]  (
+	.CLK(CTS_14),
+	.D(n_70610),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [31]),
+	.SCE(n_8538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][0]  (
+	.CLK(CTS_21),
+	.D(n_61465),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [0]),
+	.SCE(n_8539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][1]  (
+	.CLK(CTS_23),
+	.D(n_48951),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [1]),
+	.SCE(n_8539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][2]  (
+	.CLK(CTS_19),
+	.D(n_49539),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [2]),
+	.SCE(n_8539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][3]  (
+	.CLK(CTS_17),
+	.D(n_75820),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [3]),
+	.SCE(n_8539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][4]  (
+	.CLK(CTS_20),
+	.D(n_61343),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [4]),
+	.SCE(n_8539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][5]  (
+	.CLK(CTS_15),
+	.D(n_78032),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [5]),
+	.SCE(n_8539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][6]  (
+	.CLK(CTS_20),
+	.D(n_36668),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [6]),
+	.SCE(n_8539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][7]  (
+	.CLK(CTS_13),
+	.D(n_79116),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [7]),
+	.SCE(n_8539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][8]  (
+	.CLK(CTS_30),
+	.D(n_26213),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [8]),
+	.SCE(n_8539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][9]  (
+	.CLK(CTS_17),
+	.D(n_79365),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [9]),
+	.SCE(n_8539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][10]  (
+	.CLK(CTS_15),
+	.D(n_63770),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [10]),
+	.SCE(n_8539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][11]  (
+	.CLK(CTS_16),
+	.D(n_48252),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [11]),
+	.SCE(n_8539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][12]  (
+	.CLK(CTS_19),
+	.D(n_81714),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [12]),
+	.SCE(n_8539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][13]  (
+	.CLK(CTS_19),
+	.D(n_71268),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [13]),
+	.SCE(n_8539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][14]  (
+	.CLK(CTS_14),
+	.D(n_69718),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [14]),
+	.SCE(n_8539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][15]  (
+	.CLK(CTS_16),
+	.D(n_42763),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [15]),
+	.SCE(n_8539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][16]  (
+	.CLK(CTS_14),
+	.D(n_26219),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [16]),
+	.SCE(n_8539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][17]  (
+	.CLK(CTS_16),
+	.D(n_80166),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [17]),
+	.SCE(n_8539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][18]  (
+	.CLK(CTS_97),
+	.D(n_26228),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [18]),
+	.SCE(n_8539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][19]  (
+	.CLK(CTS_97),
+	.D(n_41418),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [19]),
+	.SCE(n_8539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][20]  (
+	.CLK(CTS_14),
+	.D(n_58683),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [20]),
+	.SCE(n_8539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][21]  (
+	.CLK(CTS_23),
+	.D(n_81732),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [21]),
+	.SCE(n_8539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][22]  (
+	.CLK(CTS_14),
+	.D(n_80787),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [22]),
+	.SCE(n_8539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][23]  (
+	.CLK(CTS_21),
+	.D(n_81707),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [23]),
+	.SCE(n_8539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][24]  (
+	.CLK(CTS_14),
+	.D(n_91429),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [24]),
+	.SCE(n_8539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][25]  (
+	.CLK(CTS_97),
+	.D(n_61127),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [25]),
+	.SCE(n_8539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][26]  (
+	.CLK(CTS_20),
+	.D(n_62943),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [26]),
+	.SCE(n_8539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][27]  (
+	.CLK(CTS_14),
+	.D(n_38889),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [27]),
+	.SCE(n_8539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][28]  (
+	.CLK(CTS_13),
+	.D(n_60521),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [28]),
+	.SCE(n_8539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][29]  (
+	.CLK(CTS_17),
+	.D(n_43178),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [29]),
+	.SCE(n_8539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][30]  (
+	.CLK(CTS_30),
+	.D(n_79280),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [30]),
+	.SCE(n_8539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][31]  (
+	.CLK(CTS_14),
+	.D(n_70610),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [31]),
+	.SCE(n_8539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][0]  (
+	.CLK(CTS_21),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [0]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61465),
+	.SCE(n_8544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][1]  (
+	.CLK(CTS_23),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [1]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_48951),
+	.SCE(n_8544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][2]  (
+	.CLK(CTS_19),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [2]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_49539),
+	.SCE(n_8544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][3]  (
+	.CLK(CTS_15),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [3]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_75820),
+	.SCE(n_8544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][4]  (
+	.CLK(CTS_20),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [4]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61343),
+	.SCE(n_8544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][5]  (
+	.CLK(CTS_15),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [5]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_78032),
+	.SCE(n_8544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][6]  (
+	.CLK(CTS_20),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [6]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_36668),
+	.SCE(n_8544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][7]  (
+	.CLK(CTS_13),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [7]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79116),
+	.SCE(n_8544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][8]  (
+	.CLK(CTS_30),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [8]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_26213),
+	.SCE(n_8544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][9]  (
+	.CLK(CTS_30),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [9]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79365),
+	.SCE(n_8544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][10]  (
+	.CLK(CTS_30),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [10]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_63770),
+	.SCE(n_8544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][11]  (
+	.CLK(CTS_16),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [11]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_48252),
+	.SCE(n_8544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][12]  (
+	.CLK(CTS_19),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [12]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81714),
+	.SCE(n_8544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][13]  (
+	.CLK(CTS_15),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [13]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_71268),
+	.SCE(n_8544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][14]  (
+	.CLK(CTS_14),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [14]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_69718),
+	.SCE(n_8544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][15]  (
+	.CLK(CTS_16),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [15]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_42763),
+	.SCE(n_8544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][16]  (
+	.CLK(CTS_14),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [16]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_26219),
+	.SCE(n_8544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][17]  (
+	.CLK(CTS_19),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [17]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80166),
+	.SCE(n_8544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][18]  (
+	.CLK(CTS_97),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [18]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_26228),
+	.SCE(n_8544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][19]  (
+	.CLK(CTS_97),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [19]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_41418),
+	.SCE(n_8544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][20]  (
+	.CLK(CTS_14),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [20]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_58683),
+	.SCE(n_8544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][21]  (
+	.CLK(CTS_14),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [21]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81732),
+	.SCE(n_8544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][22]  (
+	.CLK(CTS_14),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [22]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80787),
+	.SCE(n_8544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][23]  (
+	.CLK(CTS_21),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [23]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81707),
+	.SCE(n_8544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][24]  (
+	.CLK(CTS_14),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [24]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_91429),
+	.SCE(n_8544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][25]  (
+	.CLK(CTS_97),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [25]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61127),
+	.SCE(n_8544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][26]  (
+	.CLK(CTS_20),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [26]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_62943),
+	.SCE(n_8544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][27]  (
+	.CLK(CTS_14),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [27]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_38889),
+	.SCE(n_8544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][28]  (
+	.CLK(CTS_13),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [28]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_60521),
+	.SCE(n_8544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][29]  (
+	.CLK(CTS_30),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [29]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_43178),
+	.SCE(n_8544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][30]  (
+	.CLK(CTS_30),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [30]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79280),
+	.SCE(n_8544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][31]  (
+	.CLK(CTS_14),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [31]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_70610),
+	.SCE(n_8544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][0]  (
+	.CLK(CTS_21),
+	.D(n_61465),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [0]),
+	.SCE(n_8536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][1]  (
+	.CLK(CTS_23),
+	.D(n_48951),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [1]),
+	.SCE(n_8536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][2]  (
+	.CLK(CTS_19),
+	.D(n_49539),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [2]),
+	.SCE(n_8536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][3]  (
+	.CLK(CTS_15),
+	.D(n_75820),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [3]),
+	.SCE(n_8536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][4]  (
+	.CLK(CTS_17),
+	.D(n_61343),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [4]),
+	.SCE(n_8536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][5]  (
+	.CLK(CTS_15),
+	.D(n_78032),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [5]),
+	.SCE(n_8536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][6]  (
+	.CLK(CTS_20),
+	.D(n_36668),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [6]),
+	.SCE(n_8536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][7]  (
+	.CLK(CTS_13),
+	.D(n_79116),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [7]),
+	.SCE(n_8536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][8]  (
+	.CLK(CTS_30),
+	.D(n_26213),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [8]),
+	.SCE(n_8536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][9]  (
+	.CLK(CTS_17),
+	.D(n_79365),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [9]),
+	.SCE(n_8536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][10]  (
+	.CLK(CTS_30),
+	.D(n_63770),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [10]),
+	.SCE(n_8536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][11]  (
+	.CLK(CTS_16),
+	.D(n_48252),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [11]),
+	.SCE(n_8536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][12]  (
+	.CLK(CTS_19),
+	.D(n_81714),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [12]),
+	.SCE(n_8536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][13]  (
+	.CLK(CTS_15),
+	.D(n_71268),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [13]),
+	.SCE(n_8536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][14]  (
+	.CLK(CTS_14),
+	.D(n_69718),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [14]),
+	.SCE(n_8536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][15]  (
+	.CLK(CTS_16),
+	.D(n_42763),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [15]),
+	.SCE(n_8536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][16]  (
+	.CLK(CTS_14),
+	.D(n_26219),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [16]),
+	.SCE(n_8536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][17]  (
+	.CLK(CTS_16),
+	.D(n_80166),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [17]),
+	.SCE(n_8536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][18]  (
+	.CLK(CTS_97),
+	.D(n_26228),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [18]),
+	.SCE(n_8536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][19]  (
+	.CLK(CTS_97),
+	.D(n_41418),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [19]),
+	.SCE(n_8536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][20]  (
+	.CLK(CTS_14),
+	.D(n_58683),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [20]),
+	.SCE(n_8536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][21]  (
+	.CLK(CTS_14),
+	.D(n_81732),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [21]),
+	.SCE(n_8536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][22]  (
+	.CLK(CTS_14),
+	.D(n_80787),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [22]),
+	.SCE(n_8536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][23]  (
+	.CLK(CTS_21),
+	.D(n_81707),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [23]),
+	.SCE(n_8536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][24]  (
+	.CLK(CTS_14),
+	.D(n_91429),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [24]),
+	.SCE(n_8536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][25]  (
+	.CLK(CTS_97),
+	.D(n_61127),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [25]),
+	.SCE(n_8536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][26]  (
+	.CLK(CTS_20),
+	.D(n_62943),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [26]),
+	.SCE(n_8536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][27]  (
+	.CLK(CTS_13),
+	.D(n_38889),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [27]),
+	.SCE(n_8536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][28]  (
+	.CLK(CTS_13),
+	.D(n_60521),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [28]),
+	.SCE(n_8536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][29]  (
+	.CLK(CTS_30),
+	.D(n_43178),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [29]),
+	.SCE(n_8536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][30]  (
+	.CLK(CTS_30),
+	.D(n_79280),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [30]),
+	.SCE(n_8536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][31]  (
+	.CLK(CTS_14),
+	.D(n_70610),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [31]),
+	.SCE(n_8536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][0]  (
+	.CLK(CTS_21),
+	.D(n_61465),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [0]),
+	.SCE(n_7601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][1]  (
+	.CLK(CTS_23),
+	.D(n_48951),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [1]),
+	.SCE(n_7601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][2]  (
+	.CLK(CTS_19),
+	.D(n_49539),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [2]),
+	.SCE(n_7601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][3]  (
+	.CLK(CTS_15),
+	.D(n_75820),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [3]),
+	.SCE(n_7601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][4]  (
+	.CLK(CTS_20),
+	.D(n_61343),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [4]),
+	.SCE(n_7601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][5]  (
+	.CLK(CTS_15),
+	.D(n_78032),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [5]),
+	.SCE(n_7601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][6]  (
+	.CLK(CTS_20),
+	.D(n_36668),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [6]),
+	.SCE(n_7601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][7]  (
+	.CLK(CTS_21),
+	.D(n_79116),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [7]),
+	.SCE(n_7601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][8]  (
+	.CLK(CTS_30),
+	.D(n_26213),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [8]),
+	.SCE(n_7601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][9]  (
+	.CLK(CTS_30),
+	.D(n_79365),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [9]),
+	.SCE(n_7601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][10]  (
+	.CLK(CTS_30),
+	.D(n_63770),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [10]),
+	.SCE(n_7601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][11]  (
+	.CLK(CTS_16),
+	.D(n_48252),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [11]),
+	.SCE(n_7601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][12]  (
+	.CLK(CTS_19),
+	.D(n_81714),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [12]),
+	.SCE(n_7601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][13]  (
+	.CLK(CTS_19),
+	.D(n_71268),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [13]),
+	.SCE(n_7601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][14]  (
+	.CLK(CTS_17),
+	.D(n_69718),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [14]),
+	.SCE(n_7601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][15]  (
+	.CLK(CTS_16),
+	.D(n_42763),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [15]),
+	.SCE(n_7601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][16]  (
+	.CLK(CTS_14),
+	.D(n_26219),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [16]),
+	.SCE(n_7601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][17]  (
+	.CLK(CTS_16),
+	.D(n_80166),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [17]),
+	.SCE(n_7601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][18]  (
+	.CLK(CTS_27),
+	.D(n_26228),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [18]),
+	.SCE(n_7601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][19]  (
+	.CLK(CTS_27),
+	.D(n_41418),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [19]),
+	.SCE(n_7601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][20]  (
+	.CLK(CTS_23),
+	.D(n_58683),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [20]),
+	.SCE(n_7601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][21]  (
+	.CLK(CTS_23),
+	.D(n_81732),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [21]),
+	.SCE(n_7601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][22]  (
+	.CLK(CTS_17),
+	.D(n_80787),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [22]),
+	.SCE(n_7601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][23]  (
+	.CLK(CTS_21),
+	.D(n_81707),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [23]),
+	.SCE(n_7601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][24]  (
+	.CLK(CTS_14),
+	.D(n_91429),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [24]),
+	.SCE(n_7601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][25]  (
+	.CLK(CTS_27),
+	.D(n_61127),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [25]),
+	.SCE(n_7601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][26]  (
+	.CLK(CTS_20),
+	.D(n_62943),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [26]),
+	.SCE(n_7601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][27]  (
+	.CLK(CTS_14),
+	.D(n_38889),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [27]),
+	.SCE(n_7601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][28]  (
+	.CLK(CTS_13),
+	.D(n_60521),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [28]),
+	.SCE(n_7601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][29]  (
+	.CLK(CTS_30),
+	.D(n_43178),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [29]),
+	.SCE(n_7601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][30]  (
+	.CLK(CTS_30),
+	.D(n_79280),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [30]),
+	.SCE(n_7601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][31]  (
+	.CLK(CTS_23),
+	.D(n_70610),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [31]),
+	.SCE(n_7601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][0]  (
+	.CLK(CTS_21),
+	.D(n_61465),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [0]),
+	.SCE(n_7582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][1]  (
+	.CLK(CTS_23),
+	.D(n_48951),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [1]),
+	.SCE(n_7582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][2]  (
+	.CLK(CTS_19),
+	.D(n_49539),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [2]),
+	.SCE(n_7582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][3]  (
+	.CLK(CTS_15),
+	.D(n_75820),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [3]),
+	.SCE(n_7582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][4]  (
+	.CLK(CTS_22),
+	.D(n_61343),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [4]),
+	.SCE(n_7582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][5]  (
+	.CLK(CTS_15),
+	.D(n_78032),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [5]),
+	.SCE(n_7582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][6]  (
+	.CLK(CTS_22),
+	.D(n_36668),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [6]),
+	.SCE(n_7582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][7]  (
+	.CLK(CTS_21),
+	.D(n_79116),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [7]),
+	.SCE(n_7582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][8]  (
+	.CLK(CTS_30),
+	.D(n_26213),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [8]),
+	.SCE(n_7582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][9]  (
+	.CLK(CTS_30),
+	.D(n_79365),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [9]),
+	.SCE(n_7582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][10]  (
+	.CLK(CTS_30),
+	.D(n_63770),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [10]),
+	.SCE(n_7582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][11]  (
+	.CLK(CTS_16),
+	.D(n_48252),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [11]),
+	.SCE(n_7582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][12]  (
+	.CLK(CTS_19),
+	.D(n_81714),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [12]),
+	.SCE(n_7582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][13]  (
+	.CLK(CTS_19),
+	.D(n_71268),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [13]),
+	.SCE(n_7582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][14]  (
+	.CLK(CTS_17),
+	.D(n_69718),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [14]),
+	.SCE(n_7582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][15]  (
+	.CLK(CTS_13),
+	.D(n_42763),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [15]),
+	.SCE(n_7582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][16]  (
+	.CLK(CTS_14),
+	.D(n_26219),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [16]),
+	.SCE(n_7582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][17]  (
+	.CLK(CTS_16),
+	.D(n_80166),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [17]),
+	.SCE(n_7582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][18]  (
+	.CLK(CTS_27),
+	.D(n_26228),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [18]),
+	.SCE(n_7582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][19]  (
+	.CLK(CTS_27),
+	.D(n_41418),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [19]),
+	.SCE(n_7582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][20]  (
+	.CLK(CTS_17),
+	.D(n_58683),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [20]),
+	.SCE(n_7582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][21]  (
+	.CLK(CTS_23),
+	.D(n_81732),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [21]),
+	.SCE(n_7582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][22]  (
+	.CLK(CTS_17),
+	.D(n_80787),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [22]),
+	.SCE(n_7582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][23]  (
+	.CLK(CTS_21),
+	.D(n_81707),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [23]),
+	.SCE(n_7582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][24]  (
+	.CLK(CTS_14),
+	.D(n_91429),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [24]),
+	.SCE(n_7582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][25]  (
+	.CLK(CTS_27),
+	.D(n_61127),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [25]),
+	.SCE(n_7582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][26]  (
+	.CLK(CTS_22),
+	.D(n_62943),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [26]),
+	.SCE(n_7582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][27]  (
+	.CLK(CTS_14),
+	.D(n_38889),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [27]),
+	.SCE(n_7582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][28]  (
+	.CLK(CTS_13),
+	.D(n_60521),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [28]),
+	.SCE(n_7582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][29]  (
+	.CLK(CTS_30),
+	.D(n_43178),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [29]),
+	.SCE(n_7582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][30]  (
+	.CLK(CTS_29),
+	.D(n_79280),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [30]),
+	.SCE(n_7582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][31]  (
+	.CLK(CTS_14),
+	.D(n_70610),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [31]),
+	.SCE(n_7582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][0]  (
+	.CLK(CTS_21),
+	.D(n_61465),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [0]),
+	.SCE(n_7605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][1]  (
+	.CLK(CTS_23),
+	.D(n_48951),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [1]),
+	.SCE(n_7605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][2]  (
+	.CLK(CTS_19),
+	.D(n_49539),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [2]),
+	.SCE(n_7605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][3]  (
+	.CLK(CTS_15),
+	.D(n_75820),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [3]),
+	.SCE(n_7605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][4]  (
+	.CLK(CTS_22),
+	.D(n_61343),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [4]),
+	.SCE(n_7605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][5]  (
+	.CLK(CTS_15),
+	.D(n_78032),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [5]),
+	.SCE(n_7605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][6]  (
+	.CLK(CTS_22),
+	.D(n_36668),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [6]),
+	.SCE(n_7605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][7]  (
+	.CLK(CTS_21),
+	.D(n_79116),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [7]),
+	.SCE(n_7605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][8]  (
+	.CLK(CTS_30),
+	.D(n_26213),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [8]),
+	.SCE(n_7605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][9]  (
+	.CLK(CTS_22),
+	.D(n_79365),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [9]),
+	.SCE(n_7605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][10]  (
+	.CLK(CTS_30),
+	.D(n_63770),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [10]),
+	.SCE(n_7605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][11]  (
+	.CLK(CTS_16),
+	.D(n_48252),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [11]),
+	.SCE(n_7605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][12]  (
+	.CLK(CTS_19),
+	.D(n_81714),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [12]),
+	.SCE(n_7605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][13]  (
+	.CLK(CTS_19),
+	.D(n_71268),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [13]),
+	.SCE(n_7605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][14]  (
+	.CLK(CTS_17),
+	.D(n_69718),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [14]),
+	.SCE(n_7605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][15]  (
+	.CLK(CTS_13),
+	.D(n_42763),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [15]),
+	.SCE(n_7605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][16]  (
+	.CLK(CTS_23),
+	.D(n_26219),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [16]),
+	.SCE(n_7605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][17]  (
+	.CLK(CTS_19),
+	.D(n_80166),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [17]),
+	.SCE(n_7605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][18]  (
+	.CLK(CTS_27),
+	.D(n_26228),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [18]),
+	.SCE(n_7605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][19]  (
+	.CLK(CTS_27),
+	.D(n_41418),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [19]),
+	.SCE(n_7605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][20]  (
+	.CLK(CTS_17),
+	.D(n_58683),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [20]),
+	.SCE(n_7605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][21]  (
+	.CLK(CTS_23),
+	.D(n_81732),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [21]),
+	.SCE(n_7605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][22]  (
+	.CLK(CTS_17),
+	.D(n_80787),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [22]),
+	.SCE(n_7605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][23]  (
+	.CLK(CTS_21),
+	.D(n_81707),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [23]),
+	.SCE(n_7605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][24]  (
+	.CLK(CTS_14),
+	.D(n_91429),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [24]),
+	.SCE(n_7605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][25]  (
+	.CLK(CTS_27),
+	.D(n_61127),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [25]),
+	.SCE(n_7605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][26]  (
+	.CLK(CTS_22),
+	.D(n_62943),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [26]),
+	.SCE(n_7605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][27]  (
+	.CLK(CTS_14),
+	.D(n_38889),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [27]),
+	.SCE(n_7605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][28]  (
+	.CLK(CTS_13),
+	.D(n_60521),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [28]),
+	.SCE(n_7605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][29]  (
+	.CLK(CTS_30),
+	.D(n_43178),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [29]),
+	.SCE(n_7605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][30]  (
+	.CLK(CTS_30),
+	.D(n_79280),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [30]),
+	.SCE(n_7605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][31]  (
+	.CLK(CTS_23),
+	.D(n_70610),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [31]),
+	.SCE(n_7605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][0]  (
+	.CLK(CTS_21),
+	.D(n_61465),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [0]),
+	.SCE(n_7606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][1]  (
+	.CLK(CTS_23),
+	.D(n_48951),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [1]),
+	.SCE(n_7606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][2]  (
+	.CLK(CTS_19),
+	.D(n_49539),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [2]),
+	.SCE(n_7606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][3]  (
+	.CLK(CTS_30),
+	.D(n_75820),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [3]),
+	.SCE(n_7606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][4]  (
+	.CLK(CTS_22),
+	.D(n_61343),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [4]),
+	.SCE(n_7606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][5]  (
+	.CLK(CTS_15),
+	.D(n_78032),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [5]),
+	.SCE(n_7606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][6]  (
+	.CLK(CTS_22),
+	.D(n_36668),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [6]),
+	.SCE(n_7606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][7]  (
+	.CLK(CTS_21),
+	.D(n_79116),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [7]),
+	.SCE(n_7606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][8]  (
+	.CLK(CTS_30),
+	.D(n_26213),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [8]),
+	.SCE(n_7606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][9]  (
+	.CLK(CTS_22),
+	.D(n_79365),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [9]),
+	.SCE(n_7606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][10]  (
+	.CLK(CTS_30),
+	.D(n_63770),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [10]),
+	.SCE(n_7606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][11]  (
+	.CLK(CTS_16),
+	.D(n_48252),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [11]),
+	.SCE(n_7606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][12]  (
+	.CLK(CTS_19),
+	.D(n_81714),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [12]),
+	.SCE(n_7606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][13]  (
+	.CLK(CTS_19),
+	.D(n_71268),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [13]),
+	.SCE(n_7606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][14]  (
+	.CLK(CTS_17),
+	.D(n_69718),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [14]),
+	.SCE(n_7606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][15]  (
+	.CLK(CTS_16),
+	.D(n_42763),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [15]),
+	.SCE(n_7606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][16]  (
+	.CLK(CTS_23),
+	.D(n_26219),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [16]),
+	.SCE(n_7606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][17]  (
+	.CLK(CTS_19),
+	.D(n_80166),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [17]),
+	.SCE(n_7606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][18]  (
+	.CLK(CTS_27),
+	.D(n_26228),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [18]),
+	.SCE(n_7606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][19]  (
+	.CLK(CTS_27),
+	.D(n_41418),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [19]),
+	.SCE(n_7606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][20]  (
+	.CLK(CTS_17),
+	.D(n_58683),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [20]),
+	.SCE(n_7606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][21]  (
+	.CLK(CTS_23),
+	.D(n_81732),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [21]),
+	.SCE(n_7606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][22]  (
+	.CLK(CTS_17),
+	.D(n_80787),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [22]),
+	.SCE(n_7606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][23]  (
+	.CLK(CTS_21),
+	.D(n_81707),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [23]),
+	.SCE(n_7606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][24]  (
+	.CLK(CTS_14),
+	.D(n_91429),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [24]),
+	.SCE(n_7606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][25]  (
+	.CLK(CTS_27),
+	.D(n_61127),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [25]),
+	.SCE(n_7606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][26]  (
+	.CLK(CTS_22),
+	.D(n_62943),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [26]),
+	.SCE(n_7606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][27]  (
+	.CLK(CTS_14),
+	.D(n_38889),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [27]),
+	.SCE(n_7606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][28]  (
+	.CLK(CTS_21),
+	.D(n_60521),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [28]),
+	.SCE(n_7606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][29]  (
+	.CLK(CTS_30),
+	.D(n_43178),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [29]),
+	.SCE(n_7606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][30]  (
+	.CLK(CTS_30),
+	.D(n_79280),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [30]),
+	.SCE(n_7606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][31]  (
+	.CLK(CTS_23),
+	.D(n_70610),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [31]),
+	.SCE(n_7606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][0]  (
+	.CLK(CTS_21),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [0]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61465),
+	.SCE(n_8546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][1]  (
+	.CLK(CTS_26),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [1]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_48951),
+	.SCE(n_8546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][2]  (
+	.CLK(CTS_19),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [2]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_49539),
+	.SCE(n_8546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][3]  (
+	.CLK(CTS_15),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [3]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_75820),
+	.SCE(n_8546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][4]  (
+	.CLK(CTS_18),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [4]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61343),
+	.SCE(n_8546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][5]  (
+	.CLK(CTS_15),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [5]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_78032),
+	.SCE(n_8546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][6]  (
+	.CLK(CTS_18),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [6]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_36668),
+	.SCE(n_8546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][7]  (
+	.CLK(CTS_21),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [7]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79116),
+	.SCE(n_8546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][8]  (
+	.CLK(CTS_30),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [8]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_26213),
+	.SCE(n_8546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][9]  (
+	.CLK(CTS_22),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [9]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79365),
+	.SCE(n_8546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][10]  (
+	.CLK(CTS_29),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [10]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_63770),
+	.SCE(n_8546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][11]  (
+	.CLK(CTS_16),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [11]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_48252),
+	.SCE(n_8546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][12]  (
+	.CLK(CTS_19),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [12]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81714),
+	.SCE(n_8546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][13]  (
+	.CLK(CTS_30),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [13]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_71268),
+	.SCE(n_8546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][14]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [14]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_69718),
+	.SCE(n_8546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][15]  (
+	.CLK(CTS_16),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [15]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_42763),
+	.SCE(n_8546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][16]  (
+	.CLK(CTS_23),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [16]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_26219),
+	.SCE(n_8546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][17]  (
+	.CLK(CTS_19),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [17]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80166),
+	.SCE(n_8546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][18]  (
+	.CLK(CTS_27),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [18]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_26228),
+	.SCE(n_8546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][19]  (
+	.CLK(CTS_97),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [19]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_41418),
+	.SCE(n_8546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][20]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [20]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_58683),
+	.SCE(n_8546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][21]  (
+	.CLK(CTS_25),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [21]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81732),
+	.SCE(n_8546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][22]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [22]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80787),
+	.SCE(n_8546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][23]  (
+	.CLK(CTS_21),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [23]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81707),
+	.SCE(n_8546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][24]  (
+	.CLK(CTS_14),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [24]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_91429),
+	.SCE(n_8546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][25]  (
+	.CLK(CTS_97),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [25]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61127),
+	.SCE(n_8546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][26]  (
+	.CLK(CTS_18),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [26]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_62943),
+	.SCE(n_8546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][27]  (
+	.CLK(CTS_14),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [27]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_38889),
+	.SCE(n_8546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][28]  (
+	.CLK(CTS_21),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [28]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_60521),
+	.SCE(n_8546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][29]  (
+	.CLK(CTS_29),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [29]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_43178),
+	.SCE(n_8546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][30]  (
+	.CLK(CTS_29),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [30]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79280),
+	.SCE(n_8546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][31]  (
+	.CLK(CTS_23),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [31]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_70610),
+	.SCE(n_8546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][0]  (
+	.CLK(CTS_21),
+	.D(n_61465),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [0]),
+	.SCE(n_8550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][1]  (
+	.CLK(CTS_23),
+	.D(n_48951),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [1]),
+	.SCE(n_8550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][2]  (
+	.CLK(CTS_19),
+	.D(n_49539),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [2]),
+	.SCE(n_8550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][3]  (
+	.CLK(CTS_15),
+	.D(n_75820),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [3]),
+	.SCE(n_8550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][4]  (
+	.CLK(CTS_18),
+	.D(n_61343),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [4]),
+	.SCE(n_8550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][5]  (
+	.CLK(CTS_15),
+	.D(n_78032),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [5]),
+	.SCE(n_8550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][6]  (
+	.CLK(CTS_18),
+	.D(n_36668),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [6]),
+	.SCE(n_8550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][7]  (
+	.CLK(CTS_21),
+	.D(n_79116),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [7]),
+	.SCE(n_8550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][8]  (
+	.CLK(CTS_30),
+	.D(n_26213),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [8]),
+	.SCE(n_8550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][9]  (
+	.CLK(CTS_29),
+	.D(n_79365),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [9]),
+	.SCE(n_8550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][10]  (
+	.CLK(CTS_29),
+	.D(n_63770),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [10]),
+	.SCE(n_8550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][11]  (
+	.CLK(CTS_16),
+	.D(n_48252),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [11]),
+	.SCE(n_8550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][12]  (
+	.CLK(CTS_19),
+	.D(n_81714),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [12]),
+	.SCE(n_8550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][13]  (
+	.CLK(CTS_30),
+	.D(n_71268),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [13]),
+	.SCE(n_8550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][14]  (
+	.CLK(CTS_24),
+	.D(n_69718),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [14]),
+	.SCE(n_8550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][15]  (
+	.CLK(CTS_13),
+	.D(n_42763),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [15]),
+	.SCE(n_8550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][16]  (
+	.CLK(CTS_23),
+	.D(n_26219),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [16]),
+	.SCE(n_8550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][17]  (
+	.CLK(CTS_16),
+	.D(n_80166),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [17]),
+	.SCE(n_8550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][18]  (
+	.CLK(CTS_27),
+	.D(n_26228),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [18]),
+	.SCE(n_8550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][19]  (
+	.CLK(CTS_97),
+	.D(n_41418),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [19]),
+	.SCE(n_8550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][20]  (
+	.CLK(CTS_24),
+	.D(n_58683),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [20]),
+	.SCE(n_8550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][21]  (
+	.CLK(CTS_25),
+	.D(n_81732),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [21]),
+	.SCE(n_8550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][22]  (
+	.CLK(CTS_24),
+	.D(n_80787),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [22]),
+	.SCE(n_8550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][23]  (
+	.CLK(CTS_21),
+	.D(n_81707),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [23]),
+	.SCE(n_8550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][24]  (
+	.CLK(CTS_14),
+	.D(n_91429),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [24]),
+	.SCE(n_8550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][25]  (
+	.CLK(CTS_97),
+	.D(n_61127),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [25]),
+	.SCE(n_8550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][26]  (
+	.CLK(CTS_18),
+	.D(n_62943),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [26]),
+	.SCE(n_8550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][27]  (
+	.CLK(CTS_14),
+	.D(n_38889),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [27]),
+	.SCE(n_8550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][28]  (
+	.CLK(CTS_13),
+	.D(n_60521),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [28]),
+	.SCE(n_8550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][29]  (
+	.CLK(CTS_29),
+	.D(n_43178),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [29]),
+	.SCE(n_8550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][30]  (
+	.CLK(CTS_29),
+	.D(n_79280),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [30]),
+	.SCE(n_8550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][31]  (
+	.CLK(CTS_25),
+	.D(n_70610),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [31]),
+	.SCE(n_8550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][0]  (
+	.CLK(CTS_21),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [0]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61465),
+	.SCE(n_8537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][1]  (
+	.CLK(CTS_23),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [1]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_48951),
+	.SCE(n_8537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][2]  (
+	.CLK(CTS_19),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [2]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_49539),
+	.SCE(n_8537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][3]  (
+	.CLK(CTS_15),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [3]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_75820),
+	.SCE(n_8537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][4]  (
+	.CLK(CTS_18),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [4]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61343),
+	.SCE(n_8537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][5]  (
+	.CLK(CTS_15),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [5]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_78032),
+	.SCE(n_8537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][6]  (
+	.CLK(CTS_18),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [6]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_36668),
+	.SCE(n_8537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][7]  (
+	.CLK(CTS_21),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [7]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79116),
+	.SCE(n_8537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][8]  (
+	.CLK(CTS_30),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [8]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_26213),
+	.SCE(n_8537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][9]  (
+	.CLK(CTS_22),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [9]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79365),
+	.SCE(n_8537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][10]  (
+	.CLK(CTS_29),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [10]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_63770),
+	.SCE(n_8537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][11]  (
+	.CLK(CTS_16),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [11]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_48252),
+	.SCE(n_8537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][12]  (
+	.CLK(CTS_19),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [12]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81714),
+	.SCE(n_8537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][13]  (
+	.CLK(CTS_30),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [13]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_71268),
+	.SCE(n_8537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][14]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [14]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_69718),
+	.SCE(n_8537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][15]  (
+	.CLK(CTS_13),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [15]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_42763),
+	.SCE(n_8537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][16]  (
+	.CLK(CTS_26),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [16]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_26219),
+	.SCE(n_8537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][17]  (
+	.CLK(CTS_16),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [17]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80166),
+	.SCE(n_8537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][18]  (
+	.CLK(CTS_27),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [18]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_26228),
+	.SCE(n_8537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][19]  (
+	.CLK(CTS_97),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [19]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_41418),
+	.SCE(n_8537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][20]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [20]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_58683),
+	.SCE(n_8537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][21]  (
+	.CLK(CTS_25),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [21]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81732),
+	.SCE(n_8537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][22]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [22]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80787),
+	.SCE(n_8537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][23]  (
+	.CLK(CTS_21),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [23]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81707),
+	.SCE(n_8537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][24]  (
+	.CLK(CTS_14),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [24]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_91429),
+	.SCE(n_8537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][25]  (
+	.CLK(CTS_97),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [25]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61127),
+	.SCE(n_8537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][26]  (
+	.CLK(CTS_18),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [26]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_62943),
+	.SCE(n_8537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][27]  (
+	.CLK(CTS_14),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [27]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_38889),
+	.SCE(n_8537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][28]  (
+	.CLK(CTS_13),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [28]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_60521),
+	.SCE(n_8537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][29]  (
+	.CLK(CTS_29),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [29]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_43178),
+	.SCE(n_8537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][30]  (
+	.CLK(CTS_29),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [30]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79280),
+	.SCE(n_8537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][31]  (
+	.CLK(CTS_25),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [31]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_70610),
+	.SCE(n_8537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][0]  (
+	.CLK(CTS_21),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [0]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61465),
+	.SCE(n_8547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][1]  (
+	.CLK(CTS_23),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [1]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_48951),
+	.SCE(n_8547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][2]  (
+	.CLK(CTS_19),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [2]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_49539),
+	.SCE(n_8547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][3]  (
+	.CLK(CTS_15),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [3]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_75820),
+	.SCE(n_8547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][4]  (
+	.CLK(CTS_22),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [4]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61343),
+	.SCE(n_8547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][5]  (
+	.CLK(CTS_15),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [5]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_78032),
+	.SCE(n_8547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][6]  (
+	.CLK(CTS_22),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [6]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_36668),
+	.SCE(n_8547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][7]  (
+	.CLK(CTS_21),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [7]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79116),
+	.SCE(n_8547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][8]  (
+	.CLK(CTS_30),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [8]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_26213),
+	.SCE(n_8547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][9]  (
+	.CLK(CTS_22),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [9]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79365),
+	.SCE(n_8547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][10]  (
+	.CLK(CTS_29),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [10]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_63770),
+	.SCE(n_8547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][11]  (
+	.CLK(CTS_16),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [11]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_48252),
+	.SCE(n_8547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][12]  (
+	.CLK(CTS_19),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [12]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81714),
+	.SCE(n_8547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][13]  (
+	.CLK(CTS_19),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [13]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_71268),
+	.SCE(n_8547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][14]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [14]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_69718),
+	.SCE(n_8547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][15]  (
+	.CLK(CTS_16),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [15]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_42763),
+	.SCE(n_8547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][16]  (
+	.CLK(CTS_26),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [16]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_26219),
+	.SCE(n_8547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][17]  (
+	.CLK(CTS_15),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [17]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80166),
+	.SCE(n_8547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][18]  (
+	.CLK(CTS_27),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [18]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_26228),
+	.SCE(n_8547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][19]  (
+	.CLK(CTS_97),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [19]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_41418),
+	.SCE(n_8547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][20]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [20]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_58683),
+	.SCE(n_8547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][21]  (
+	.CLK(CTS_23),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [21]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81732),
+	.SCE(n_8547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][22]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [22]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80787),
+	.SCE(n_8547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][23]  (
+	.CLK(CTS_21),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [23]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81707),
+	.SCE(n_8547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][24]  (
+	.CLK(CTS_14),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [24]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_91429),
+	.SCE(n_8547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][25]  (
+	.CLK(CTS_97),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [25]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61127),
+	.SCE(n_8547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][26]  (
+	.CLK(CTS_22),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [26]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_62943),
+	.SCE(n_8547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][27]  (
+	.CLK(CTS_14),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [27]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_38889),
+	.SCE(n_8547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][28]  (
+	.CLK(CTS_13),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [28]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_60521),
+	.SCE(n_8547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][29]  (
+	.CLK(CTS_22),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [29]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_43178),
+	.SCE(n_8547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][30]  (
+	.CLK(CTS_29),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [30]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79280),
+	.SCE(n_8547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][31]  (
+	.CLK(CTS_23),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [31]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_70610),
+	.SCE(n_8547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][0]  (
+	.CLK(CTS_21),
+	.D(n_61465),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [0]),
+	.SCE(n_7607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][1]  (
+	.CLK(CTS_23),
+	.D(n_48951),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [1]),
+	.SCE(n_7607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][2]  (
+	.CLK(CTS_19),
+	.D(n_49539),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [2]),
+	.SCE(n_7607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][3]  (
+	.CLK(CTS_15),
+	.D(n_75820),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [3]),
+	.SCE(n_7607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][4]  (
+	.CLK(CTS_22),
+	.D(n_61343),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [4]),
+	.SCE(n_7607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][5]  (
+	.CLK(CTS_15),
+	.D(n_78032),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [5]),
+	.SCE(n_7607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][6]  (
+	.CLK(CTS_22),
+	.D(n_36668),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [6]),
+	.SCE(n_7607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][7]  (
+	.CLK(CTS_21),
+	.D(n_79116),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [7]),
+	.SCE(n_7607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][8]  (
+	.CLK(CTS_30),
+	.D(n_26213),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [8]),
+	.SCE(n_7607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][9]  (
+	.CLK(CTS_22),
+	.D(n_79365),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [9]),
+	.SCE(n_7607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][10]  (
+	.CLK(CTS_29),
+	.D(n_63770),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [10]),
+	.SCE(n_7607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][11]  (
+	.CLK(CTS_16),
+	.D(n_48252),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [11]),
+	.SCE(n_7607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][12]  (
+	.CLK(CTS_19),
+	.D(n_81714),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [12]),
+	.SCE(n_7607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][13]  (
+	.CLK(CTS_30),
+	.D(n_71268),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [13]),
+	.SCE(n_7607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][14]  (
+	.CLK(CTS_23),
+	.D(n_69718),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [14]),
+	.SCE(n_7607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][15]  (
+	.CLK(CTS_16),
+	.D(n_42763),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [15]),
+	.SCE(n_7607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][16]  (
+	.CLK(CTS_23),
+	.D(n_26219),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [16]),
+	.SCE(n_7607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][17]  (
+	.CLK(CTS_16),
+	.D(n_80166),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [17]),
+	.SCE(n_7607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][18]  (
+	.CLK(CTS_27),
+	.D(n_26228),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [18]),
+	.SCE(n_7607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][19]  (
+	.CLK(CTS_97),
+	.D(n_41418),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [19]),
+	.SCE(n_7607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][20]  (
+	.CLK(CTS_17),
+	.D(n_58683),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [20]),
+	.SCE(n_7607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][21]  (
+	.CLK(CTS_23),
+	.D(n_81732),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [21]),
+	.SCE(n_7607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][22]  (
+	.CLK(CTS_17),
+	.D(n_80787),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [22]),
+	.SCE(n_7607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][23]  (
+	.CLK(CTS_21),
+	.D(n_81707),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [23]),
+	.SCE(n_7607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][24]  (
+	.CLK(CTS_14),
+	.D(n_91429),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [24]),
+	.SCE(n_7607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][25]  (
+	.CLK(CTS_97),
+	.D(n_61127),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [25]),
+	.SCE(n_7607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][26]  (
+	.CLK(CTS_22),
+	.D(n_62943),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [26]),
+	.SCE(n_7607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][27]  (
+	.CLK(CTS_14),
+	.D(n_38889),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [27]),
+	.SCE(n_7607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][28]  (
+	.CLK(CTS_13),
+	.D(n_60521),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [28]),
+	.SCE(n_7607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][29]  (
+	.CLK(CTS_22),
+	.D(n_43178),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [29]),
+	.SCE(n_7607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][30]  (
+	.CLK(CTS_29),
+	.D(n_79280),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [30]),
+	.SCE(n_7607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][31]  (
+	.CLK(CTS_23),
+	.D(n_70610),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [31]),
+	.SCE(n_7607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][0]  (
+	.CLK(CTS_21),
+	.D(n_61465),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [0]),
+	.SCE(n_7608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][1]  (
+	.CLK(CTS_23),
+	.D(n_48951),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [1]),
+	.SCE(n_7608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][2]  (
+	.CLK(CTS_19),
+	.D(n_49539),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [2]),
+	.SCE(n_7608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][3]  (
+	.CLK(CTS_15),
+	.D(n_75820),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [3]),
+	.SCE(n_7608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][4]  (
+	.CLK(CTS_22),
+	.D(n_61343),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [4]),
+	.SCE(n_7608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][5]  (
+	.CLK(CTS_15),
+	.D(n_78032),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [5]),
+	.SCE(n_7608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][6]  (
+	.CLK(CTS_22),
+	.D(n_36668),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [6]),
+	.SCE(n_7608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][7]  (
+	.CLK(CTS_21),
+	.D(n_79116),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [7]),
+	.SCE(n_7608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][8]  (
+	.CLK(CTS_30),
+	.D(n_26213),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [8]),
+	.SCE(n_7608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][9]  (
+	.CLK(CTS_22),
+	.D(n_79365),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [9]),
+	.SCE(n_7608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][10]  (
+	.CLK(CTS_29),
+	.D(n_63770),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [10]),
+	.SCE(n_7608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][11]  (
+	.CLK(CTS_16),
+	.D(n_48252),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [11]),
+	.SCE(n_7608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][12]  (
+	.CLK(CTS_19),
+	.D(n_81714),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [12]),
+	.SCE(n_7608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][13]  (
+	.CLK(CTS_30),
+	.D(n_71268),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [13]),
+	.SCE(n_7608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][14]  (
+	.CLK(CTS_23),
+	.D(n_69718),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [14]),
+	.SCE(n_7608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][15]  (
+	.CLK(CTS_16),
+	.D(n_42763),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [15]),
+	.SCE(n_7608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][16]  (
+	.CLK(CTS_23),
+	.D(n_26219),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [16]),
+	.SCE(n_7608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][17]  (
+	.CLK(CTS_19),
+	.D(n_80166),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [17]),
+	.SCE(n_7608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][18]  (
+	.CLK(CTS_27),
+	.D(n_26228),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [18]),
+	.SCE(n_7608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][19]  (
+	.CLK(CTS_97),
+	.D(n_41418),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [19]),
+	.SCE(n_7608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][20]  (
+	.CLK(CTS_17),
+	.D(n_58683),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [20]),
+	.SCE(n_7608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][21]  (
+	.CLK(CTS_23),
+	.D(n_81732),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [21]),
+	.SCE(n_7608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][22]  (
+	.CLK(CTS_17),
+	.D(n_80787),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [22]),
+	.SCE(n_7608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][23]  (
+	.CLK(CTS_21),
+	.D(n_81707),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [23]),
+	.SCE(n_7608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][24]  (
+	.CLK(CTS_14),
+	.D(n_91429),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [24]),
+	.SCE(n_7608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][25]  (
+	.CLK(CTS_97),
+	.D(n_61127),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [25]),
+	.SCE(n_7608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][26]  (
+	.CLK(CTS_22),
+	.D(n_62943),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [26]),
+	.SCE(n_7608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][27]  (
+	.CLK(CTS_14),
+	.D(n_38889),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [27]),
+	.SCE(n_7608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][28]  (
+	.CLK(CTS_13),
+	.D(n_60521),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [28]),
+	.SCE(n_7608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][29]  (
+	.CLK(CTS_22),
+	.D(n_43178),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [29]),
+	.SCE(n_7608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][30]  (
+	.CLK(CTS_29),
+	.D(n_79280),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [30]),
+	.SCE(n_7608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][31]  (
+	.CLK(CTS_23),
+	.D(n_70610),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [31]),
+	.SCE(n_7608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][0]  (
+	.CLK(CTS_21),
+	.D(n_61465),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [0]),
+	.SCE(n_7609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][1]  (
+	.CLK(CTS_23),
+	.D(n_48951),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [1]),
+	.SCE(n_7609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][2]  (
+	.CLK(CTS_19),
+	.D(n_49539),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [2]),
+	.SCE(n_7609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][3]  (
+	.CLK(CTS_15),
+	.D(n_75820),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [3]),
+	.SCE(n_7609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][4]  (
+	.CLK(CTS_22),
+	.D(n_61343),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [4]),
+	.SCE(n_7609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][5]  (
+	.CLK(CTS_15),
+	.D(n_78032),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [5]),
+	.SCE(n_7609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][6]  (
+	.CLK(CTS_22),
+	.D(n_36668),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [6]),
+	.SCE(n_7609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][7]  (
+	.CLK(CTS_13),
+	.D(n_79116),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [7]),
+	.SCE(n_7609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][8]  (
+	.CLK(CTS_30),
+	.D(n_26213),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [8]),
+	.SCE(n_7609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][9]  (
+	.CLK(CTS_22),
+	.D(n_79365),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [9]),
+	.SCE(n_7609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][10]  (
+	.CLK(CTS_29),
+	.D(n_63770),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [10]),
+	.SCE(n_7609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][11]  (
+	.CLK(CTS_16),
+	.D(n_48252),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [11]),
+	.SCE(n_7609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][12]  (
+	.CLK(CTS_19),
+	.D(n_81714),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [12]),
+	.SCE(n_7609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][13]  (
+	.CLK(CTS_15),
+	.D(n_71268),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [13]),
+	.SCE(n_7609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][14]  (
+	.CLK(CTS_23),
+	.D(n_69718),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [14]),
+	.SCE(n_7609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][15]  (
+	.CLK(CTS_16),
+	.D(n_42763),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [15]),
+	.SCE(n_7609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][16]  (
+	.CLK(CTS_23),
+	.D(n_26219),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [16]),
+	.SCE(n_7609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][17]  (
+	.CLK(CTS_16),
+	.D(n_80166),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [17]),
+	.SCE(n_7609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][18]  (
+	.CLK(CTS_97),
+	.D(n_26228),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [18]),
+	.SCE(n_7609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][19]  (
+	.CLK(CTS_97),
+	.D(n_41418),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [19]),
+	.SCE(n_7609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][20]  (
+	.CLK(CTS_17),
+	.D(n_58683),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [20]),
+	.SCE(n_7609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][21]  (
+	.CLK(CTS_23),
+	.D(n_81732),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [21]),
+	.SCE(n_7609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][22]  (
+	.CLK(CTS_17),
+	.D(n_80787),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [22]),
+	.SCE(n_7609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][23]  (
+	.CLK(CTS_21),
+	.D(n_81707),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [23]),
+	.SCE(n_7609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][24]  (
+	.CLK(CTS_14),
+	.D(n_91429),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [24]),
+	.SCE(n_7609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][25]  (
+	.CLK(CTS_97),
+	.D(n_61127),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [25]),
+	.SCE(n_7609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][26]  (
+	.CLK(CTS_22),
+	.D(n_62943),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [26]),
+	.SCE(n_7609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][27]  (
+	.CLK(CTS_14),
+	.D(n_38889),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [27]),
+	.SCE(n_7609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][28]  (
+	.CLK(CTS_13),
+	.D(n_60521),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [28]),
+	.SCE(n_7609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][29]  (
+	.CLK(CTS_29),
+	.D(n_43178),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [29]),
+	.SCE(n_7609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][30]  (
+	.CLK(CTS_29),
+	.D(n_79280),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [30]),
+	.SCE(n_7609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][31]  (
+	.CLK(CTS_23),
+	.D(n_70610),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [31]),
+	.SCE(n_7609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][0]  (
+	.CLK(CTS_21),
+	.D(n_61465),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [0]),
+	.SCE(n_7610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][1]  (
+	.CLK(CTS_23),
+	.D(n_48951),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [1]),
+	.SCE(n_7610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][2]  (
+	.CLK(CTS_19),
+	.D(n_49539),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [2]),
+	.SCE(n_7610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][3]  (
+	.CLK(CTS_15),
+	.D(n_75820),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [3]),
+	.SCE(n_7610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][4]  (
+	.CLK(CTS_22),
+	.D(n_61343),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [4]),
+	.SCE(n_7610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][5]  (
+	.CLK(CTS_15),
+	.D(n_78032),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [5]),
+	.SCE(n_7610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][6]  (
+	.CLK(CTS_22),
+	.D(n_36668),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [6]),
+	.SCE(n_7610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][7]  (
+	.CLK(CTS_13),
+	.D(n_79116),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [7]),
+	.SCE(n_7610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][8]  (
+	.CLK(CTS_30),
+	.D(n_26213),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [8]),
+	.SCE(n_7610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][9]  (
+	.CLK(CTS_22),
+	.D(n_79365),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [9]),
+	.SCE(n_7610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][10]  (
+	.CLK(CTS_29),
+	.D(n_63770),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [10]),
+	.SCE(n_7610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][11]  (
+	.CLK(CTS_16),
+	.D(n_48252),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [11]),
+	.SCE(n_7610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][12]  (
+	.CLK(CTS_19),
+	.D(n_81714),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [12]),
+	.SCE(n_7610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][13]  (
+	.CLK(CTS_15),
+	.D(n_71268),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [13]),
+	.SCE(n_7610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][14]  (
+	.CLK(CTS_23),
+	.D(n_69718),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [14]),
+	.SCE(n_7610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][15]  (
+	.CLK(CTS_16),
+	.D(n_42763),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [15]),
+	.SCE(n_7610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][16]  (
+	.CLK(CTS_23),
+	.D(n_26219),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [16]),
+	.SCE(n_7610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][17]  (
+	.CLK(CTS_16),
+	.D(n_80166),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [17]),
+	.SCE(n_7610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][18]  (
+	.CLK(CTS_97),
+	.D(n_26228),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [18]),
+	.SCE(n_7610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][19]  (
+	.CLK(CTS_97),
+	.D(n_41418),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [19]),
+	.SCE(n_7610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][20]  (
+	.CLK(CTS_17),
+	.D(n_58683),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [20]),
+	.SCE(n_7610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][21]  (
+	.CLK(CTS_23),
+	.D(n_81732),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [21]),
+	.SCE(n_7610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][22]  (
+	.CLK(CTS_17),
+	.D(n_80787),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [22]),
+	.SCE(n_7610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][23]  (
+	.CLK(CTS_21),
+	.D(n_81707),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [23]),
+	.SCE(n_7610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][24]  (
+	.CLK(CTS_14),
+	.D(n_91429),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [24]),
+	.SCE(n_7610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][25]  (
+	.CLK(CTS_97),
+	.D(n_61127),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [25]),
+	.SCE(n_7610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][26]  (
+	.CLK(CTS_22),
+	.D(n_62943),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [26]),
+	.SCE(n_7610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][27]  (
+	.CLK(CTS_14),
+	.D(n_38889),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [27]),
+	.SCE(n_7610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][28]  (
+	.CLK(CTS_13),
+	.D(n_60521),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [28]),
+	.SCE(n_7610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][29]  (
+	.CLK(CTS_22),
+	.D(n_43178),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [29]),
+	.SCE(n_7610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][30]  (
+	.CLK(CTS_29),
+	.D(n_79280),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [30]),
+	.SCE(n_7610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][31]  (
+	.CLK(CTS_23),
+	.D(n_70610),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [31]),
+	.SCE(n_7610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][0]  (
+	.CLK(CTS_91),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [0]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81249),
+	.SCE(n_8562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][1]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [1]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_91061),
+	.SCE(n_8562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][2]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [2]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79395),
+	.SCE(n_8562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][3]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [3]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80231),
+	.SCE(n_8562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][4]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [4]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2579),
+	.SCE(n_8562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][5]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [5]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2578),
+	.SCE(n_8562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][6]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [6]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61270),
+	.SCE(n_8562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][7]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [7]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79113),
+	.SCE(n_8562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][8]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [8]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_47735),
+	.SCE(n_8562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][9]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [9]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2577),
+	.SCE(n_8562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][10]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [10]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2576),
+	.SCE(n_8562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][11]  (
+	.CLK(CTS_90),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [11]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_90552),
+	.SCE(n_8562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][12]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [12]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61250),
+	.SCE(n_8562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][13]  (
+	.CLK(CTS_90),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [13]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2573),
+	.SCE(n_8562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][14]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [14]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2585),
+	.SCE(n_8562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][15]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [15]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2572),
+	.SCE(n_8562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][16]  (
+	.CLK(CTS_94),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [16]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80434),
+	.SCE(n_8562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][17]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [17]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61196),
+	.SCE(n_8562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][18]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [18]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_44409),
+	.SCE(n_8562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][19]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [19]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61139),
+	.SCE(n_8562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][20]  (
+	.CLK(CTS_90),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [20]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2587),
+	.SCE(n_8562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][21]  (
+	.CLK(CTS_94),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [21]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81727),
+	.SCE(n_8562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][22]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [22]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81185),
+	.SCE(n_8562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][23]  (
+	.CLK(CTS_90),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [23]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81706),
+	.SCE(n_8562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][24]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [24]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_64835),
+	.SCE(n_8562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][25]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [25]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79360),
+	.SCE(n_8562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][26]  (
+	.CLK(CTS_94),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [26]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_59496),
+	.SCE(n_8562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][27]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [27]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_88137),
+	.SCE(n_8562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][28]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [28]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2561),
+	.SCE(n_8562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][29]  (
+	.CLK(CTS_94),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [29]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_38139),
+	.SCE(n_8562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][30]  (
+	.CLK(CTS_90),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [30]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_42629),
+	.SCE(n_8562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][31]  (
+	.CLK(CTS_82),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [31]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2559),
+	.SCE(n_8562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][0]  (
+	.CLK(CTS_91),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [0]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81249),
+	.SCE(n_8557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][1]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [1]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_91061),
+	.SCE(n_8557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][2]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [2]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79395),
+	.SCE(n_8557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][3]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [3]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80231),
+	.SCE(n_8557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][4]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [4]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2579),
+	.SCE(n_8557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][5]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [5]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2578),
+	.SCE(n_8557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][6]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [6]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61270),
+	.SCE(n_8557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][7]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [7]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79113),
+	.SCE(n_8557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][8]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [8]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_47735),
+	.SCE(n_8557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][9]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [9]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2577),
+	.SCE(n_8557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][10]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [10]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2576),
+	.SCE(n_8557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][11]  (
+	.CLK(CTS_90),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [11]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_90552),
+	.SCE(n_8557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][12]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [12]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61250),
+	.SCE(n_8557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][13]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [13]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2573),
+	.SCE(n_8557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][14]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [14]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2585),
+	.SCE(n_8557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][15]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [15]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2572),
+	.SCE(n_8557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][16]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [16]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80434),
+	.SCE(n_8557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][17]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [17]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61196),
+	.SCE(n_8557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][18]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [18]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_44409),
+	.SCE(n_8557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][19]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [19]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61139),
+	.SCE(n_8557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][20]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [20]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2587),
+	.SCE(n_8557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][21]  (
+	.CLK(CTS_94),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [21]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81727),
+	.SCE(n_8557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][22]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [22]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81185),
+	.SCE(n_8557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][23]  (
+	.CLK(CTS_82),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [23]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81706),
+	.SCE(n_8557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][24]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [24]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_64835),
+	.SCE(n_8557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][25]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [25]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79360),
+	.SCE(n_8557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][26]  (
+	.CLK(CTS_94),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [26]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_59496),
+	.SCE(n_8557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][27]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [27]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_88137),
+	.SCE(n_8557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][28]  (
+	.CLK(CTS_90),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [28]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2561),
+	.SCE(n_8557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][29]  (
+	.CLK(CTS_94),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [29]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_38139),
+	.SCE(n_8557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][30]  (
+	.CLK(CTS_90),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [30]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_42629),
+	.SCE(n_8557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][31]  (
+	.CLK(CTS_82),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [31]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2559),
+	.SCE(n_8557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][0]  (
+	.CLK(CTS_91),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [0]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81249),
+	.SCE(n_8563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][1]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [1]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_91061),
+	.SCE(n_8563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][2]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [2]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79395),
+	.SCE(n_8563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][3]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [3]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80231),
+	.SCE(n_8563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][4]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [4]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2579),
+	.SCE(n_8563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][5]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [5]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2578),
+	.SCE(n_8563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][6]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [6]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61270),
+	.SCE(n_8563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][7]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [7]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79113),
+	.SCE(n_8563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][8]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [8]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_47735),
+	.SCE(n_8563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][9]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [9]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2577),
+	.SCE(n_8563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][10]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [10]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2576),
+	.SCE(n_8563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][11]  (
+	.CLK(CTS_90),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [11]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_90552),
+	.SCE(n_8563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][12]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [12]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61250),
+	.SCE(n_8563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][13]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [13]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2573),
+	.SCE(n_8563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][14]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [14]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2585),
+	.SCE(n_8563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][15]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [15]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2572),
+	.SCE(n_8563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][16]  (
+	.CLK(CTS_90),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [16]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80434),
+	.SCE(n_8563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][17]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [17]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61196),
+	.SCE(n_8563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][18]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [18]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_44409),
+	.SCE(n_8563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][19]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [19]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61139),
+	.SCE(n_8563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][20]  (
+	.CLK(CTS_90),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [20]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2587),
+	.SCE(n_8563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][21]  (
+	.CLK(CTS_94),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [21]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81727),
+	.SCE(n_8563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][22]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [22]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81185),
+	.SCE(n_8563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][23]  (
+	.CLK(CTS_82),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [23]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81706),
+	.SCE(n_8563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][24]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [24]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_64835),
+	.SCE(n_8563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][25]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [25]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79360),
+	.SCE(n_8563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][26]  (
+	.CLK(CTS_94),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [26]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_59496),
+	.SCE(n_8563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][27]  (
+	.CLK(CTS_90),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [27]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_88137),
+	.SCE(n_8563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][28]  (
+	.CLK(CTS_90),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [28]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2561),
+	.SCE(n_8563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][29]  (
+	.CLK(CTS_94),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [29]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_38139),
+	.SCE(n_8563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][30]  (
+	.CLK(CTS_97),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [30]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_42629),
+	.SCE(n_8563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][31]  (
+	.CLK(CTS_82),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [31]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2559),
+	.SCE(n_8563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][0]  (
+	.CLK(CTS_91),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [0]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81249),
+	.SCE(n_7598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][1]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [1]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_91061),
+	.SCE(n_7598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][2]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [2]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79395),
+	.SCE(n_7598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][3]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [3]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80231),
+	.SCE(n_7598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][4]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [4]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2579),
+	.SCE(n_7598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][5]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [5]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2578),
+	.SCE(n_7598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][6]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [6]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61270),
+	.SCE(n_7598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][7]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [7]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79113),
+	.SCE(n_7598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][8]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [8]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_47735),
+	.SCE(n_7598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][9]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [9]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2577),
+	.SCE(n_7598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][10]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [10]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2576),
+	.SCE(n_7598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][11]  (
+	.CLK(CTS_90),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [11]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_90552),
+	.SCE(n_7598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][12]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [12]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61250),
+	.SCE(n_7598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][13]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [13]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2573),
+	.SCE(n_7598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][14]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [14]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2585),
+	.SCE(n_7598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][15]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [15]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2572),
+	.SCE(n_7598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][16]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [16]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80434),
+	.SCE(n_7598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][17]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [17]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61196),
+	.SCE(n_7598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][18]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [18]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_44409),
+	.SCE(n_7598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][19]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [19]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61139),
+	.SCE(n_7598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][20]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [20]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2587),
+	.SCE(n_7598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][21]  (
+	.CLK(CTS_94),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [21]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81727),
+	.SCE(n_7598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][22]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [22]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81185),
+	.SCE(n_7598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][23]  (
+	.CLK(CTS_82),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [23]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81706),
+	.SCE(n_7598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][24]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [24]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_64835),
+	.SCE(n_7598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][25]  (
+	.CLK(CTS_90),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [25]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79360),
+	.SCE(n_7598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][26]  (
+	.CLK(CTS_97),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [26]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_59496),
+	.SCE(n_7598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][27]  (
+	.CLK(CTS_90),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [27]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_88137),
+	.SCE(n_7598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][28]  (
+	.CLK(CTS_90),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [28]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2561),
+	.SCE(n_7598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][29]  (
+	.CLK(CTS_94),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [29]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_38139),
+	.SCE(n_7598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][30]  (
+	.CLK(CTS_90),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [30]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_42629),
+	.SCE(n_7598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][31]  (
+	.CLK(CTS_82),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [31]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2559),
+	.SCE(n_7598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][0]  (
+	.CLK(CTS_83),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [0]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81249),
+	.SCE(n_7604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][1]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [1]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_91061),
+	.SCE(n_7604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][2]  (
+	.CLK(CTS_101),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [2]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79395),
+	.SCE(n_7604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][3]  (
+	.CLK(CTS_101),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [3]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80231),
+	.SCE(n_7604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][4]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [4]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2579),
+	.SCE(n_7604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][5]  (
+	.CLK(CTS_101),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [5]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2578),
+	.SCE(n_7604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][6]  (
+	.CLK(CTS_83),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [6]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61270),
+	.SCE(n_7604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][7]  (
+	.CLK(CTS_83),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [7]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79113),
+	.SCE(n_7604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][8]  (
+	.CLK(CTS_83),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [8]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_47735),
+	.SCE(n_7604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][9]  (
+	.CLK(CTS_101),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [9]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2577),
+	.SCE(n_7604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][10]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [10]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2576),
+	.SCE(n_7604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][11]  (
+	.CLK(CTS_90),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [11]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_90552),
+	.SCE(n_7604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][12]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [12]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61250),
+	.SCE(n_7604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][13]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [13]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2573),
+	.SCE(n_7604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][14]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [14]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2585),
+	.SCE(n_7604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][15]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [15]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2572),
+	.SCE(n_7604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][16]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [16]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80434),
+	.SCE(n_7604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][17]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [17]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61196),
+	.SCE(n_7604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][18]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [18]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_44409),
+	.SCE(n_7604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][19]  (
+	.CLK(CTS_91),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [19]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61139),
+	.SCE(n_7604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][20]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [20]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2587),
+	.SCE(n_7604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][21]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [21]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81727),
+	.SCE(n_7604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][22]  (
+	.CLK(CTS_83),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [22]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81185),
+	.SCE(n_7604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][23]  (
+	.CLK(CTS_82),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [23]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81706),
+	.SCE(n_7604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][24]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [24]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_64835),
+	.SCE(n_7604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][25]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [25]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79360),
+	.SCE(n_7604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][26]  (
+	.CLK(CTS_94),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [26]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_59496),
+	.SCE(n_7604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][27]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [27]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_88137),
+	.SCE(n_7604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][28]  (
+	.CLK(CTS_90),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [28]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2561),
+	.SCE(n_7604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][29]  (
+	.CLK(CTS_94),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [29]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_38139),
+	.SCE(n_7604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][30]  (
+	.CLK(CTS_90),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [30]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_42629),
+	.SCE(n_7604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][31]  (
+	.CLK(CTS_82),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [31]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2559),
+	.SCE(n_7604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][0]  (
+	.CLK(CTS_91),
+	.D(n_81249),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [0]),
+	.SCE(n_7584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][1]  (
+	.CLK(CTS_101),
+	.D(n_91061),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [1]),
+	.SCE(n_7584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][2]  (
+	.CLK(CTS_95),
+	.D(n_79395),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [2]),
+	.SCE(n_7584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][3]  (
+	.CLK(CTS_101),
+	.D(n_80231),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [3]),
+	.SCE(n_7584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][4]  (
+	.CLK(CTS_95),
+	.D(n_2579),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [4]),
+	.SCE(n_7584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][5]  (
+	.CLK(CTS_98),
+	.D(n_2578),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [5]),
+	.SCE(n_7584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][6]  (
+	.CLK(CTS_83),
+	.D(n_61270),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [6]),
+	.SCE(n_7584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][7]  (
+	.CLK(CTS_83),
+	.D(n_79113),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [7]),
+	.SCE(n_7584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][8]  (
+	.CLK(CTS_95),
+	.D(n_47735),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [8]),
+	.SCE(n_7584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][9]  (
+	.CLK(CTS_101),
+	.D(n_2577),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [9]),
+	.SCE(n_7584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][10]  (
+	.CLK(CTS_98),
+	.D(n_2576),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [10]),
+	.SCE(n_7584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][11]  (
+	.CLK(CTS_90),
+	.D(n_90552),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [11]),
+	.SCE(n_7584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][12]  (
+	.CLK(CTS_98),
+	.D(n_61250),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [12]),
+	.SCE(n_7584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][13]  (
+	.CLK(CTS_96),
+	.D(n_2573),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [13]),
+	.SCE(n_7584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][14]  (
+	.CLK(CTS_98),
+	.D(n_2585),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [14]),
+	.SCE(n_7584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][15]  (
+	.CLK(CTS_98),
+	.D(n_2572),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [15]),
+	.SCE(n_7584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][16]  (
+	.CLK(CTS_96),
+	.D(n_80434),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [16]),
+	.SCE(n_7584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][17]  (
+	.CLK(CTS_101),
+	.D(n_61196),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [17]),
+	.SCE(n_7584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][18]  (
+	.CLK(CTS_91),
+	.D(n_44409),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [18]),
+	.SCE(n_7584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][19]  (
+	.CLK(CTS_82),
+	.D(n_61139),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [19]),
+	.SCE(n_7584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][20]  (
+	.CLK(CTS_96),
+	.D(n_2587),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [20]),
+	.SCE(n_7584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][21]  (
+	.CLK(CTS_94),
+	.D(n_81727),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [21]),
+	.SCE(n_7584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][22]  (
+	.CLK(CTS_83),
+	.D(n_81185),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [22]),
+	.SCE(n_7584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][23]  (
+	.CLK(CTS_82),
+	.D(n_81706),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [23]),
+	.SCE(n_7584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][24]  (
+	.CLK(CTS_98),
+	.D(n_64835),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [24]),
+	.SCE(n_7584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][25]  (
+	.CLK(CTS_96),
+	.D(n_79360),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [25]),
+	.SCE(n_7584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][26]  (
+	.CLK(CTS_94),
+	.D(n_59496),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [26]),
+	.SCE(n_7584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][27]  (
+	.CLK(CTS_90),
+	.D(n_88137),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [27]),
+	.SCE(n_7584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][28]  (
+	.CLK(CTS_90),
+	.D(n_2561),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [28]),
+	.SCE(n_7584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][29]  (
+	.CLK(CTS_94),
+	.D(n_38139),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [29]),
+	.SCE(n_7584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][30]  (
+	.CLK(CTS_90),
+	.D(n_42629),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [30]),
+	.SCE(n_7584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][31]  (
+	.CLK(CTS_82),
+	.D(n_2559),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [31]),
+	.SCE(n_7584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][0]  (
+	.CLK(CTS_91),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [0]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81249),
+	.SCE(n_7590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][1]  (
+	.CLK(CTS_101),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [1]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_91061),
+	.SCE(n_7590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][2]  (
+	.CLK(CTS_101),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [2]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79395),
+	.SCE(n_7590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][3]  (
+	.CLK(CTS_101),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [3]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80231),
+	.SCE(n_7590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][4]  (
+	.CLK(CTS_90),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [4]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2579),
+	.SCE(n_7590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][5]  (
+	.CLK(CTS_101),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [5]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2578),
+	.SCE(n_7590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][6]  (
+	.CLK(CTS_83),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [6]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61270),
+	.SCE(n_7590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][7]  (
+	.CLK(CTS_83),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [7]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79113),
+	.SCE(n_7590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][8]  (
+	.CLK(CTS_101),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [8]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_47735),
+	.SCE(n_7590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][9]  (
+	.CLK(CTS_101),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [9]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2577),
+	.SCE(n_7590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][10]  (
+	.CLK(CTS_101),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [10]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2576),
+	.SCE(n_7590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][11]  (
+	.CLK(CTS_90),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [11]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_90552),
+	.SCE(n_7590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][12]  (
+	.CLK(CTS_102),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [12]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61250),
+	.SCE(n_7590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][13]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [13]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2573),
+	.SCE(n_7590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][14]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [14]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2585),
+	.SCE(n_7590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][15]  (
+	.CLK(CTS_102),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [15]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2572),
+	.SCE(n_7590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][16]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [16]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80434),
+	.SCE(n_7590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][17]  (
+	.CLK(CTS_101),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [17]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61196),
+	.SCE(n_7590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][18]  (
+	.CLK(CTS_91),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [18]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_44409),
+	.SCE(n_7590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][19]  (
+	.CLK(CTS_91),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [19]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61139),
+	.SCE(n_7590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][20]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [20]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2587),
+	.SCE(n_7590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][21]  (
+	.CLK(CTS_94),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [21]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81727),
+	.SCE(n_7590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][22]  (
+	.CLK(CTS_83),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [22]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81185),
+	.SCE(n_7590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][23]  (
+	.CLK(CTS_82),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [23]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81706),
+	.SCE(n_7590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][24]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [24]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_64835),
+	.SCE(n_7590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][25]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [25]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79360),
+	.SCE(n_7590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][26]  (
+	.CLK(CTS_94),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [26]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_59496),
+	.SCE(n_7590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][27]  (
+	.CLK(CTS_90),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [27]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_88137),
+	.SCE(n_7590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][28]  (
+	.CLK(CTS_90),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [28]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2561),
+	.SCE(n_7590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][29]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [29]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_38139),
+	.SCE(n_7590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][30]  (
+	.CLK(CTS_90),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [30]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_42629),
+	.SCE(n_7590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][31]  (
+	.CLK(CTS_82),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [31]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2559),
+	.SCE(n_7590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][0]  (
+	.CLK(CTS_91),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [0]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81249),
+	.SCE(n_8558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][1]  (
+	.CLK(CTS_101),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [1]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_91061),
+	.SCE(n_8558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][2]  (
+	.CLK(CTS_83),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [2]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79395),
+	.SCE(n_8558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][3]  (
+	.CLK(CTS_83),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [3]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80231),
+	.SCE(n_8558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][4]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [4]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2579),
+	.SCE(n_8558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][5]  (
+	.CLK(CTS_101),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [5]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2578),
+	.SCE(n_8558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][6]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [6]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61270),
+	.SCE(n_8558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][7]  (
+	.CLK(CTS_83),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [7]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79113),
+	.SCE(n_8558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][8]  (
+	.CLK(CTS_101),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [8]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_47735),
+	.SCE(n_8558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][9]  (
+	.CLK(CTS_101),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [9]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2577),
+	.SCE(n_8558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][10]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [10]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2576),
+	.SCE(n_8558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][11]  (
+	.CLK(CTS_90),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [11]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_90552),
+	.SCE(n_8558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][12]  (
+	.CLK(CTS_101),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [12]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61250),
+	.SCE(n_8558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][13]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [13]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2573),
+	.SCE(n_8558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][14]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [14]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2585),
+	.SCE(n_8558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][15]  (
+	.CLK(CTS_101),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [15]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2572),
+	.SCE(n_8558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][16]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [16]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80434),
+	.SCE(n_8558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][17]  (
+	.CLK(CTS_101),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [17]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61196),
+	.SCE(n_8558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][18]  (
+	.CLK(CTS_91),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [18]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_44409),
+	.SCE(n_8558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][19]  (
+	.CLK(CTS_91),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [19]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61139),
+	.SCE(n_8558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][20]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [20]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2587),
+	.SCE(n_8558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][21]  (
+	.CLK(CTS_94),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [21]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81727),
+	.SCE(n_8558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][22]  (
+	.CLK(CTS_83),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [22]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81185),
+	.SCE(n_8558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][23]  (
+	.CLK(CTS_82),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [23]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81706),
+	.SCE(n_8558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][24]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [24]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_64835),
+	.SCE(n_8558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][25]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [25]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79360),
+	.SCE(n_8558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][26]  (
+	.CLK(CTS_94),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [26]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_59496),
+	.SCE(n_8558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][27]  (
+	.CLK(CTS_90),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [27]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_88137),
+	.SCE(n_8558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][28]  (
+	.CLK(CTS_90),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [28]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2561),
+	.SCE(n_8558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][29]  (
+	.CLK(CTS_94),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [29]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_38139),
+	.SCE(n_8558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][30]  (
+	.CLK(CTS_90),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [30]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_42629),
+	.SCE(n_8558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][31]  (
+	.CLK(CTS_82),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [31]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2559),
+	.SCE(n_8558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][0]  (
+	.CLK(CTS_83),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [0]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81249),
+	.SCE(n_8564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][1]  (
+	.CLK(CTS_99),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [1]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_91061),
+	.SCE(n_8564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][2]  (
+	.CLK(CTS_99),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [2]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79395),
+	.SCE(n_8564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][3]  (
+	.CLK(CTS_99),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [3]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80231),
+	.SCE(n_8564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][4]  (
+	.CLK(CTS_90),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [4]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2579),
+	.SCE(n_8564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][5]  (
+	.CLK(CTS_101),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [5]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2578),
+	.SCE(n_8564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][6]  (
+	.CLK(CTS_83),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [6]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61270),
+	.SCE(n_8564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][7]  (
+	.CLK(CTS_83),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [7]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79113),
+	.SCE(n_8564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][8]  (
+	.CLK(CTS_99),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [8]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_47735),
+	.SCE(n_8564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][9]  (
+	.CLK(CTS_101),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [9]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2577),
+	.SCE(n_8564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][10]  (
+	.CLK(CTS_101),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [10]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2576),
+	.SCE(n_8564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][11]  (
+	.CLK(CTS_90),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [11]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_90552),
+	.SCE(n_8564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][12]  (
+	.CLK(CTS_101),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [12]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61250),
+	.SCE(n_8564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][13]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [13]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2573),
+	.SCE(n_8564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][14]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [14]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2585),
+	.SCE(n_8564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][15]  (
+	.CLK(CTS_102),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [15]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2572),
+	.SCE(n_8564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][16]  (
+	.CLK(CTS_94),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [16]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80434),
+	.SCE(n_8564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][17]  (
+	.CLK(CTS_101),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [17]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61196),
+	.SCE(n_8564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][18]  (
+	.CLK(CTS_91),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [18]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_44409),
+	.SCE(n_8564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][19]  (
+	.CLK(CTS_91),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [19]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61139),
+	.SCE(n_8564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][20]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [20]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2587),
+	.SCE(n_8564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][21]  (
+	.CLK(CTS_94),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [21]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81727),
+	.SCE(n_8564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][22]  (
+	.CLK(CTS_99),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [22]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81185),
+	.SCE(n_8564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][23]  (
+	.CLK(CTS_97),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [23]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81706),
+	.SCE(n_8564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][24]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [24]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_64835),
+	.SCE(n_8564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][25]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [25]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79360),
+	.SCE(n_8564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][26]  (
+	.CLK(CTS_94),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [26]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_59496),
+	.SCE(n_8564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][27]  (
+	.CLK(CTS_90),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [27]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_88137),
+	.SCE(n_8564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][28]  (
+	.CLK(CTS_97),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [28]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2561),
+	.SCE(n_8564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][29]  (
+	.CLK(CTS_94),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [29]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_38139),
+	.SCE(n_8564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][30]  (
+	.CLK(CTS_97),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [30]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_42629),
+	.SCE(n_8564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][31]  (
+	.CLK(CTS_97),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [31]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2559),
+	.SCE(n_8564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][0]  (
+	.CLK(CTS_91),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [0]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81249),
+	.SCE(n_8559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][1]  (
+	.CLK(CTS_100),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [1]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_91061),
+	.SCE(n_8559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][2]  (
+	.CLK(CTS_100),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [2]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79395),
+	.SCE(n_8559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][3]  (
+	.CLK(CTS_100),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [3]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80231),
+	.SCE(n_8559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][4]  (
+	.CLK(CTS_90),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [4]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2579),
+	.SCE(n_8559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][5]  (
+	.CLK(CTS_101),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [5]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2578),
+	.SCE(n_8559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][6]  (
+	.CLK(CTS_83),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [6]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61270),
+	.SCE(n_8559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][7]  (
+	.CLK(CTS_100),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [7]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79113),
+	.SCE(n_8559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][8]  (
+	.CLK(CTS_99),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [8]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_47735),
+	.SCE(n_8559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][9]  (
+	.CLK(CTS_101),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [9]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2577),
+	.SCE(n_8559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][10]  (
+	.CLK(CTS_101),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [10]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2576),
+	.SCE(n_8559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][11]  (
+	.CLK(CTS_90),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [11]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_90552),
+	.SCE(n_8559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][12]  (
+	.CLK(CTS_101),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [12]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61250),
+	.SCE(n_8559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][13]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [13]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2573),
+	.SCE(n_8559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][14]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [14]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2585),
+	.SCE(n_8559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][15]  (
+	.CLK(CTS_101),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [15]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2572),
+	.SCE(n_8559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][16]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [16]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80434),
+	.SCE(n_8559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][17]  (
+	.CLK(CTS_101),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [17]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61196),
+	.SCE(n_8559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][18]  (
+	.CLK(CTS_91),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [18]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_44409),
+	.SCE(n_8559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][19]  (
+	.CLK(CTS_91),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [19]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61139),
+	.SCE(n_8559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][20]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [20]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2587),
+	.SCE(n_8559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][21]  (
+	.CLK(CTS_94),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [21]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81727),
+	.SCE(n_8559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][22]  (
+	.CLK(CTS_99),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [22]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81185),
+	.SCE(n_8559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][23]  (
+	.CLK(CTS_82),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [23]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81706),
+	.SCE(n_8559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][24]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [24]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_64835),
+	.SCE(n_8559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][25]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [25]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79360),
+	.SCE(n_8559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][26]  (
+	.CLK(CTS_97),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [26]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_59496),
+	.SCE(n_8559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][27]  (
+	.CLK(CTS_90),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [27]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_88137),
+	.SCE(n_8559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][28]  (
+	.CLK(CTS_90),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [28]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2561),
+	.SCE(n_8559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][29]  (
+	.CLK(CTS_94),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [29]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_38139),
+	.SCE(n_8559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][30]  (
+	.CLK(CTS_97),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [30]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_42629),
+	.SCE(n_8559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][31]  (
+	.CLK(CTS_97),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [31]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2559),
+	.SCE(n_8559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][0]  (
+	.CLK(CTS_83),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [0]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81249),
+	.SCE(n_8560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][1]  (
+	.CLK(CTS_101),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [1]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_91061),
+	.SCE(n_8560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][2]  (
+	.CLK(CTS_100),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [2]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79395),
+	.SCE(n_8560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][3]  (
+	.CLK(CTS_99),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [3]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80231),
+	.SCE(n_8560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][4]  (
+	.CLK(CTS_95),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [4]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2579),
+	.SCE(n_8560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][5]  (
+	.CLK(CTS_101),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [5]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2578),
+	.SCE(n_8560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][6]  (
+	.CLK(CTS_83),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [6]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61270),
+	.SCE(n_8560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][7]  (
+	.CLK(CTS_83),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [7]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79113),
+	.SCE(n_8560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][8]  (
+	.CLK(CTS_100),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [8]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_47735),
+	.SCE(n_8560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][9]  (
+	.CLK(CTS_101),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [9]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2577),
+	.SCE(n_8560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][10]  (
+	.CLK(CTS_101),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [10]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2576),
+	.SCE(n_8560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][11]  (
+	.CLK(CTS_90),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [11]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_90552),
+	.SCE(n_8560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][12]  (
+	.CLK(CTS_101),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [12]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61250),
+	.SCE(n_8560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][13]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [13]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2573),
+	.SCE(n_8560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][14]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [14]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2585),
+	.SCE(n_8560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][15]  (
+	.CLK(CTS_101),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [15]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2572),
+	.SCE(n_8560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][16]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [16]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80434),
+	.SCE(n_8560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][17]  (
+	.CLK(CTS_101),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [17]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61196),
+	.SCE(n_8560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][18]  (
+	.CLK(CTS_91),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [18]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_44409),
+	.SCE(n_8560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][19]  (
+	.CLK(CTS_82),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [19]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61139),
+	.SCE(n_8560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][20]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [20]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2587),
+	.SCE(n_8560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][21]  (
+	.CLK(CTS_94),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [21]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81727),
+	.SCE(n_8560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][22]  (
+	.CLK(CTS_99),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [22]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81185),
+	.SCE(n_8560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][23]  (
+	.CLK(CTS_90),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [23]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81706),
+	.SCE(n_8560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][24]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [24]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_64835),
+	.SCE(n_8560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][25]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [25]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79360),
+	.SCE(n_8560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][26]  (
+	.CLK(CTS_97),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [26]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_59496),
+	.SCE(n_8560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][27]  (
+	.CLK(CTS_90),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [27]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_88137),
+	.SCE(n_8560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][28]  (
+	.CLK(CTS_94),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [28]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2561),
+	.SCE(n_8560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][29]  (
+	.CLK(CTS_94),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [29]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_38139),
+	.SCE(n_8560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][30]  (
+	.CLK(CTS_97),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [30]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_42629),
+	.SCE(n_8560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][31]  (
+	.CLK(CTS_97),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [31]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2559),
+	.SCE(n_8560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][0]  (
+	.CLK(CTS_91),
+	.D(n_81249),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [0]),
+	.SCE(n_7603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][1]  (
+	.CLK(CTS_101),
+	.D(n_91061),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [1]),
+	.SCE(n_7603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][2]  (
+	.CLK(CTS_83),
+	.D(n_79395),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [2]),
+	.SCE(n_7603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][3]  (
+	.CLK(CTS_101),
+	.D(n_80231),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [3]),
+	.SCE(n_7603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][4]  (
+	.CLK(CTS_95),
+	.D(n_2579),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [4]),
+	.SCE(n_7603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][5]  (
+	.CLK(CTS_101),
+	.D(n_2578),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [5]),
+	.SCE(n_7603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][6]  (
+	.CLK(CTS_83),
+	.D(n_61270),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [6]),
+	.SCE(n_7603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][7]  (
+	.CLK(CTS_83),
+	.D(n_79113),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [7]),
+	.SCE(n_7603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][8]  (
+	.CLK(CTS_101),
+	.D(n_47735),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [8]),
+	.SCE(n_7603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][9]  (
+	.CLK(CTS_101),
+	.D(n_2577),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [9]),
+	.SCE(n_7603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][10]  (
+	.CLK(CTS_101),
+	.D(n_2576),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [10]),
+	.SCE(n_7603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][11]  (
+	.CLK(CTS_90),
+	.D(n_90552),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [11]),
+	.SCE(n_7603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][12]  (
+	.CLK(CTS_101),
+	.D(n_61250),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [12]),
+	.SCE(n_7603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][13]  (
+	.CLK(CTS_96),
+	.D(n_2573),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [13]),
+	.SCE(n_7603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][14]  (
+	.CLK(CTS_98),
+	.D(n_2585),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [14]),
+	.SCE(n_7603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][15]  (
+	.CLK(CTS_101),
+	.D(n_2572),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [15]),
+	.SCE(n_7603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][16]  (
+	.CLK(CTS_96),
+	.D(n_80434),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [16]),
+	.SCE(n_7603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][17]  (
+	.CLK(CTS_101),
+	.D(n_61196),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [17]),
+	.SCE(n_7603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][18]  (
+	.CLK(CTS_91),
+	.D(n_44409),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [18]),
+	.SCE(n_7603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][19]  (
+	.CLK(CTS_82),
+	.D(n_61139),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [19]),
+	.SCE(n_7603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][20]  (
+	.CLK(CTS_98),
+	.D(n_2587),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [20]),
+	.SCE(n_7603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][21]  (
+	.CLK(CTS_94),
+	.D(n_81727),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [21]),
+	.SCE(n_7603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][22]  (
+	.CLK(CTS_83),
+	.D(n_81185),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [22]),
+	.SCE(n_7603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][23]  (
+	.CLK(CTS_82),
+	.D(n_81706),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [23]),
+	.SCE(n_7603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][24]  (
+	.CLK(CTS_98),
+	.D(n_64835),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [24]),
+	.SCE(n_7603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][25]  (
+	.CLK(CTS_96),
+	.D(n_79360),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [25]),
+	.SCE(n_7603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][26]  (
+	.CLK(CTS_94),
+	.D(n_59496),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [26]),
+	.SCE(n_7603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][27]  (
+	.CLK(CTS_90),
+	.D(n_88137),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [27]),
+	.SCE(n_7603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][28]  (
+	.CLK(CTS_94),
+	.D(n_2561),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [28]),
+	.SCE(n_7603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][29]  (
+	.CLK(CTS_94),
+	.D(n_38139),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [29]),
+	.SCE(n_7603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][30]  (
+	.CLK(CTS_97),
+	.D(n_42629),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [30]),
+	.SCE(n_7603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][31]  (
+	.CLK(CTS_82),
+	.D(n_2559),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [31]),
+	.SCE(n_7603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][0]  (
+	.CLK(CTS_83),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [0]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81249),
+	.SCE(n_7589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][1]  (
+	.CLK(CTS_101),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [1]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_91061),
+	.SCE(n_7589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][2]  (
+	.CLK(CTS_99),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [2]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79395),
+	.SCE(n_7589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][3]  (
+	.CLK(CTS_99),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [3]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80231),
+	.SCE(n_7589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][4]  (
+	.CLK(CTS_90),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [4]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2579),
+	.SCE(n_7589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][5]  (
+	.CLK(CTS_101),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [5]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2578),
+	.SCE(n_7589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][6]  (
+	.CLK(CTS_83),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [6]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61270),
+	.SCE(n_7589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][7]  (
+	.CLK(CTS_83),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [7]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79113),
+	.SCE(n_7589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][8]  (
+	.CLK(CTS_83),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [8]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_47735),
+	.SCE(n_7589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][9]  (
+	.CLK(CTS_101),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [9]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2577),
+	.SCE(n_7589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][10]  (
+	.CLK(CTS_102),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [10]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2576),
+	.SCE(n_7589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][11]  (
+	.CLK(CTS_90),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [11]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_90552),
+	.SCE(n_7589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][12]  (
+	.CLK(CTS_101),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [12]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61250),
+	.SCE(n_7589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][13]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [13]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2573),
+	.SCE(n_7589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][14]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [14]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2585),
+	.SCE(n_7589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][15]  (
+	.CLK(CTS_102),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [15]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2572),
+	.SCE(n_7589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][16]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [16]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80434),
+	.SCE(n_7589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][17]  (
+	.CLK(CTS_99),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [17]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61196),
+	.SCE(n_7589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][18]  (
+	.CLK(CTS_91),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [18]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_44409),
+	.SCE(n_7589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][19]  (
+	.CLK(CTS_82),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [19]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61139),
+	.SCE(n_7589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][20]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [20]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2587),
+	.SCE(n_7589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][21]  (
+	.CLK(CTS_94),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [21]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81727),
+	.SCE(n_7589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][22]  (
+	.CLK(CTS_99),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [22]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81185),
+	.SCE(n_7589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][23]  (
+	.CLK(CTS_90),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [23]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81706),
+	.SCE(n_7589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][24]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [24]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_64835),
+	.SCE(n_7589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][25]  (
+	.CLK(CTS_98),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [25]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79360),
+	.SCE(n_7589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][26]  (
+	.CLK(CTS_97),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [26]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_59496),
+	.SCE(n_7589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][27]  (
+	.CLK(CTS_90),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [27]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_88137),
+	.SCE(n_7589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][28]  (
+	.CLK(CTS_97),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [28]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2561),
+	.SCE(n_7589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][29]  (
+	.CLK(CTS_94),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [29]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_38139),
+	.SCE(n_7589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][30]  (
+	.CLK(CTS_90),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [30]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_42629),
+	.SCE(n_7589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][31]  (
+	.CLK(CTS_82),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [31]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2559),
+	.SCE(n_7589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][0]  (
+	.CLK(CTS_83),
+	.D(n_81249),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [0]),
+	.SCE(n_7588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][1]  (
+	.CLK(CTS_101),
+	.D(n_91061),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [1]),
+	.SCE(n_7588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][2]  (
+	.CLK(CTS_99),
+	.D(n_79395),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [2]),
+	.SCE(n_7588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][3]  (
+	.CLK(CTS_101),
+	.D(n_80231),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [3]),
+	.SCE(n_7588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][4]  (
+	.CLK(CTS_90),
+	.D(n_2579),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [4]),
+	.SCE(n_7588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][5]  (
+	.CLK(CTS_101),
+	.D(n_2578),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [5]),
+	.SCE(n_7588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][6]  (
+	.CLK(CTS_83),
+	.D(n_61270),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [6]),
+	.SCE(n_7588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][7]  (
+	.CLK(CTS_83),
+	.D(n_79113),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [7]),
+	.SCE(n_7588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][8]  (
+	.CLK(CTS_99),
+	.D(n_47735),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [8]),
+	.SCE(n_7588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][9]  (
+	.CLK(CTS_101),
+	.D(n_2577),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [9]),
+	.SCE(n_7588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][10]  (
+	.CLK(CTS_101),
+	.D(n_2576),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [10]),
+	.SCE(n_7588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][11]  (
+	.CLK(CTS_90),
+	.D(n_90552),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [11]),
+	.SCE(n_7588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][12]  (
+	.CLK(CTS_101),
+	.D(n_61250),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [12]),
+	.SCE(n_7588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][13]  (
+	.CLK(CTS_96),
+	.D(n_2573),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [13]),
+	.SCE(n_7588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][14]  (
+	.CLK(CTS_98),
+	.D(n_2585),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [14]),
+	.SCE(n_7588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][15]  (
+	.CLK(CTS_102),
+	.D(n_2572),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [15]),
+	.SCE(n_7588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][16]  (
+	.CLK(CTS_94),
+	.D(n_80434),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [16]),
+	.SCE(n_7588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][17]  (
+	.CLK(CTS_101),
+	.D(n_61196),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [17]),
+	.SCE(n_7588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][18]  (
+	.CLK(CTS_91),
+	.D(n_44409),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [18]),
+	.SCE(n_7588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][19]  (
+	.CLK(CTS_91),
+	.D(n_61139),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [19]),
+	.SCE(n_7588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][20]  (
+	.CLK(CTS_96),
+	.D(n_2587),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [20]),
+	.SCE(n_7588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][21]  (
+	.CLK(CTS_94),
+	.D(n_81727),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [21]),
+	.SCE(n_7588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][22]  (
+	.CLK(CTS_99),
+	.D(n_81185),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [22]),
+	.SCE(n_7588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][23]  (
+	.CLK(CTS_97),
+	.D(n_81706),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [23]),
+	.SCE(n_7588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][24]  (
+	.CLK(CTS_98),
+	.D(n_64835),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [24]),
+	.SCE(n_7588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][25]  (
+	.CLK(CTS_96),
+	.D(n_79360),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [25]),
+	.SCE(n_7588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][26]  (
+	.CLK(CTS_97),
+	.D(n_59496),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [26]),
+	.SCE(n_7588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][27]  (
+	.CLK(CTS_90),
+	.D(n_88137),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [27]),
+	.SCE(n_7588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][28]  (
+	.CLK(CTS_97),
+	.D(n_2561),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [28]),
+	.SCE(n_7588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][29]  (
+	.CLK(CTS_94),
+	.D(n_38139),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [29]),
+	.SCE(n_7588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][30]  (
+	.CLK(CTS_90),
+	.D(n_42629),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [30]),
+	.SCE(n_7588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][31]  (
+	.CLK(CTS_97),
+	.D(n_2559),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [31]),
+	.SCE(n_7588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][0]  (
+	.CLK(CTS_91),
+	.D(n_81249),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [0]),
+	.SCE(n_7587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][1]  (
+	.CLK(CTS_95),
+	.D(n_91061),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [1]),
+	.SCE(n_7587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][2]  (
+	.CLK(CTS_95),
+	.D(n_79395),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [2]),
+	.SCE(n_7587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][3]  (
+	.CLK(CTS_95),
+	.D(n_80231),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [3]),
+	.SCE(n_7587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][4]  (
+	.CLK(CTS_95),
+	.D(n_2579),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [4]),
+	.SCE(n_7587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][5]  (
+	.CLK(CTS_98),
+	.D(n_2578),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [5]),
+	.SCE(n_7587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][6]  (
+	.CLK(CTS_91),
+	.D(n_61270),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [6]),
+	.SCE(n_7587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][7]  (
+	.CLK(CTS_95),
+	.D(n_79113),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [7]),
+	.SCE(n_7587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][8]  (
+	.CLK(CTS_95),
+	.D(n_47735),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [8]),
+	.SCE(n_7587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][9]  (
+	.CLK(CTS_98),
+	.D(n_2577),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [9]),
+	.SCE(n_7587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][10]  (
+	.CLK(CTS_98),
+	.D(n_2576),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [10]),
+	.SCE(n_7587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][11]  (
+	.CLK(CTS_90),
+	.D(n_90552),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [11]),
+	.SCE(n_7587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][12]  (
+	.CLK(CTS_98),
+	.D(n_61250),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [12]),
+	.SCE(n_7587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][13]  (
+	.CLK(CTS_96),
+	.D(n_2573),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [13]),
+	.SCE(n_7587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][14]  (
+	.CLK(CTS_95),
+	.D(n_2585),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [14]),
+	.SCE(n_7587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][15]  (
+	.CLK(CTS_98),
+	.D(n_2572),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [15]),
+	.SCE(n_7587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][16]  (
+	.CLK(CTS_90),
+	.D(n_80434),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [16]),
+	.SCE(n_7587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][17]  (
+	.CLK(CTS_98),
+	.D(n_61196),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [17]),
+	.SCE(n_7587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][18]  (
+	.CLK(CTS_95),
+	.D(n_44409),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [18]),
+	.SCE(n_7587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][19]  (
+	.CLK(CTS_95),
+	.D(n_61139),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [19]),
+	.SCE(n_7587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][20]  (
+	.CLK(CTS_90),
+	.D(n_2587),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [20]),
+	.SCE(n_7587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][21]  (
+	.CLK(CTS_94),
+	.D(n_81727),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [21]),
+	.SCE(n_7587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][22]  (
+	.CLK(CTS_95),
+	.D(n_81185),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [22]),
+	.SCE(n_7587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][23]  (
+	.CLK(CTS_90),
+	.D(n_81706),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [23]),
+	.SCE(n_7587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][24]  (
+	.CLK(CTS_95),
+	.D(n_64835),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [24]),
+	.SCE(n_7587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][25]  (
+	.CLK(CTS_90),
+	.D(n_79360),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [25]),
+	.SCE(n_7587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][26]  (
+	.CLK(CTS_94),
+	.D(n_59496),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [26]),
+	.SCE(n_7587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][27]  (
+	.CLK(CTS_96),
+	.D(n_88137),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [27]),
+	.SCE(n_7587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][28]  (
+	.CLK(CTS_90),
+	.D(n_2561),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [28]),
+	.SCE(n_7587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][29]  (
+	.CLK(CTS_94),
+	.D(n_38139),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [29]),
+	.SCE(n_7587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][30]  (
+	.CLK(CTS_90),
+	.D(n_42629),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [30]),
+	.SCE(n_7587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][31]  (
+	.CLK(CTS_82),
+	.D(n_2559),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [31]),
+	.SCE(n_7587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][0]  (
+	.CLK(CTS_83),
+	.D(n_81249),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [0]),
+	.SCE(n_8540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][1]  (
+	.CLK(CTS_83),
+	.D(n_91061),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [1]),
+	.SCE(n_8540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][2]  (
+	.CLK(CTS_83),
+	.D(n_79395),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [2]),
+	.SCE(n_8540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][3]  (
+	.CLK(CTS_83),
+	.D(n_80231),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [3]),
+	.SCE(n_8540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][4]  (
+	.CLK(CTS_88),
+	.D(n_2579),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [4]),
+	.SCE(n_8540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][5]  (
+	.CLK(CTS_88),
+	.D(n_2578),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [5]),
+	.SCE(n_8540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][6]  (
+	.CLK(CTS_83),
+	.D(n_61270),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [6]),
+	.SCE(n_8540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][7]  (
+	.CLK(CTS_83),
+	.D(n_79113),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [7]),
+	.SCE(n_8540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][8]  (
+	.CLK(CTS_83),
+	.D(n_47735),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [8]),
+	.SCE(n_8540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][9]  (
+	.CLK(CTS_83),
+	.D(n_2577),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [9]),
+	.SCE(n_8540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][10]  (
+	.CLK(CTS_92),
+	.D(n_2576),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [10]),
+	.SCE(n_8540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][11]  (
+	.CLK(CTS_87),
+	.D(n_90552),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [11]),
+	.SCE(n_8540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][12]  (
+	.CLK(CTS_88),
+	.D(n_61250),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [12]),
+	.SCE(n_8540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][13]  (
+	.CLK(CTS_89),
+	.D(n_2573),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [13]),
+	.SCE(n_8540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][14]  (
+	.CLK(CTS_83),
+	.D(n_2585),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [14]),
+	.SCE(n_8540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][15]  (
+	.CLK(CTS_88),
+	.D(n_2572),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [15]),
+	.SCE(n_8540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][16]  (
+	.CLK(CTS_89),
+	.D(n_80434),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [16]),
+	.SCE(n_8540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][17]  (
+	.CLK(CTS_91),
+	.D(n_61196),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [17]),
+	.SCE(n_8540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][18]  (
+	.CLK(CTS_83),
+	.D(n_44409),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [18]),
+	.SCE(n_8540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][19]  (
+	.CLK(CTS_91),
+	.D(n_61139),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [19]),
+	.SCE(n_8540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][20]  (
+	.CLK(CTS_92),
+	.D(n_2587),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [20]),
+	.SCE(n_8540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][21]  (
+	.CLK(CTS_87),
+	.D(n_81727),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [21]),
+	.SCE(n_8540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][22]  (
+	.CLK(CTS_83),
+	.D(n_81185),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [22]),
+	.SCE(n_8540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][23]  (
+	.CLK(CTS_91),
+	.D(n_81706),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [23]),
+	.SCE(n_8540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][24]  (
+	.CLK(CTS_92),
+	.D(n_64835),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [24]),
+	.SCE(n_8540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][25]  (
+	.CLK(CTS_82),
+	.D(n_79360),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [25]),
+	.SCE(n_8540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][26]  (
+	.CLK(CTS_87),
+	.D(n_59496),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [26]),
+	.SCE(n_8540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][27]  (
+	.CLK(CTS_92),
+	.D(n_88137),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [27]),
+	.SCE(n_8540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][28]  (
+	.CLK(CTS_87),
+	.D(n_2561),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [28]),
+	.SCE(n_8540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][29]  (
+	.CLK(CTS_87),
+	.D(n_38139),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [29]),
+	.SCE(n_8540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][30]  (
+	.CLK(CTS_82),
+	.D(n_42629),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [30]),
+	.SCE(n_8540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][31]  (
+	.CLK(CTS_82),
+	.D(n_2559),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [31]),
+	.SCE(n_8540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][0]  (
+	.CLK(CTS_83),
+	.D(n_81249),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [0]),
+	.SCE(n_35314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][1]  (
+	.CLK(CTS_83),
+	.D(n_91061),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [1]),
+	.SCE(n_35314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][2]  (
+	.CLK(CTS_83),
+	.D(n_79395),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [2]),
+	.SCE(n_35314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][3]  (
+	.CLK(CTS_83),
+	.D(n_80231),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [3]),
+	.SCE(n_35314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][4]  (
+	.CLK(CTS_88),
+	.D(n_2579),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [4]),
+	.SCE(n_35314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][5]  (
+	.CLK(CTS_83),
+	.D(n_2578),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [5]),
+	.SCE(n_35314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][6]  (
+	.CLK(CTS_83),
+	.D(n_61270),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [6]),
+	.SCE(n_35314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][7]  (
+	.CLK(CTS_83),
+	.D(n_79113),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [7]),
+	.SCE(n_35314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][8]  (
+	.CLK(CTS_83),
+	.D(n_47735),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [8]),
+	.SCE(n_35314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][9]  (
+	.CLK(CTS_83),
+	.D(n_2577),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [9]),
+	.SCE(n_35314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][10]  (
+	.CLK(CTS_91),
+	.D(n_2576),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [10]),
+	.SCE(n_35314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][11]  (
+	.CLK(CTS_89),
+	.D(n_90552),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [11]),
+	.SCE(n_35314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][12]  (
+	.CLK(CTS_88),
+	.D(n_61250),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [12]),
+	.SCE(n_35314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][13]  (
+	.CLK(CTS_89),
+	.D(n_2573),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [13]),
+	.SCE(n_35314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][14]  (
+	.CLK(CTS_88),
+	.D(n_2585),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [14]),
+	.SCE(n_35314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][15]  (
+	.CLK(CTS_83),
+	.D(n_2572),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [15]),
+	.SCE(n_35314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][16]  (
+	.CLK(CTS_89),
+	.D(n_80434),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [16]),
+	.SCE(n_35314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][17]  (
+	.CLK(CTS_88),
+	.D(n_61196),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [17]),
+	.SCE(n_35314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][18]  (
+	.CLK(CTS_83),
+	.D(n_44409),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [18]),
+	.SCE(n_35314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][19]  (
+	.CLK(CTS_91),
+	.D(n_61139),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [19]),
+	.SCE(n_35314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][20]  (
+	.CLK(CTS_92),
+	.D(n_2587),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [20]),
+	.SCE(n_35314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][21]  (
+	.CLK(CTS_87),
+	.D(n_81727),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [21]),
+	.SCE(n_35314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][22]  (
+	.CLK(CTS_83),
+	.D(n_81185),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [22]),
+	.SCE(n_35314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][23]  (
+	.CLK(CTS_91),
+	.D(n_81706),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [23]),
+	.SCE(n_35314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][24]  (
+	.CLK(CTS_92),
+	.D(n_64835),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [24]),
+	.SCE(n_35314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][25]  (
+	.CLK(CTS_82),
+	.D(n_79360),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [25]),
+	.SCE(n_35314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][26]  (
+	.CLK(CTS_87),
+	.D(n_59496),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [26]),
+	.SCE(n_35314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][27]  (
+	.CLK(CTS_92),
+	.D(n_88137),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [27]),
+	.SCE(n_35314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][28]  (
+	.CLK(CTS_87),
+	.D(n_2561),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [28]),
+	.SCE(n_35314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][29]  (
+	.CLK(CTS_87),
+	.D(n_38139),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [29]),
+	.SCE(n_35314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][30]  (
+	.CLK(CTS_82),
+	.D(n_42629),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [30]),
+	.SCE(n_35314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][31]  (
+	.CLK(CTS_82),
+	.D(n_2559),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [31]),
+	.SCE(n_35314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][0]  (
+	.CLK(CTS_83),
+	.D(n_81249),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [0]),
+	.SCE(n_8545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][1]  (
+	.CLK(CTS_83),
+	.D(n_91061),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [1]),
+	.SCE(n_8545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][2]  (
+	.CLK(CTS_83),
+	.D(n_79395),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [2]),
+	.SCE(n_8545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][3]  (
+	.CLK(CTS_88),
+	.D(n_80231),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [3]),
+	.SCE(n_8545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][4]  (
+	.CLK(CTS_88),
+	.D(n_2579),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [4]),
+	.SCE(n_8545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][5]  (
+	.CLK(CTS_88),
+	.D(n_2578),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [5]),
+	.SCE(n_8545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][6]  (
+	.CLK(CTS_83),
+	.D(n_61270),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [6]),
+	.SCE(n_8545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][7]  (
+	.CLK(CTS_99),
+	.D(n_79113),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [7]),
+	.SCE(n_8545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][8]  (
+	.CLK(CTS_83),
+	.D(n_47735),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [8]),
+	.SCE(n_8545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][9]  (
+	.CLK(CTS_88),
+	.D(n_2577),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [9]),
+	.SCE(n_8545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][10]  (
+	.CLK(CTS_92),
+	.D(n_2576),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [10]),
+	.SCE(n_8545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][11]  (
+	.CLK(CTS_89),
+	.D(n_90552),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [11]),
+	.SCE(n_8545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][12]  (
+	.CLK(CTS_88),
+	.D(n_61250),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [12]),
+	.SCE(n_8545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][13]  (
+	.CLK(CTS_89),
+	.D(n_2573),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [13]),
+	.SCE(n_8545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][14]  (
+	.CLK(CTS_88),
+	.D(n_2585),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [14]),
+	.SCE(n_8545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][15]  (
+	.CLK(CTS_88),
+	.D(n_2572),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [15]),
+	.SCE(n_8545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][16]  (
+	.CLK(CTS_89),
+	.D(n_80434),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [16]),
+	.SCE(n_8545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][17]  (
+	.CLK(CTS_91),
+	.D(n_61196),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [17]),
+	.SCE(n_8545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][18]  (
+	.CLK(CTS_99),
+	.D(n_44409),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [18]),
+	.SCE(n_8545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][19]  (
+	.CLK(CTS_91),
+	.D(n_61139),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [19]),
+	.SCE(n_8545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][20]  (
+	.CLK(CTS_92),
+	.D(n_2587),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [20]),
+	.SCE(n_8545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][21]  (
+	.CLK(CTS_87),
+	.D(n_81727),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [21]),
+	.SCE(n_8545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][22]  (
+	.CLK(CTS_99),
+	.D(n_81185),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [22]),
+	.SCE(n_8545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][23]  (
+	.CLK(CTS_91),
+	.D(n_81706),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [23]),
+	.SCE(n_8545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][24]  (
+	.CLK(CTS_92),
+	.D(n_64835),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [24]),
+	.SCE(n_8545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][25]  (
+	.CLK(CTS_82),
+	.D(n_79360),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [25]),
+	.SCE(n_8545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][26]  (
+	.CLK(CTS_87),
+	.D(n_59496),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [26]),
+	.SCE(n_8545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][27]  (
+	.CLK(CTS_92),
+	.D(n_88137),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [27]),
+	.SCE(n_8545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][28]  (
+	.CLK(CTS_87),
+	.D(n_2561),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [28]),
+	.SCE(n_8545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][29]  (
+	.CLK(CTS_87),
+	.D(n_38139),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [29]),
+	.SCE(n_8545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][30]  (
+	.CLK(CTS_82),
+	.D(n_42629),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [30]),
+	.SCE(n_8545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][31]  (
+	.CLK(CTS_82),
+	.D(n_2559),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [31]),
+	.SCE(n_8545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][0]  (
+	.CLK(CTS_83),
+	.D(n_81249),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [0]),
+	.SCE(n_8549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][1]  (
+	.CLK(CTS_83),
+	.D(n_91061),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [1]),
+	.SCE(n_8549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][2]  (
+	.CLK(CTS_83),
+	.D(n_79395),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [2]),
+	.SCE(n_8549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][3]  (
+	.CLK(CTS_83),
+	.D(n_80231),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [3]),
+	.SCE(n_8549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][4]  (
+	.CLK(CTS_88),
+	.D(n_2579),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [4]),
+	.SCE(n_8549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][5]  (
+	.CLK(CTS_88),
+	.D(n_2578),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [5]),
+	.SCE(n_8549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][6]  (
+	.CLK(CTS_83),
+	.D(n_61270),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [6]),
+	.SCE(n_8549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][7]  (
+	.CLK(CTS_99),
+	.D(n_79113),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [7]),
+	.SCE(n_8549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][8]  (
+	.CLK(CTS_83),
+	.D(n_47735),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [8]),
+	.SCE(n_8549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][9]  (
+	.CLK(CTS_88),
+	.D(n_2577),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [9]),
+	.SCE(n_8549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][10]  (
+	.CLK(CTS_92),
+	.D(n_2576),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [10]),
+	.SCE(n_8549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][11]  (
+	.CLK(CTS_89),
+	.D(n_90552),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [11]),
+	.SCE(n_8549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][12]  (
+	.CLK(CTS_88),
+	.D(n_61250),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [12]),
+	.SCE(n_8549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][13]  (
+	.CLK(CTS_89),
+	.D(n_2573),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [13]),
+	.SCE(n_8549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][14]  (
+	.CLK(CTS_83),
+	.D(n_2585),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [14]),
+	.SCE(n_8549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][15]  (
+	.CLK(CTS_88),
+	.D(n_2572),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [15]),
+	.SCE(n_8549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][16]  (
+	.CLK(CTS_89),
+	.D(n_80434),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [16]),
+	.SCE(n_8549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][17]  (
+	.CLK(CTS_91),
+	.D(n_61196),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [17]),
+	.SCE(n_8549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][18]  (
+	.CLK(CTS_99),
+	.D(n_44409),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [18]),
+	.SCE(n_8549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][19]  (
+	.CLK(CTS_91),
+	.D(n_61139),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [19]),
+	.SCE(n_8549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][20]  (
+	.CLK(CTS_89),
+	.D(n_2587),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [20]),
+	.SCE(n_8549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][21]  (
+	.CLK(CTS_87),
+	.D(n_81727),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [21]),
+	.SCE(n_8549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][22]  (
+	.CLK(CTS_99),
+	.D(n_81185),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [22]),
+	.SCE(n_8549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][23]  (
+	.CLK(CTS_91),
+	.D(n_81706),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [23]),
+	.SCE(n_8549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][24]  (
+	.CLK(CTS_92),
+	.D(n_64835),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [24]),
+	.SCE(n_8549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][25]  (
+	.CLK(CTS_82),
+	.D(n_79360),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [25]),
+	.SCE(n_8549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][26]  (
+	.CLK(CTS_87),
+	.D(n_59496),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [26]),
+	.SCE(n_8549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][27]  (
+	.CLK(CTS_91),
+	.D(n_88137),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [27]),
+	.SCE(n_8549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][28]  (
+	.CLK(CTS_87),
+	.D(n_2561),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [28]),
+	.SCE(n_8549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][29]  (
+	.CLK(CTS_87),
+	.D(n_38139),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [29]),
+	.SCE(n_8549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][30]  (
+	.CLK(CTS_82),
+	.D(n_42629),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [30]),
+	.SCE(n_8549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][31]  (
+	.CLK(CTS_82),
+	.D(n_2559),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [31]),
+	.SCE(n_8549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][0]  (
+	.CLK(CTS_93),
+	.D(n_81249),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [0]),
+	.SCE(n_7612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][1]  (
+	.CLK(CTS_93),
+	.D(n_91061),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [1]),
+	.SCE(n_7612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][2]  (
+	.CLK(CTS_93),
+	.D(n_79395),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [2]),
+	.SCE(n_7612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][3]  (
+	.CLK(CTS_93),
+	.D(n_80231),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [3]),
+	.SCE(n_7612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][4]  (
+	.CLK(CTS_88),
+	.D(n_2579),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [4]),
+	.SCE(n_7612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][5]  (
+	.CLK(CTS_88),
+	.D(n_2578),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [5]),
+	.SCE(n_7612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][6]  (
+	.CLK(CTS_93),
+	.D(n_61270),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [6]),
+	.SCE(n_7612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][7]  (
+	.CLK(CTS_99),
+	.D(n_79113),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [7]),
+	.SCE(n_7612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][8]  (
+	.CLK(CTS_99),
+	.D(n_47735),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [8]),
+	.SCE(n_7612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][9]  (
+	.CLK(CTS_88),
+	.D(n_2577),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [9]),
+	.SCE(n_7612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][10]  (
+	.CLK(CTS_92),
+	.D(n_2576),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [10]),
+	.SCE(n_7612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][11]  (
+	.CLK(CTS_87),
+	.D(n_90552),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [11]),
+	.SCE(n_7612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][12]  (
+	.CLK(CTS_88),
+	.D(n_61250),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [12]),
+	.SCE(n_7612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][13]  (
+	.CLK(CTS_89),
+	.D(n_2573),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [13]),
+	.SCE(n_7612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][14]  (
+	.CLK(CTS_93),
+	.D(n_2585),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [14]),
+	.SCE(n_7612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][15]  (
+	.CLK(CTS_88),
+	.D(n_2572),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [15]),
+	.SCE(n_7612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][16]  (
+	.CLK(CTS_89),
+	.D(n_80434),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [16]),
+	.SCE(n_7612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][17]  (
+	.CLK(CTS_92),
+	.D(n_61196),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [17]),
+	.SCE(n_7612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][18]  (
+	.CLK(CTS_99),
+	.D(n_44409),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [18]),
+	.SCE(n_7612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][19]  (
+	.CLK(CTS_91),
+	.D(n_61139),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [19]),
+	.SCE(n_7612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][20]  (
+	.CLK(CTS_89),
+	.D(n_2587),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [20]),
+	.SCE(n_7612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][21]  (
+	.CLK(CTS_87),
+	.D(n_81727),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [21]),
+	.SCE(n_7612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][22]  (
+	.CLK(CTS_99),
+	.D(n_81185),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [22]),
+	.SCE(n_7612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][23]  (
+	.CLK(CTS_91),
+	.D(n_81706),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [23]),
+	.SCE(n_7612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][24]  (
+	.CLK(CTS_92),
+	.D(n_64835),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [24]),
+	.SCE(n_7612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][25]  (
+	.CLK(CTS_82),
+	.D(n_79360),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [25]),
+	.SCE(n_7612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][26]  (
+	.CLK(CTS_89),
+	.D(n_59496),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [26]),
+	.SCE(n_7612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][27]  (
+	.CLK(CTS_92),
+	.D(n_88137),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [27]),
+	.SCE(n_7612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][28]  (
+	.CLK(CTS_87),
+	.D(n_2561),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [28]),
+	.SCE(n_7612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][29]  (
+	.CLK(CTS_87),
+	.D(n_38139),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [29]),
+	.SCE(n_7612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][30]  (
+	.CLK(CTS_82),
+	.D(n_42629),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [30]),
+	.SCE(n_7612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][31]  (
+	.CLK(CTS_82),
+	.D(n_2559),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [31]),
+	.SCE(n_7612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][0]  (
+	.CLK(CTS_100),
+	.D(n_81249),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [0]),
+	.SCE(n_7611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][1]  (
+	.CLK(CTS_93),
+	.D(n_91061),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [1]),
+	.SCE(n_7611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][2]  (
+	.CLK(CTS_93),
+	.D(n_79395),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [2]),
+	.SCE(n_7611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][3]  (
+	.CLK(CTS_93),
+	.D(n_80231),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [3]),
+	.SCE(n_7611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][4]  (
+	.CLK(CTS_154),
+	.D(n_2579),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [4]),
+	.SCE(n_7611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][5]  (
+	.CLK(CTS_88),
+	.D(n_2578),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [5]),
+	.SCE(n_7611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][6]  (
+	.CLK(CTS_93),
+	.D(n_61270),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [6]),
+	.SCE(n_7611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][7]  (
+	.CLK(CTS_100),
+	.D(n_79113),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [7]),
+	.SCE(n_7611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][8]  (
+	.CLK(CTS_99),
+	.D(n_47735),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [8]),
+	.SCE(n_7611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][9]  (
+	.CLK(CTS_88),
+	.D(n_2577),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [9]),
+	.SCE(n_7611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][10]  (
+	.CLK(CTS_92),
+	.D(n_2576),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [10]),
+	.SCE(n_7611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][11]  (
+	.CLK(CTS_87),
+	.D(n_90552),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [11]),
+	.SCE(n_7611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][12]  (
+	.CLK(CTS_154),
+	.D(n_61250),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [12]),
+	.SCE(n_7611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][13]  (
+	.CLK(CTS_89),
+	.D(n_2573),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [13]),
+	.SCE(n_7611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][14]  (
+	.CLK(CTS_154),
+	.D(n_2585),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [14]),
+	.SCE(n_7611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][15]  (
+	.CLK(CTS_88),
+	.D(n_2572),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [15]),
+	.SCE(n_7611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][16]  (
+	.CLK(CTS_89),
+	.D(n_80434),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [16]),
+	.SCE(n_7611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][17]  (
+	.CLK(CTS_92),
+	.D(n_61196),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [17]),
+	.SCE(n_7611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][18]  (
+	.CLK(CTS_100),
+	.D(n_44409),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [18]),
+	.SCE(n_7611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][19]  (
+	.CLK(CTS_91),
+	.D(n_61139),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [19]),
+	.SCE(n_7611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][20]  (
+	.CLK(CTS_89),
+	.D(n_2587),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [20]),
+	.SCE(n_7611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][21]  (
+	.CLK(CTS_87),
+	.D(n_81727),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [21]),
+	.SCE(n_7611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][22]  (
+	.CLK(CTS_100),
+	.D(n_81185),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [22]),
+	.SCE(n_7611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][23]  (
+	.CLK(CTS_91),
+	.D(n_81706),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [23]),
+	.SCE(n_7611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][24]  (
+	.CLK(CTS_92),
+	.D(n_64835),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [24]),
+	.SCE(n_7611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][25]  (
+	.CLK(CTS_91),
+	.D(n_79360),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [25]),
+	.SCE(n_7611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][26]  (
+	.CLK(CTS_87),
+	.D(n_59496),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [26]),
+	.SCE(n_7611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][27]  (
+	.CLK(CTS_92),
+	.D(n_88137),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [27]),
+	.SCE(n_7611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][28]  (
+	.CLK(CTS_89),
+	.D(n_2561),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [28]),
+	.SCE(n_7611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][29]  (
+	.CLK(CTS_87),
+	.D(n_38139),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [29]),
+	.SCE(n_7611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][30]  (
+	.CLK(CTS_82),
+	.D(n_42629),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [30]),
+	.SCE(n_7611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][31]  (
+	.CLK(CTS_82),
+	.D(n_2559),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [31]),
+	.SCE(n_7611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][0]  (
+	.CLK(CTS_93),
+	.D(n_81249),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [0]),
+	.SCE(n_7613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][1]  (
+	.CLK(CTS_93),
+	.D(n_91061),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [1]),
+	.SCE(n_7613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][2]  (
+	.CLK(CTS_93),
+	.D(n_79395),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [2]),
+	.SCE(n_7613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][3]  (
+	.CLK(CTS_93),
+	.D(n_80231),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [3]),
+	.SCE(n_7613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][4]  (
+	.CLK(CTS_154),
+	.D(n_2579),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [4]),
+	.SCE(n_7613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][5]  (
+	.CLK(CTS_88),
+	.D(n_2578),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [5]),
+	.SCE(n_7613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][6]  (
+	.CLK(CTS_100),
+	.D(n_61270),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [6]),
+	.SCE(n_7613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][7]  (
+	.CLK(CTS_100),
+	.D(n_79113),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [7]),
+	.SCE(n_7613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][8]  (
+	.CLK(CTS_100),
+	.D(n_47735),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [8]),
+	.SCE(n_7613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][9]  (
+	.CLK(CTS_88),
+	.D(n_2577),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [9]),
+	.SCE(n_7613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][10]  (
+	.CLK(CTS_92),
+	.D(n_2576),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [10]),
+	.SCE(n_7613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][11]  (
+	.CLK(CTS_87),
+	.D(n_90552),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [11]),
+	.SCE(n_7613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][12]  (
+	.CLK(CTS_88),
+	.D(n_61250),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [12]),
+	.SCE(n_7613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][13]  (
+	.CLK(CTS_89),
+	.D(n_2573),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [13]),
+	.SCE(n_7613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][14]  (
+	.CLK(CTS_154),
+	.D(n_2585),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [14]),
+	.SCE(n_7613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][15]  (
+	.CLK(CTS_88),
+	.D(n_2572),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [15]),
+	.SCE(n_7613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][16]  (
+	.CLK(CTS_89),
+	.D(n_80434),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [16]),
+	.SCE(n_7613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][17]  (
+	.CLK(CTS_92),
+	.D(n_61196),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [17]),
+	.SCE(n_7613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][18]  (
+	.CLK(CTS_100),
+	.D(n_44409),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [18]),
+	.SCE(n_7613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][19]  (
+	.CLK(CTS_91),
+	.D(n_61139),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [19]),
+	.SCE(n_7613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][20]  (
+	.CLK(CTS_89),
+	.D(n_2587),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [20]),
+	.SCE(n_7613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][21]  (
+	.CLK(CTS_87),
+	.D(n_81727),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [21]),
+	.SCE(n_7613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][22]  (
+	.CLK(CTS_100),
+	.D(n_81185),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [22]),
+	.SCE(n_7613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][23]  (
+	.CLK(CTS_91),
+	.D(n_81706),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [23]),
+	.SCE(n_7613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][24]  (
+	.CLK(CTS_89),
+	.D(n_64835),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [24]),
+	.SCE(n_7613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][25]  (
+	.CLK(CTS_91),
+	.D(n_79360),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [25]),
+	.SCE(n_7613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][26]  (
+	.CLK(CTS_87),
+	.D(n_59496),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [26]),
+	.SCE(n_7613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][27]  (
+	.CLK(CTS_92),
+	.D(n_88137),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [27]),
+	.SCE(n_7613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][28]  (
+	.CLK(CTS_87),
+	.D(n_2561),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [28]),
+	.SCE(n_7613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][29]  (
+	.CLK(CTS_87),
+	.D(n_38139),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [29]),
+	.SCE(n_7613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][30]  (
+	.CLK(CTS_82),
+	.D(n_42629),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [30]),
+	.SCE(n_7613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][31]  (
+	.CLK(CTS_82),
+	.D(n_2559),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [31]),
+	.SCE(n_7613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][0]  (
+	.CLK(CTS_100),
+	.D(n_81249),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [0]),
+	.SCE(n_7583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][1]  (
+	.CLK(CTS_93),
+	.D(n_91061),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [1]),
+	.SCE(n_7583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][2]  (
+	.CLK(CTS_93),
+	.D(n_79395),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [2]),
+	.SCE(n_7583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][3]  (
+	.CLK(CTS_93),
+	.D(n_80231),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [3]),
+	.SCE(n_7583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][4]  (
+	.CLK(CTS_154),
+	.D(n_2579),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [4]),
+	.SCE(n_7583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][5]  (
+	.CLK(CTS_88),
+	.D(n_2578),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [5]),
+	.SCE(n_7583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][6]  (
+	.CLK(CTS_100),
+	.D(n_61270),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [6]),
+	.SCE(n_7583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][7]  (
+	.CLK(CTS_100),
+	.D(n_79113),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [7]),
+	.SCE(n_7583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][8]  (
+	.CLK(CTS_100),
+	.D(n_47735),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [8]),
+	.SCE(n_7583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][9]  (
+	.CLK(CTS_88),
+	.D(n_2577),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [9]),
+	.SCE(n_7583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][10]  (
+	.CLK(CTS_92),
+	.D(n_2576),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [10]),
+	.SCE(n_7583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][11]  (
+	.CLK(CTS_82),
+	.D(n_90552),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [11]),
+	.SCE(n_7583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][12]  (
+	.CLK(CTS_88),
+	.D(n_61250),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [12]),
+	.SCE(n_7583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][13]  (
+	.CLK(CTS_89),
+	.D(n_2573),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [13]),
+	.SCE(n_7583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][14]  (
+	.CLK(CTS_93),
+	.D(n_2585),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [14]),
+	.SCE(n_7583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][15]  (
+	.CLK(CTS_88),
+	.D(n_2572),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [15]),
+	.SCE(n_7583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][16]  (
+	.CLK(CTS_89),
+	.D(n_80434),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [16]),
+	.SCE(n_7583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][17]  (
+	.CLK(CTS_92),
+	.D(n_61196),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [17]),
+	.SCE(n_7583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][18]  (
+	.CLK(CTS_100),
+	.D(n_44409),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [18]),
+	.SCE(n_7583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][19]  (
+	.CLK(CTS_91),
+	.D(n_61139),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [19]),
+	.SCE(n_7583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][20]  (
+	.CLK(CTS_89),
+	.D(n_2587),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [20]),
+	.SCE(n_7583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][21]  (
+	.CLK(CTS_87),
+	.D(n_81727),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [21]),
+	.SCE(n_7583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][22]  (
+	.CLK(CTS_100),
+	.D(n_81185),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [22]),
+	.SCE(n_7583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][23]  (
+	.CLK(CTS_82),
+	.D(n_81706),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [23]),
+	.SCE(n_7583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][24]  (
+	.CLK(CTS_92),
+	.D(n_64835),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [24]),
+	.SCE(n_7583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][25]  (
+	.CLK(CTS_89),
+	.D(n_79360),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [25]),
+	.SCE(n_7583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][26]  (
+	.CLK(CTS_87),
+	.D(n_59496),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [26]),
+	.SCE(n_7583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][27]  (
+	.CLK(CTS_92),
+	.D(n_88137),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [27]),
+	.SCE(n_7583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][28]  (
+	.CLK(CTS_87),
+	.D(n_2561),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [28]),
+	.SCE(n_7583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][29]  (
+	.CLK(CTS_87),
+	.D(n_38139),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [29]),
+	.SCE(n_7583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][30]  (
+	.CLK(CTS_82),
+	.D(n_42629),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [30]),
+	.SCE(n_7583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][31]  (
+	.CLK(CTS_82),
+	.D(n_2559),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [31]),
+	.SCE(n_7583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][0]  (
+	.CLK(CTS_93),
+	.D(n_81249),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [0]),
+	.SCE(n_8542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][1]  (
+	.CLK(CTS_93),
+	.D(n_91061),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [1]),
+	.SCE(n_8542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][2]  (
+	.CLK(CTS_93),
+	.D(n_79395),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [2]),
+	.SCE(n_8542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][3]  (
+	.CLK(CTS_93),
+	.D(n_80231),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [3]),
+	.SCE(n_8542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][4]  (
+	.CLK(CTS_154),
+	.D(n_2579),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [4]),
+	.SCE(n_8542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][5]  (
+	.CLK(CTS_88),
+	.D(n_2578),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [5]),
+	.SCE(n_8542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][6]  (
+	.CLK(CTS_93),
+	.D(n_61270),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [6]),
+	.SCE(n_8542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][7]  (
+	.CLK(CTS_100),
+	.D(n_79113),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [7]),
+	.SCE(n_8542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][8]  (
+	.CLK(CTS_100),
+	.D(n_47735),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [8]),
+	.SCE(n_8542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][9]  (
+	.CLK(CTS_88),
+	.D(n_2577),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [9]),
+	.SCE(n_8542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][10]  (
+	.CLK(CTS_92),
+	.D(n_2576),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [10]),
+	.SCE(n_8542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][11]  (
+	.CLK(CTS_87),
+	.D(n_90552),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [11]),
+	.SCE(n_8542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][12]  (
+	.CLK(CTS_154),
+	.D(n_61250),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [12]),
+	.SCE(n_8542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][13]  (
+	.CLK(CTS_89),
+	.D(n_2573),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [13]),
+	.SCE(n_8542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][14]  (
+	.CLK(CTS_154),
+	.D(n_2585),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [14]),
+	.SCE(n_8542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][15]  (
+	.CLK(CTS_88),
+	.D(n_2572),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [15]),
+	.SCE(n_8542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][16]  (
+	.CLK(CTS_89),
+	.D(n_80434),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [16]),
+	.SCE(n_8542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][17]  (
+	.CLK(CTS_88),
+	.D(n_61196),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [17]),
+	.SCE(n_8542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][18]  (
+	.CLK(CTS_100),
+	.D(n_44409),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [18]),
+	.SCE(n_8542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][19]  (
+	.CLK(CTS_91),
+	.D(n_61139),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [19]),
+	.SCE(n_8542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][20]  (
+	.CLK(CTS_89),
+	.D(n_2587),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [20]),
+	.SCE(n_8542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][21]  (
+	.CLK(CTS_87),
+	.D(n_81727),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [21]),
+	.SCE(n_8542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][22]  (
+	.CLK(CTS_100),
+	.D(n_81185),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [22]),
+	.SCE(n_8542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][23]  (
+	.CLK(CTS_91),
+	.D(n_81706),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [23]),
+	.SCE(n_8542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][24]  (
+	.CLK(CTS_92),
+	.D(n_64835),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [24]),
+	.SCE(n_8542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][25]  (
+	.CLK(CTS_82),
+	.D(n_79360),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [25]),
+	.SCE(n_8542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][26]  (
+	.CLK(CTS_87),
+	.D(n_59496),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [26]),
+	.SCE(n_8542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][27]  (
+	.CLK(CTS_92),
+	.D(n_88137),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [27]),
+	.SCE(n_8542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][28]  (
+	.CLK(CTS_89),
+	.D(n_2561),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [28]),
+	.SCE(n_8542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][29]  (
+	.CLK(CTS_87),
+	.D(n_38139),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [29]),
+	.SCE(n_8542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][30]  (
+	.CLK(CTS_87),
+	.D(n_42629),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [30]),
+	.SCE(n_8542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][31]  (
+	.CLK(CTS_89),
+	.D(n_2559),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [31]),
+	.SCE(n_8542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][0]  (
+	.CLK(CTS_100),
+	.D(n_81249),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [0]),
+	.SCE(n_8551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][1]  (
+	.CLK(CTS_93),
+	.D(n_91061),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [1]),
+	.SCE(n_8551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][2]  (
+	.CLK(CTS_93),
+	.D(n_79395),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [2]),
+	.SCE(n_8551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][3]  (
+	.CLK(CTS_93),
+	.D(n_80231),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [3]),
+	.SCE(n_8551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][4]  (
+	.CLK(CTS_154),
+	.D(n_2579),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [4]),
+	.SCE(n_8551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][5]  (
+	.CLK(CTS_88),
+	.D(n_2578),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [5]),
+	.SCE(n_8551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][6]  (
+	.CLK(CTS_93),
+	.D(n_61270),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [6]),
+	.SCE(n_8551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][7]  (
+	.CLK(CTS_100),
+	.D(n_79113),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [7]),
+	.SCE(n_8551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][8]  (
+	.CLK(CTS_100),
+	.D(n_47735),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [8]),
+	.SCE(n_8551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][9]  (
+	.CLK(CTS_88),
+	.D(n_2577),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [9]),
+	.SCE(n_8551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][10]  (
+	.CLK(CTS_92),
+	.D(n_2576),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [10]),
+	.SCE(n_8551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][11]  (
+	.CLK(CTS_87),
+	.D(n_90552),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [11]),
+	.SCE(n_8551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][12]  (
+	.CLK(CTS_154),
+	.D(n_61250),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [12]),
+	.SCE(n_8551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][13]  (
+	.CLK(CTS_89),
+	.D(n_2573),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [13]),
+	.SCE(n_8551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][14]  (
+	.CLK(CTS_154),
+	.D(n_2585),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [14]),
+	.SCE(n_8551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][15]  (
+	.CLK(CTS_88),
+	.D(n_2572),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [15]),
+	.SCE(n_8551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][16]  (
+	.CLK(CTS_89),
+	.D(n_80434),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [16]),
+	.SCE(n_8551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][17]  (
+	.CLK(CTS_88),
+	.D(n_61196),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [17]),
+	.SCE(n_8551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][18]  (
+	.CLK(CTS_100),
+	.D(n_44409),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [18]),
+	.SCE(n_8551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][19]  (
+	.CLK(CTS_91),
+	.D(n_61139),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [19]),
+	.SCE(n_8551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][20]  (
+	.CLK(CTS_89),
+	.D(n_2587),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [20]),
+	.SCE(n_8551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][21]  (
+	.CLK(CTS_87),
+	.D(n_81727),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [21]),
+	.SCE(n_8551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][22]  (
+	.CLK(CTS_100),
+	.D(n_81185),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [22]),
+	.SCE(n_8551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][23]  (
+	.CLK(CTS_92),
+	.D(n_81706),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [23]),
+	.SCE(n_8551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][24]  (
+	.CLK(CTS_92),
+	.D(n_64835),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [24]),
+	.SCE(n_8551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][25]  (
+	.CLK(CTS_89),
+	.D(n_79360),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [25]),
+	.SCE(n_8551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][26]  (
+	.CLK(CTS_87),
+	.D(n_59496),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [26]),
+	.SCE(n_8551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][27]  (
+	.CLK(CTS_92),
+	.D(n_88137),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [27]),
+	.SCE(n_8551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][28]  (
+	.CLK(CTS_89),
+	.D(n_2561),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [28]),
+	.SCE(n_8551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][29]  (
+	.CLK(CTS_87),
+	.D(n_38139),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [29]),
+	.SCE(n_8551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][30]  (
+	.CLK(CTS_97),
+	.D(n_42629),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [30]),
+	.SCE(n_8551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][31]  (
+	.CLK(CTS_82),
+	.D(n_2559),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [31]),
+	.SCE(n_8551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][0]  (
+	.CLK(CTS_93),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [0]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81249),
+	.SCE(n_8561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][1]  (
+	.CLK(CTS_93),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [1]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_91061),
+	.SCE(n_8561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][2]  (
+	.CLK(CTS_93),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [2]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79395),
+	.SCE(n_8561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][3]  (
+	.CLK(CTS_93),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [3]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80231),
+	.SCE(n_8561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][4]  (
+	.CLK(CTS_154),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [4]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2579),
+	.SCE(n_8561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][5]  (
+	.CLK(CTS_88),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [5]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2578),
+	.SCE(n_8561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][6]  (
+	.CLK(CTS_100),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [6]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61270),
+	.SCE(n_8561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][7]  (
+	.CLK(CTS_100),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [7]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79113),
+	.SCE(n_8561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][8]  (
+	.CLK(CTS_100),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [8]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_47735),
+	.SCE(n_8561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][9]  (
+	.CLK(CTS_88),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [9]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2577),
+	.SCE(n_8561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][10]  (
+	.CLK(CTS_92),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [10]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2576),
+	.SCE(n_8561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][11]  (
+	.CLK(CTS_87),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [11]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_90552),
+	.SCE(n_8561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][12]  (
+	.CLK(CTS_154),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [12]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61250),
+	.SCE(n_8561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][13]  (
+	.CLK(CTS_89),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [13]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2573),
+	.SCE(n_8561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][14]  (
+	.CLK(CTS_154),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [14]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2585),
+	.SCE(n_8561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][15]  (
+	.CLK(CTS_88),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [15]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2572),
+	.SCE(n_8561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][16]  (
+	.CLK(CTS_89),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [16]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_80434),
+	.SCE(n_8561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][17]  (
+	.CLK(CTS_92),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [17]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61196),
+	.SCE(n_8561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][18]  (
+	.CLK(CTS_100),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [18]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_44409),
+	.SCE(n_8561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][19]  (
+	.CLK(CTS_91),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [19]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_61139),
+	.SCE(n_8561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][20]  (
+	.CLK(CTS_92),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [20]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2587),
+	.SCE(n_8561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][21]  (
+	.CLK(CTS_87),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [21]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81727),
+	.SCE(n_8561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][22]  (
+	.CLK(CTS_100),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [22]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81185),
+	.SCE(n_8561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][23]  (
+	.CLK(CTS_91),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [23]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_81706),
+	.SCE(n_8561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][24]  (
+	.CLK(CTS_92),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [24]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_64835),
+	.SCE(n_8561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][25]  (
+	.CLK(CTS_89),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [25]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_79360),
+	.SCE(n_8561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][26]  (
+	.CLK(CTS_87),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [26]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_59496),
+	.SCE(n_8561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][27]  (
+	.CLK(CTS_92),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [27]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_88137),
+	.SCE(n_8561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][28]  (
+	.CLK(CTS_87),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [28]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2561),
+	.SCE(n_8561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][29]  (
+	.CLK(CTS_87),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [29]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_38139),
+	.SCE(n_8561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][30]  (
+	.CLK(CTS_82),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [30]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_42629),
+	.SCE(n_8561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][31]  (
+	.CLK(CTS_82),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [31]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_2559),
+	.SCE(n_8561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][0]  (
+	.CLK(CTS_100),
+	.D(n_81249),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [0]),
+	.SCE(n_8565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][1]  (
+	.CLK(CTS_93),
+	.D(n_91061),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [1]),
+	.SCE(n_8565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][2]  (
+	.CLK(CTS_93),
+	.D(n_79395),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [2]),
+	.SCE(n_8565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][3]  (
+	.CLK(CTS_93),
+	.D(n_80231),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [3]),
+	.SCE(n_8565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][4]  (
+	.CLK(CTS_154),
+	.D(n_2579),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [4]),
+	.SCE(n_8565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][5]  (
+	.CLK(CTS_88),
+	.D(n_2578),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [5]),
+	.SCE(n_8565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][6]  (
+	.CLK(CTS_100),
+	.D(n_61270),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [6]),
+	.SCE(n_8565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][7]  (
+	.CLK(CTS_100),
+	.D(n_79113),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [7]),
+	.SCE(n_8565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][8]  (
+	.CLK(CTS_100),
+	.D(n_47735),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [8]),
+	.SCE(n_8565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][9]  (
+	.CLK(CTS_88),
+	.D(n_2577),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [9]),
+	.SCE(n_8565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][10]  (
+	.CLK(CTS_92),
+	.D(n_2576),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [10]),
+	.SCE(n_8565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][11]  (
+	.CLK(CTS_87),
+	.D(n_90552),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [11]),
+	.SCE(n_8565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][12]  (
+	.CLK(CTS_154),
+	.D(n_61250),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [12]),
+	.SCE(n_8565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][13]  (
+	.CLK(CTS_89),
+	.D(n_2573),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [13]),
+	.SCE(n_8565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][14]  (
+	.CLK(CTS_93),
+	.D(n_2585),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [14]),
+	.SCE(n_8565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][15]  (
+	.CLK(CTS_88),
+	.D(n_2572),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [15]),
+	.SCE(n_8565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][16]  (
+	.CLK(CTS_89),
+	.D(n_80434),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [16]),
+	.SCE(n_8565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][17]  (
+	.CLK(CTS_92),
+	.D(n_61196),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [17]),
+	.SCE(n_8565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][18]  (
+	.CLK(CTS_100),
+	.D(n_44409),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [18]),
+	.SCE(n_8565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][19]  (
+	.CLK(CTS_91),
+	.D(n_61139),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [19]),
+	.SCE(n_8565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][20]  (
+	.CLK(CTS_92),
+	.D(n_2587),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [20]),
+	.SCE(n_8565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][21]  (
+	.CLK(CTS_87),
+	.D(n_81727),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [21]),
+	.SCE(n_8565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][22]  (
+	.CLK(CTS_100),
+	.D(n_81185),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [22]),
+	.SCE(n_8565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][23]  (
+	.CLK(CTS_91),
+	.D(n_81706),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [23]),
+	.SCE(n_8565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][24]  (
+	.CLK(CTS_92),
+	.D(n_64835),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [24]),
+	.SCE(n_8565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][25]  (
+	.CLK(CTS_89),
+	.D(n_79360),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [25]),
+	.SCE(n_8565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][26]  (
+	.CLK(CTS_87),
+	.D(n_59496),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [26]),
+	.SCE(n_8565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][27]  (
+	.CLK(CTS_92),
+	.D(n_88137),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [27]),
+	.SCE(n_8565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][28]  (
+	.CLK(CTS_87),
+	.D(n_2561),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [28]),
+	.SCE(n_8565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][29]  (
+	.CLK(CTS_87),
+	.D(n_38139),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [29]),
+	.SCE(n_8565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][30]  (
+	.CLK(CTS_82),
+	.D(n_42629),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [30]),
+	.SCE(n_8565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][31]  (
+	.CLK(CTS_82),
+	.D(n_2559),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [31]),
+	.SCE(n_8565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][0]  (
+	.CLK(CTS_100),
+	.D(n_81249),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [0]),
+	.SCE(n_7592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][1]  (
+	.CLK(CTS_93),
+	.D(n_91061),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [1]),
+	.SCE(n_7592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][2]  (
+	.CLK(CTS_93),
+	.D(n_79395),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [2]),
+	.SCE(n_7592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][3]  (
+	.CLK(CTS_93),
+	.D(n_80231),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [3]),
+	.SCE(n_7592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][4]  (
+	.CLK(CTS_154),
+	.D(n_2579),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [4]),
+	.SCE(n_7592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][5]  (
+	.CLK(CTS_88),
+	.D(n_2578),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [5]),
+	.SCE(n_7592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][6]  (
+	.CLK(CTS_93),
+	.D(n_61270),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [6]),
+	.SCE(n_7592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][7]  (
+	.CLK(CTS_100),
+	.D(n_79113),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [7]),
+	.SCE(n_7592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][8]  (
+	.CLK(CTS_99),
+	.D(n_47735),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [8]),
+	.SCE(n_7592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][9]  (
+	.CLK(CTS_88),
+	.D(n_2577),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [9]),
+	.SCE(n_7592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][10]  (
+	.CLK(CTS_92),
+	.D(n_2576),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [10]),
+	.SCE(n_7592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][11]  (
+	.CLK(CTS_82),
+	.D(n_90552),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [11]),
+	.SCE(n_7592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][12]  (
+	.CLK(CTS_154),
+	.D(n_61250),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [12]),
+	.SCE(n_7592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][13]  (
+	.CLK(CTS_89),
+	.D(n_2573),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [13]),
+	.SCE(n_7592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][14]  (
+	.CLK(CTS_93),
+	.D(n_2585),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [14]),
+	.SCE(n_7592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][15]  (
+	.CLK(CTS_88),
+	.D(n_2572),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [15]),
+	.SCE(n_7592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][16]  (
+	.CLK(CTS_89),
+	.D(n_80434),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [16]),
+	.SCE(n_7592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][17]  (
+	.CLK(CTS_88),
+	.D(n_61196),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [17]),
+	.SCE(n_7592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][18]  (
+	.CLK(CTS_100),
+	.D(n_44409),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [18]),
+	.SCE(n_7592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][19]  (
+	.CLK(CTS_91),
+	.D(n_61139),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [19]),
+	.SCE(n_7592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][20]  (
+	.CLK(CTS_89),
+	.D(n_2587),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [20]),
+	.SCE(n_7592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][21]  (
+	.CLK(CTS_87),
+	.D(n_81727),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [21]),
+	.SCE(n_7592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][22]  (
+	.CLK(CTS_100),
+	.D(n_81185),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [22]),
+	.SCE(n_7592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][23]  (
+	.CLK(CTS_91),
+	.D(n_81706),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [23]),
+	.SCE(n_7592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][24]  (
+	.CLK(CTS_92),
+	.D(n_64835),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [24]),
+	.SCE(n_7592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][25]  (
+	.CLK(CTS_82),
+	.D(n_79360),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [25]),
+	.SCE(n_7592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][26]  (
+	.CLK(CTS_87),
+	.D(n_59496),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [26]),
+	.SCE(n_7592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][27]  (
+	.CLK(CTS_92),
+	.D(n_88137),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [27]),
+	.SCE(n_7592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][28]  (
+	.CLK(CTS_87),
+	.D(n_2561),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [28]),
+	.SCE(n_7592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][29]  (
+	.CLK(CTS_87),
+	.D(n_38139),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [29]),
+	.SCE(n_7592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][30]  (
+	.CLK(CTS_87),
+	.D(n_42629),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [30]),
+	.SCE(n_7592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][31]  (
+	.CLK(CTS_82),
+	.D(n_2559),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [31]),
+	.SCE(n_7592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][0]  (
+	.CLK(CTS_93),
+	.D(n_81249),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [0]),
+	.SCE(n_7593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][1]  (
+	.CLK(CTS_93),
+	.D(n_91061),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [1]),
+	.SCE(n_7593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][2]  (
+	.CLK(CTS_93),
+	.D(n_79395),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [2]),
+	.SCE(n_7593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][3]  (
+	.CLK(CTS_93),
+	.D(n_80231),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [3]),
+	.SCE(n_7593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][4]  (
+	.CLK(CTS_93),
+	.D(n_2579),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [4]),
+	.SCE(n_7593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][5]  (
+	.CLK(CTS_88),
+	.D(n_2578),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [5]),
+	.SCE(n_7593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][6]  (
+	.CLK(CTS_93),
+	.D(n_61270),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [6]),
+	.SCE(n_7593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][7]  (
+	.CLK(CTS_99),
+	.D(n_79113),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [7]),
+	.SCE(n_7593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][8]  (
+	.CLK(CTS_99),
+	.D(n_47735),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [8]),
+	.SCE(n_7593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][9]  (
+	.CLK(CTS_88),
+	.D(n_2577),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [9]),
+	.SCE(n_7593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][10]  (
+	.CLK(CTS_92),
+	.D(n_2576),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [10]),
+	.SCE(n_7593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][11]  (
+	.CLK(CTS_82),
+	.D(n_90552),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [11]),
+	.SCE(n_7593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][12]  (
+	.CLK(CTS_154),
+	.D(n_61250),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [12]),
+	.SCE(n_7593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][13]  (
+	.CLK(CTS_89),
+	.D(n_2573),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [13]),
+	.SCE(n_7593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][14]  (
+	.CLK(CTS_154),
+	.D(n_2585),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [14]),
+	.SCE(n_7593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][15]  (
+	.CLK(CTS_88),
+	.D(n_2572),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [15]),
+	.SCE(n_7593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][16]  (
+	.CLK(CTS_89),
+	.D(n_80434),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [16]),
+	.SCE(n_7593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][17]  (
+	.CLK(CTS_92),
+	.D(n_61196),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [17]),
+	.SCE(n_7593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][18]  (
+	.CLK(CTS_100),
+	.D(n_44409),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [18]),
+	.SCE(n_7593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][19]  (
+	.CLK(CTS_91),
+	.D(n_61139),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [19]),
+	.SCE(n_7593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][20]  (
+	.CLK(CTS_89),
+	.D(n_2587),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [20]),
+	.SCE(n_7593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][21]  (
+	.CLK(CTS_87),
+	.D(n_81727),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [21]),
+	.SCE(n_7593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][22]  (
+	.CLK(CTS_99),
+	.D(n_81185),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [22]),
+	.SCE(n_7593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][23]  (
+	.CLK(CTS_91),
+	.D(n_81706),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [23]),
+	.SCE(n_7593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][24]  (
+	.CLK(CTS_92),
+	.D(n_64835),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [24]),
+	.SCE(n_7593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][25]  (
+	.CLK(CTS_89),
+	.D(n_79360),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [25]),
+	.SCE(n_7593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][26]  (
+	.CLK(CTS_87),
+	.D(n_59496),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [26]),
+	.SCE(n_7593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][27]  (
+	.CLK(CTS_92),
+	.D(n_88137),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [27]),
+	.SCE(n_7593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][28]  (
+	.CLK(CTS_87),
+	.D(n_2561),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [28]),
+	.SCE(n_7593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][29]  (
+	.CLK(CTS_87),
+	.D(n_38139),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [29]),
+	.SCE(n_7593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][30]  (
+	.CLK(CTS_87),
+	.D(n_42629),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [30]),
+	.SCE(n_7593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][31]  (
+	.CLK(CTS_82),
+	.D(n_2559),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [31]),
+	.SCE(n_7593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][0]  (
+	.CLK(CTS_99),
+	.D(n_81249),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [0]),
+	.SCE(n_7594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][1]  (
+	.CLK(CTS_93),
+	.D(n_91061),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [1]),
+	.SCE(n_7594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][2]  (
+	.CLK(CTS_93),
+	.D(n_79395),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [2]),
+	.SCE(n_7594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][3]  (
+	.CLK(CTS_93),
+	.D(n_80231),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [3]),
+	.SCE(n_7594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][4]  (
+	.CLK(CTS_93),
+	.D(n_2579),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [4]),
+	.SCE(n_7594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][5]  (
+	.CLK(CTS_88),
+	.D(n_2578),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [5]),
+	.SCE(n_7594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][6]  (
+	.CLK(CTS_93),
+	.D(n_61270),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [6]),
+	.SCE(n_7594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][7]  (
+	.CLK(CTS_99),
+	.D(n_79113),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [7]),
+	.SCE(n_7594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][8]  (
+	.CLK(CTS_99),
+	.D(n_47735),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [8]),
+	.SCE(n_7594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][9]  (
+	.CLK(CTS_88),
+	.D(n_2577),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [9]),
+	.SCE(n_7594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][10]  (
+	.CLK(CTS_92),
+	.D(n_2576),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [10]),
+	.SCE(n_7594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][11]  (
+	.CLK(CTS_87),
+	.D(n_90552),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [11]),
+	.SCE(n_7594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][12]  (
+	.CLK(CTS_88),
+	.D(n_61250),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [12]),
+	.SCE(n_7594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][13]  (
+	.CLK(CTS_89),
+	.D(n_2573),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [13]),
+	.SCE(n_7594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][14]  (
+	.CLK(CTS_93),
+	.D(n_2585),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [14]),
+	.SCE(n_7594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][15]  (
+	.CLK(CTS_88),
+	.D(n_2572),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [15]),
+	.SCE(n_7594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][16]  (
+	.CLK(CTS_89),
+	.D(n_80434),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [16]),
+	.SCE(n_7594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][17]  (
+	.CLK(CTS_88),
+	.D(n_61196),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [17]),
+	.SCE(n_7594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][18]  (
+	.CLK(CTS_99),
+	.D(n_44409),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [18]),
+	.SCE(n_7594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][19]  (
+	.CLK(CTS_91),
+	.D(n_61139),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [19]),
+	.SCE(n_7594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][20]  (
+	.CLK(CTS_92),
+	.D(n_2587),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [20]),
+	.SCE(n_7594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][21]  (
+	.CLK(CTS_87),
+	.D(n_81727),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [21]),
+	.SCE(n_7594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][22]  (
+	.CLK(CTS_99),
+	.D(n_81185),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [22]),
+	.SCE(n_7594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][23]  (
+	.CLK(CTS_91),
+	.D(n_81706),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [23]),
+	.SCE(n_7594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][24]  (
+	.CLK(CTS_92),
+	.D(n_64835),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [24]),
+	.SCE(n_7594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][25]  (
+	.CLK(CTS_82),
+	.D(n_79360),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [25]),
+	.SCE(n_7594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][26]  (
+	.CLK(CTS_87),
+	.D(n_59496),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [26]),
+	.SCE(n_7594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][27]  (
+	.CLK(CTS_92),
+	.D(n_88137),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [27]),
+	.SCE(n_7594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][28]  (
+	.CLK(CTS_87),
+	.D(n_2561),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [28]),
+	.SCE(n_7594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][29]  (
+	.CLK(CTS_87),
+	.D(n_38139),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [29]),
+	.SCE(n_7594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][30]  (
+	.CLK(CTS_82),
+	.D(n_42629),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [30]),
+	.SCE(n_7594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][31]  (
+	.CLK(CTS_89),
+	.D(n_2559),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [31]),
+	.SCE(n_7594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][0]  (
+	.CLK(CTS_99),
+	.D(n_81249),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [0]),
+	.SCE(n_7595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][1]  (
+	.CLK(CTS_93),
+	.D(n_91061),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [1]),
+	.SCE(n_7595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][2]  (
+	.CLK(CTS_93),
+	.D(n_79395),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [2]),
+	.SCE(n_7595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][3]  (
+	.CLK(CTS_93),
+	.D(n_80231),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [3]),
+	.SCE(n_7595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][4]  (
+	.CLK(CTS_88),
+	.D(n_2579),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [4]),
+	.SCE(n_7595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][5]  (
+	.CLK(CTS_88),
+	.D(n_2578),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [5]),
+	.SCE(n_7595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][6]  (
+	.CLK(CTS_93),
+	.D(n_61270),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [6]),
+	.SCE(n_7595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][7]  (
+	.CLK(CTS_99),
+	.D(n_79113),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [7]),
+	.SCE(n_7595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][8]  (
+	.CLK(CTS_93),
+	.D(n_47735),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [8]),
+	.SCE(n_7595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][9]  (
+	.CLK(CTS_88),
+	.D(n_2577),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [9]),
+	.SCE(n_7595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][10]  (
+	.CLK(CTS_92),
+	.D(n_2576),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [10]),
+	.SCE(n_7595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][11]  (
+	.CLK(CTS_82),
+	.D(n_90552),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [11]),
+	.SCE(n_7595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][12]  (
+	.CLK(CTS_88),
+	.D(n_61250),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [12]),
+	.SCE(n_7595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][13]  (
+	.CLK(CTS_89),
+	.D(n_2573),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [13]),
+	.SCE(n_7595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][14]  (
+	.CLK(CTS_93),
+	.D(n_2585),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [14]),
+	.SCE(n_7595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][15]  (
+	.CLK(CTS_88),
+	.D(n_2572),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [15]),
+	.SCE(n_7595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][16]  (
+	.CLK(CTS_89),
+	.D(n_80434),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [16]),
+	.SCE(n_7595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][17]  (
+	.CLK(CTS_88),
+	.D(n_61196),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [17]),
+	.SCE(n_7595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][18]  (
+	.CLK(CTS_99),
+	.D(n_44409),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [18]),
+	.SCE(n_7595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][19]  (
+	.CLK(CTS_91),
+	.D(n_61139),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [19]),
+	.SCE(n_7595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][20]  (
+	.CLK(CTS_89),
+	.D(n_2587),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [20]),
+	.SCE(n_7595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][21]  (
+	.CLK(CTS_87),
+	.D(n_81727),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [21]),
+	.SCE(n_7595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][22]  (
+	.CLK(CTS_99),
+	.D(n_81185),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [22]),
+	.SCE(n_7595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][23]  (
+	.CLK(CTS_91),
+	.D(n_81706),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [23]),
+	.SCE(n_7595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][24]  (
+	.CLK(CTS_92),
+	.D(n_64835),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [24]),
+	.SCE(n_7595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][25]  (
+	.CLK(CTS_82),
+	.D(n_79360),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [25]),
+	.SCE(n_7595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][26]  (
+	.CLK(CTS_87),
+	.D(n_59496),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [26]),
+	.SCE(n_7595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][27]  (
+	.CLK(CTS_92),
+	.D(n_88137),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [27]),
+	.SCE(n_7595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][28]  (
+	.CLK(CTS_89),
+	.D(n_2561),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [28]),
+	.SCE(n_7595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][29]  (
+	.CLK(CTS_87),
+	.D(n_38139),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [29]),
+	.SCE(n_7595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][30]  (
+	.CLK(CTS_82),
+	.D(n_42629),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [30]),
+	.SCE(n_7595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][31]  (
+	.CLK(CTS_89),
+	.D(n_2559),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [31]),
+	.SCE(n_7595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[0]  (
+	.CLK(CTS_110),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [0]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [0]),
+	.SCD(n_11764),
+	.SCE(n_37510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[1]  (
+	.CLK(CTS_110),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [1]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [1]),
+	.SCD(n_11737),
+	.SCE(n_37510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[2]  (
+	.CLK(CTS_106),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [2]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [2]),
+	.SCD(n_11794),
+	.SCE(n_37510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[3]  (
+	.CLK(CTS_106),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [3]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [3]),
+	.SCD(n_11863),
+	.SCE(n_37510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[4]  (
+	.CLK(CTS_106),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [4]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [4]),
+	.SCD(n_11877),
+	.SCE(n_37510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[5]  (
+	.CLK(CTS_110),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [5]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [5]),
+	.SCD(n_11888),
+	.SCE(n_37510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[6]  (
+	.CLK(CTS_106),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [6]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [6]),
+	.SCD(n_11896),
+	.SCE(n_37510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[7]  (
+	.CLK(CTS_106),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [7]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [7]),
+	.SCD(n_11906),
+	.SCE(n_37510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[8]  (
+	.CLK(CTS_110),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [8]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [8]),
+	.SCD(n_11914),
+	.SCE(n_37510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[9]  (
+	.CLK(CTS_106),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [9]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [9]),
+	.SCD(n_11922),
+	.SCE(n_37510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[10]  (
+	.CLK(CTS_106),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [10]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [10]),
+	.SCD(n_11931),
+	.SCE(n_37510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[11]  (
+	.CLK(CTS_106),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [11]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [11]),
+	.SCD(n_11938),
+	.SCE(n_37510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[12]  (
+	.CLK(CTS_106),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [12]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [12]),
+	.SCD(n_11946),
+	.SCE(n_37510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[13]  (
+	.CLK(CTS_110),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [13]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [13]),
+	.SCD(n_11953),
+	.SCE(n_37510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[14]  (
+	.CLK(CTS_110),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [14]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [14]),
+	.SCD(n_11960),
+	.SCE(n_37510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[15]  (
+	.CLK(CTS_110),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [15]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [15]),
+	.SCD(n_11967),
+	.SCE(n_37510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[16]  (
+	.CLK(CTS_110),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [16]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [16]),
+	.SCD(n_11975),
+	.SCE(n_37510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[17]  (
+	.CLK(CTS_106),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [17]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [17]),
+	.SCD(n_11983),
+	.SCE(n_37510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[18]  (
+	.CLK(CTS_110),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [18]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [18]),
+	.SCD(n_11991),
+	.SCE(n_37510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[19]  (
+	.CLK(CTS_110),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [19]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [19]),
+	.SCD(n_11999),
+	.SCE(n_37510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[20]  (
+	.CLK(CTS_110),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [20]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [20]),
+	.SCD(n_12006),
+	.SCE(n_37510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[21]  (
+	.CLK(CTS_110),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [21]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [21]),
+	.SCD(n_12014),
+	.SCE(n_37510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[22]  (
+	.CLK(CTS_110),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [22]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [22]),
+	.SCD(n_12019),
+	.SCE(n_37510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[23]  (
+	.CLK(CTS_110),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [23]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [23]),
+	.SCD(n_12027),
+	.SCE(n_37510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[24]  (
+	.CLK(CTS_110),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [24]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [24]),
+	.SCD(n_12037),
+	.SCE(n_37510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[25]  (
+	.CLK(CTS_110),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [25]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [25]),
+	.SCD(n_12046),
+	.SCE(n_37510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[26]  (
+	.CLK(CTS_110),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [26]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [26]),
+	.SCD(n_12054),
+	.SCE(n_37510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[27]  (
+	.CLK(CTS_110),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [27]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [27]),
+	.SCD(n_12061),
+	.SCE(n_37510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[28]  (
+	.CLK(CTS_110),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [28]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [28]),
+	.SCD(n_12068),
+	.SCE(n_37510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[29]  (
+	.CLK(CTS_110),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [29]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [29]),
+	.SCD(n_12075),
+	.SCE(n_37510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[30]  (
+	.CLK(CTS_110),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [30]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [30]),
+	.SCD(n_12078),
+	.SCE(n_37510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[31]  (
+	.CLK(CTS_110),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [31]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [31]),
+	.SCD(n_8631),
+	.SCE(n_37510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q_reg[DZ]  (
+	.CLK(CTS_110),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[DZ] ),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[DZ] ),
+	.SCD(n_3048),
+	.SCE(n_37510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q_reg[NV]  (
+	.CLK(CTS_110),
+	.D(n_8354),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[NV] ),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[NV] ),
+	.SCE(n_8336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q_reg[NX]  (
+	.CLK(CTS_110),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[NX] ),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[NX] ),
+	.SCD(n_11385),
+	.SCE(n_37510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q_reg[OF]  (
+	.CLK(CTS_110),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[OF] ),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[OF] ),
+	.SCD(n_10255),
+	.SCE(n_37510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q_reg[UF]  (
+	.CLK(CTS_110),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[UF] ),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[UF] ),
+	.SCD(n_77416),
+	.SCE(n_37510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S_reg[0]  (
+	.CLK(CTS_114),
+	.D(n_8978),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S_reg[1]  (
+	.CLK(CTS_114),
+	.D(n_8940),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S_reg[2]  (
+	.CLK(CTS_114),
+	.D(n_8954),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S_reg[3]  (
+	.CLK(CTS_114),
+	.D(n_8977),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S_reg[4]  (
+	.CLK(CTS_114),
+	.D(n_8976),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S_reg[5]  (
+	.CLK(CTS_114),
+	.D(n_9804),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Div_enable_SO_reg  (
+	.CLK(CTS_106),
+	.D(n_7309),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Div_enable_S ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Exp_result_prenorm_DP_reg[0]  (
+	.CLK(CTS_116),
+	.D(n_30144),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [0]),
+	.SCE(n_1499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Exp_result_prenorm_DP_reg[1]  (
+	.CLK(CTS_116),
+	.D(n_30145),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [1]),
+	.SCE(n_1499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Exp_result_prenorm_DP_reg[2]  (
+	.CLK(CTS_116),
+	.D(n_30146),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [2]),
+	.SCE(n_1499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Exp_result_prenorm_DP_reg[3]  (
+	.CLK(CTS_106),
+	.D(n_30147),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [3]),
+	.SCE(n_1499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Exp_result_prenorm_DP_reg[4]  (
+	.CLK(CTS_106),
+	.D(n_30148),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [4]),
+	.SCE(n_1499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Exp_result_prenorm_DP_reg[5]  (
+	.CLK(CTS_106),
+	.D(n_30149),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [5]),
+	.SCE(n_1499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Exp_result_prenorm_DP_reg[6]  (
+	.CLK(CTS_116),
+	.D(n_30150),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [6]),
+	.SCE(n_1499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Exp_result_prenorm_DP_reg[7]  (
+	.CLK(CTS_116),
+	.D(n_30151),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [7]),
+	.SCE(n_1499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Exp_result_prenorm_DP_reg[8]  (
+	.CLK(CTS_116),
+	.D(n_30152),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [8]),
+	.SCE(n_1499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Exp_result_prenorm_DP_reg[9]  (
+	.CLK(CTS_116),
+	.D(n_30153),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [9]),
+	.SCE(n_1499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Exp_result_prenorm_DP_reg[10]  (
+	.CLK(CTS_116),
+	.D(n_30154),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [10]),
+	.SCE(n_1499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Exp_result_prenorm_DP_reg[11]  (
+	.CLK(CTS_116),
+	.D(n_30155),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [11]),
+	.SCE(n_1499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Exp_result_prenorm_DP_reg[12]  (
+	.CLK(CTS_116),
+	.D(n_30156),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [12]),
+	.SCE(n_1499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[0]  (
+	.CLK(CTS_107),
+	.D(n_8851),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[1]  (
+	.CLK(CTS_107),
+	.D(n_8934),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[2]  (
+	.CLK(CTS_107),
+	.D(n_9312),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[3]  (
+	.CLK(CTS_107),
+	.D(n_9311),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[4]  (
+	.CLK(CTS_107),
+	.D(n_9310),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[5]  (
+	.CLK(CTS_112),
+	.D(n_9309),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[6]  (
+	.CLK(CTS_112),
+	.D(n_9308),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[7]  (
+	.CLK(CTS_112),
+	.D(n_9307),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[8]  (
+	.CLK(CTS_112),
+	.D(n_9306),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[9]  (
+	.CLK(CTS_112),
+	.D(n_9305),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [9]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[10]  (
+	.CLK(CTS_112),
+	.D(n_9304),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [10]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[11]  (
+	.CLK(CTS_112),
+	.D(n_9303),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [11]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[12]  (
+	.CLK(CTS_112),
+	.D(n_9302),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [12]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[13]  (
+	.CLK(CTS_112),
+	.D(n_9301),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [13]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[14]  (
+	.CLK(CTS_112),
+	.D(n_9300),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [14]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[15]  (
+	.CLK(CTS_112),
+	.D(n_9299),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [15]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[16]  (
+	.CLK(CTS_112),
+	.D(n_9298),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [16]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[17]  (
+	.CLK(CTS_112),
+	.D(n_9297),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [17]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[18]  (
+	.CLK(CTS_112),
+	.D(n_9296),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [18]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[19]  (
+	.CLK(CTS_112),
+	.D(n_9295),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [19]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[20]  (
+	.CLK(CTS_112),
+	.D(n_9294),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [20]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[21]  (
+	.CLK(CTS_112),
+	.D(n_9293),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [21]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[22]  (
+	.CLK(CTS_112),
+	.D(n_9292),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [22]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[23]  (
+	.CLK(CTS_112),
+	.D(n_34925),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [23]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[24]  (
+	.CLK(CTS_112),
+	.D(n_9290),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [24]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[25]  (
+	.CLK(CTS_112),
+	.D(n_34926),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [25]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[26]  (
+	.CLK(CTS_112),
+	.D(n_9288),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [26]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[27]  (
+	.CLK(CTS_112),
+	.D(n_34927),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [27]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[28]  (
+	.CLK(CTS_112),
+	.D(n_9286),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [28]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[29]  (
+	.CLK(CTS_112),
+	.D(n_34928),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [29]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[30]  (
+	.CLK(CTS_112),
+	.D(n_9284),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [30]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[31]  (
+	.CLK(CTS_112),
+	.D(n_34929),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [31]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[32]  (
+	.CLK(CTS_112),
+	.D(n_9282),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [32]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[33]  (
+	.CLK(CTS_112),
+	.D(n_34930),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [33]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[34]  (
+	.CLK(CTS_107),
+	.D(n_34924),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [34]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[35]  (
+	.CLK(CTS_107),
+	.D(n_36313),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [35]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[36]  (
+	.CLK(CTS_107),
+	.D(n_34931),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [36]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[37]  (
+	.CLK(CTS_107),
+	.D(n_36314),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [37]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[38]  (
+	.CLK(CTS_114),
+	.D(n_34932),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [38]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[39]  (
+	.CLK(CTS_114),
+	.D(n_36315),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [39]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[40]  (
+	.CLK(CTS_114),
+	.D(n_34933),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [40]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[42]  (
+	.CLK(CTS_114),
+	.D(n_36316),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [42]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[44]  (
+	.CLK(CTS_115),
+	.D(n_76262),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [44]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[48]  (
+	.CLK(CTS_115),
+	.D(n_76231),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [48]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[49]  (
+	.CLK(CTS_115),
+	.D(n_76232),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [49]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[50]  (
+	.CLK(CTS_115),
+	.D(n_34934),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [50]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[51]  (
+	.CLK(CTS_115),
+	.D(n_8773),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [51]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[52]  (
+	.CLK(CTS_115),
+	.D(n_76233),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [52]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[53]  (
+	.CLK(CTS_115),
+	.D(n_8771),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [53]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[55]  (
+	.CLK(CTS_115),
+	.D(n_8769),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [55]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[56]  (
+	.CLK(CTS_115),
+	.D(n_8768),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [56]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[57]  (
+	.CLK(CTS_114),
+	.D(n_7576),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [57]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[1]  (
+	.CLK(CTS_107),
+	.D(n_8854),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[2]  (
+	.CLK(CTS_107),
+	.D(n_8906),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[3]  (
+	.CLK(CTS_107),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [3]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[4]  (
+	.CLK(CTS_107),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [1]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [4]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[5]  (
+	.CLK(CTS_107),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [2]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [5]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[6]  (
+	.CLK(CTS_107),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [3]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [6]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[7]  (
+	.CLK(CTS_107),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [4]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [7]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[8]  (
+	.CLK(CTS_107),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [5]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [8]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[9]  (
+	.CLK(CTS_107),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [6]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [9]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[10]  (
+	.CLK(CTS_107),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [7]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [10]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[11]  (
+	.CLK(CTS_107),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [8]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [11]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[12]  (
+	.CLK(CTS_107),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [9]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [12]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[13]  (
+	.CLK(CTS_107),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [10]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [13]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[14]  (
+	.CLK(CTS_107),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [11]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [14]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[15]  (
+	.CLK(CTS_107),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [12]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [15]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[16]  (
+	.CLK(CTS_107),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [13]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [16]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[17]  (
+	.CLK(CTS_107),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [14]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [17]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[18]  (
+	.CLK(CTS_107),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [15]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [18]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[19]  (
+	.CLK(CTS_107),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [16]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [19]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[20]  (
+	.CLK(CTS_107),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [17]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [20]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[21]  (
+	.CLK(CTS_107),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [18]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [21]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[22]  (
+	.CLK(CTS_107),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [19]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [22]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[23]  (
+	.CLK(CTS_107),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [20]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [23]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[24]  (
+	.CLK(CTS_107),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [21]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [24]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[25]  (
+	.CLK(CTS_107),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [22]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [25]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[26]  (
+	.CLK(CTS_107),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [23]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [26]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[27]  (
+	.CLK(CTS_112),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [24]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [27]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[28]  (
+	.CLK(CTS_112),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [25]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [28]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[29]  (
+	.CLK(CTS_112),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [26]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [29]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[30]  (
+	.CLK(CTS_112),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [27]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [30]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[31]  (
+	.CLK(CTS_112),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [28]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [31]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[32]  (
+	.CLK(CTS_112),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [29]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [32]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [32]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[33]  (
+	.CLK(CTS_112),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [30]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [33]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [33]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[34]  (
+	.CLK(CTS_112),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [31]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [34]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [34]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[35]  (
+	.CLK(CTS_112),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [32]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [35]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [35]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[36]  (
+	.CLK(CTS_114),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [33]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [36]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [36]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[37]  (
+	.CLK(CTS_114),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [34]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [37]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [37]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[38]  (
+	.CLK(CTS_114),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [35]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [38]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [38]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[39]  (
+	.CLK(CTS_114),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [36]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [39]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [39]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[40]  (
+	.CLK(CTS_114),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [37]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [40]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [40]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[41]  (
+	.CLK(CTS_114),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [38]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [41]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [41]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[42]  (
+	.CLK(CTS_114),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [39]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [42]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [42]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[43]  (
+	.CLK(CTS_114),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [40]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [43]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [43]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[44]  (
+	.CLK(CTS_114),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [41]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [44]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [44]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[45]  (
+	.CLK(CTS_114),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [42]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [45]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [45]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[46]  (
+	.CLK(CTS_114),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [43]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [46]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [46]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[47]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [44]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [47]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [47]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[48]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [45]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [48]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [48]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[49]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [46]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [49]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [49]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[50]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [47]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [50]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [50]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[51]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [48]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [51]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [51]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[52]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [49]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [52]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [52]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[53]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [50]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [53]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [53]),
+	.SCE(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Ready_SO_reg  (
+	.CLK(CTS_106),
+	.D(n_9655),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_unit_ready ),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_enable_SO_reg  (
+	.CLK(CTS_106),
+	.D(n_7311),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_a_norm_DP_reg[0]  (
+	.CLK(CTS_116),
+	.D(n_3288),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [0]),
+	.SCE(n_84188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_a_norm_DP_reg[1]  (
+	.CLK(CTS_116),
+	.D(n_1886),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [1]),
+	.SCE(n_84188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_a_norm_DP_reg[2]  (
+	.CLK(CTS_116),
+	.D(n_3287),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [2]),
+	.SCE(n_84188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_a_norm_DP_reg[3]  (
+	.CLK(CTS_116),
+	.D(n_7581),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [3]),
+	.SCE(n_84188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_a_norm_DP_reg[4]  (
+	.CLK(CTS_116),
+	.D(n_10233),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_a_norm_DP_reg[5]  (
+	.CLK(CTS_116),
+	.D(n_72315),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_a_norm_DP_reg[6]  (
+	.CLK(CTS_106),
+	.D(n_11362),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_a_norm_DP_reg[8]  (
+	.CLK(CTS_116),
+	.D(n_11469),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_a_norm_DP_reg[9]  (
+	.CLK(CTS_115),
+	.D(n_11468),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [9]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_a_norm_DP_reg[10]  (
+	.CLK(CTS_116),
+	.D(n_11466),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [10]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_a_norm_DP_reg[11]  (
+	.CLK(CTS_116),
+	.D(n_11467),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [11]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_b_norm_DP_reg[0]  (
+	.CLK(CTS_116),
+	.D(n_3286),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [0]),
+	.SCE(n_84188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_b_norm_DP_reg[1]  (
+	.CLK(CTS_116),
+	.D(n_71655),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [1]),
+	.SCE(n_84188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_b_norm_DP_reg[2]  (
+	.CLK(CTS_116),
+	.D(n_3285),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [2]),
+	.SCE(n_84188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_b_norm_DP_reg[3]  (
+	.CLK(CTS_116),
+	.D(n_9541),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_b_norm_DP_reg[4]  (
+	.CLK(CTS_116),
+	.D(n_10240),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_b_norm_DP_reg[5]  (
+	.CLK(CTS_116),
+	.D(n_71647),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_b_norm_DP_reg[7]  (
+	.CLK(CTS_106),
+	.D(n_11370),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_b_norm_DP_reg[8]  (
+	.CLK(CTS_116),
+	.D(n_11312),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_b_norm_DP_reg[9]  (
+	.CLK(CTS_116),
+	.D(n_11311),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [9]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_b_norm_DP_reg[10]  (
+	.CLK(CTS_114),
+	.D(n_11310),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [10]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_b_norm_DP_reg[11]  (
+	.CLK(CTS_116),
+	.D(n_11309),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [11]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Inf_a_SP_reg  (
+	.CLK(CTS_106),
+	.D(n_3991),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Inf_a_S ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Inf_b_SP_reg  (
+	.CLK(CTS_106),
+	.D(n_3978),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Inf_b_S ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[29]  (
+	.CLK(CTS_116),
+	.D(n_30065),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [29]),
+	.SCE(n_84188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[30]  (
+	.CLK(CTS_115),
+	.D(n_30066),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [30]),
+	.SCE(n_84188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[31]  (
+	.CLK(CTS_115),
+	.D(n_30067),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [31]),
+	.SCE(n_84188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[32]  (
+	.CLK(CTS_115),
+	.D(n_30068),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [32]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [32]),
+	.SCE(n_84188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[33]  (
+	.CLK(CTS_116),
+	.D(n_30069),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [33]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [33]),
+	.SCE(n_84188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[34]  (
+	.CLK(CTS_115),
+	.D(n_30070),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [34]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [34]),
+	.SCE(n_84188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[35]  (
+	.CLK(CTS_115),
+	.D(n_30071),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [35]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [35]),
+	.SCE(n_84188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[36]  (
+	.CLK(CTS_116),
+	.D(n_3697),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [36]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[37]  (
+	.CLK(CTS_116),
+	.D(n_30073),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [37]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [37]),
+	.SCE(n_84188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[38]  (
+	.CLK(CTS_115),
+	.D(n_30074),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [38]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [38]),
+	.SCE(n_84188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[39]  (
+	.CLK(CTS_115),
+	.D(n_30075),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [39]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [39]),
+	.SCE(n_84188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[40]  (
+	.CLK(CTS_115),
+	.D(n_30076),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [40]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [40]),
+	.SCE(n_84188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[41]  (
+	.CLK(CTS_115),
+	.D(n_30077),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [41]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [41]),
+	.SCE(n_84188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[42]  (
+	.CLK(CTS_115),
+	.D(n_3698),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [42]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[43]  (
+	.CLK(CTS_113),
+	.D(n_30079),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [43]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [43]),
+	.SCE(n_84188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[44]  (
+	.CLK(CTS_113),
+	.D(n_30080),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [44]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [44]),
+	.SCE(n_84188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[45]  (
+	.CLK(CTS_113),
+	.D(n_30081),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [45]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [45]),
+	.SCE(n_84188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[46]  (
+	.CLK(CTS_113),
+	.D(n_30082),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [46]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [46]),
+	.SCE(n_84188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[47]  (
+	.CLK(CTS_113),
+	.D(n_30083),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [47]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [47]),
+	.SCE(n_84188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[49]  (
+	.CLK(CTS_113),
+	.D(n_30085),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [49]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [49]),
+	.SCE(n_84188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[50]  (
+	.CLK(CTS_113),
+	.D(n_30086),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [50]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [50]),
+	.SCE(n_84188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[51]  (
+	.CLK(CTS_113),
+	.D(n_30087),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [51]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [51]),
+	.SCE(n_84188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[52]  (
+	.CLK(CTS_113),
+	.D(n_30088),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [52]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [52]),
+	.SCE(n_84188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[29]  (
+	.CLK(CTS_113),
+	.D(n_30041),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [29]),
+	.SCE(n_84188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[30]  (
+	.CLK(CTS_113),
+	.D(n_30042),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [30]),
+	.SCE(n_84188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[31]  (
+	.CLK(CTS_113),
+	.D(n_3710),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [31]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[32]  (
+	.CLK(CTS_114),
+	.D(n_3712),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [32]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[33]  (
+	.CLK(CTS_113),
+	.D(n_3713),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [33]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[35]  (
+	.CLK(CTS_113),
+	.D(n_3727),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [35]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[36]  (
+	.CLK(CTS_113),
+	.D(n_3728),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [36]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[37]  (
+	.CLK(CTS_113),
+	.D(n_3729),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [37]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[38]  (
+	.CLK(CTS_113),
+	.D(n_3731),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [38]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[39]  (
+	.CLK(CTS_113),
+	.D(n_3735),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [39]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[40]  (
+	.CLK(CTS_113),
+	.D(n_3746),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [40]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[41]  (
+	.CLK(CTS_113),
+	.D(n_3752),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [41]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[42]  (
+	.CLK(CTS_113),
+	.D(n_3754),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [42]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[43]  (
+	.CLK(CTS_113),
+	.D(n_3789),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [43]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[44]  (
+	.CLK(CTS_113),
+	.D(n_3830),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [44]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[45]  (
+	.CLK(CTS_113),
+	.D(n_3797),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [45]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[46]  (
+	.CLK(CTS_113),
+	.D(n_3808),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [46]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[47]  (
+	.CLK(CTS_113),
+	.D(n_3810),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [47]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[48]  (
+	.CLK(CTS_113),
+	.D(n_3812),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [48]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[49]  (
+	.CLK(CTS_113),
+	.D(n_3816),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [49]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[50]  (
+	.CLK(CTS_113),
+	.D(n_3820),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [50]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[51]  (
+	.CLK(CTS_113),
+	.D(n_3821),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [51]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[52]  (
+	.CLK(CTS_113),
+	.D(n_3824),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [52]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_NaN_a_SP_reg  (
+	.CLK(CTS_106),
+	.D(n_3998),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_NaN_a_S ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_NaN_b_SP_reg  (
+	.CLK(CTS_106),
+	.D(n_3979),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_NaN_b_S ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_RM_DP_reg[0]  (
+	.CLK(CTS_106),
+	.D(soc_top_u_top_u_core_fp_frm_fpnew[0]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_RM_dly_S [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_RM_dly_S [0]),
+	.SCE(n_84188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_RM_DP_reg[1]  (
+	.CLK(CTS_106),
+	.D(soc_top_u_top_u_core_fp_frm_fpnew[1]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_RM_dly_S [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_RM_dly_S [1]),
+	.SCE(n_84188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_RM_DP_reg[2]  (
+	.CLK(CTS_106),
+	.D(soc_top_u_top_u_core_fp_frm_fpnew[2]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_RM_dly_S [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_RM_dly_S [2]),
+	.SCE(n_84188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_SNaN_SP_reg  (
+	.CLK(CTS_106),
+	.D(n_3037),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_SNaN_S ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_SNaN_S ),
+	.SCE(n_84188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Sign_z_DP_reg  (
+	.CLK(CTS_106),
+	.D(n_69385),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sign_z_D ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Special_case_dly_SBO_reg  (
+	.CLK(CTS_114),
+	.D(n_73963),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Special_case_dly_SB ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Special_case_dly_SB ),
+	.SCE(n_84188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Zero_a_SP_reg  (
+	.CLK(CTS_106),
+	.D(n_3993),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Zero_a_S ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Zero_b_SP_reg  (
+	.CLK(CTS_106),
+	.D(n_3996),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Zero_b_S ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 soc_top_u_top_u_core_id_stage_i_id_fsm_q_reg (
+	.CLK(CTS_61),
+	.D(n_3144),
+	.Q(soc_top_u_top_u_core_id_stage_i_id_fsm_q),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][0]  (
+	.CLK(CTS_69),
+	.D(n_10896),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][1]  (
+	.CLK(CTS_58),
+	.D(n_10553),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][2]  (
+	.CLK(CTS_59),
+	.D(n_10744),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][3]  (
+	.CLK(CTS_59),
+	.D(n_10739),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][4]  (
+	.CLK(CTS_59),
+	.D(n_10746),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][5]  (
+	.CLK(CTS_59),
+	.D(n_10741),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][6]  (
+	.CLK(CTS_59),
+	.D(n_10740),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][7]  (
+	.CLK(CTS_59),
+	.D(n_10773),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][8]  (
+	.CLK(CTS_59),
+	.D(n_10742),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][9]  (
+	.CLK(CTS_59),
+	.D(n_10745),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [9]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][10]  (
+	.CLK(CTS_59),
+	.D(n_10752),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [10]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][11]  (
+	.CLK(CTS_59),
+	.D(n_10772),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [11]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][12]  (
+	.CLK(CTS_59),
+	.D(n_10771),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [12]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][13]  (
+	.CLK(CTS_59),
+	.D(n_10770),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [13]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][14]  (
+	.CLK(CTS_59),
+	.D(n_10769),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [14]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][15]  (
+	.CLK(CTS_59),
+	.D(n_10768),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [15]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][16]  (
+	.CLK(CTS_59),
+	.D(n_10751),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [16]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][17]  (
+	.CLK(CTS_59),
+	.D(n_10811),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [17]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][18]  (
+	.CLK(CTS_59),
+	.D(n_10749),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [18]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][19]  (
+	.CLK(CTS_59),
+	.D(n_10766),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [19]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][20]  (
+	.CLK(CTS_59),
+	.D(n_10748),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [20]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][21]  (
+	.CLK(CTS_59),
+	.D(n_10767),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [21]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][22]  (
+	.CLK(CTS_59),
+	.D(n_10765),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [22]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][23]  (
+	.CLK(CTS_59),
+	.D(n_10764),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [23]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][24]  (
+	.CLK(CTS_59),
+	.D(n_10747),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [24]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][25]  (
+	.CLK(CTS_59),
+	.D(n_10763),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [25]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][26]  (
+	.CLK(CTS_59),
+	.D(n_10762),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [26]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][27]  (
+	.CLK(CTS_59),
+	.D(n_10761),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [27]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][28]  (
+	.CLK(CTS_59),
+	.D(n_10760),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [28]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][29]  (
+	.CLK(CTS_59),
+	.D(n_10759),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [29]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][30]  (
+	.CLK(CTS_59),
+	.D(n_10758),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [30]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][32]  (
+	.CLK(CTS_69),
+	.D(n_10201),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [32]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][0]  (
+	.CLK(CTS_59),
+	.D(n_8731),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][1]  (
+	.CLK(CTS_59),
+	.D(n_8730),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][2]  (
+	.CLK(CTS_59),
+	.D(n_8644),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][3]  (
+	.CLK(CTS_59),
+	.D(n_8729),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][4]  (
+	.CLK(CTS_60),
+	.D(n_8728),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][5]  (
+	.CLK(CTS_60),
+	.D(n_8646),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][6]  (
+	.CLK(CTS_60),
+	.D(n_9276),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][7]  (
+	.CLK(CTS_60),
+	.D(n_8726),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][8]  (
+	.CLK(CTS_60),
+	.D(n_9275),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][9]  (
+	.CLK(CTS_60),
+	.D(n_9274),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [9]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][10]  (
+	.CLK(CTS_59),
+	.D(n_9273),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [10]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][11]  (
+	.CLK(CTS_60),
+	.D(n_9272),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [11]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][12]  (
+	.CLK(CTS_60),
+	.D(n_9271),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [12]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][13]  (
+	.CLK(CTS_59),
+	.D(n_9270),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [13]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][14]  (
+	.CLK(CTS_60),
+	.D(n_9269),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [14]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][15]  (
+	.CLK(CTS_60),
+	.D(n_9268),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [15]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][16]  (
+	.CLK(CTS_60),
+	.D(n_9267),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [16]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][17]  (
+	.CLK(CTS_69),
+	.D(n_9266),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [17]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][18]  (
+	.CLK(CTS_69),
+	.D(n_9265),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [18]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][19]  (
+	.CLK(CTS_69),
+	.D(n_9264),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [19]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][20]  (
+	.CLK(CTS_69),
+	.D(n_9263),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [20]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][21]  (
+	.CLK(CTS_69),
+	.D(n_9262),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [21]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][22]  (
+	.CLK(CTS_69),
+	.D(n_9261),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [22]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][23]  (
+	.CLK(CTS_69),
+	.D(n_9260),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [23]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][24]  (
+	.CLK(CTS_69),
+	.D(n_9259),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [24]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][25]  (
+	.CLK(CTS_69),
+	.D(n_9258),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [25]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][26]  (
+	.CLK(CTS_69),
+	.D(n_9257),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [26]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][27]  (
+	.CLK(CTS_60),
+	.D(n_9256),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [27]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][28]  (
+	.CLK(CTS_69),
+	.D(n_9255),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [28]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][29]  (
+	.CLK(CTS_60),
+	.D(n_9254),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [29]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][30]  (
+	.CLK(CTS_60),
+	.D(n_9253),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [30]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][31]  (
+	.CLK(CTS_69),
+	.D(n_9252),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [31]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_branch_discard_q_reg[0]  (
+	.CLK(CTS_62),
+	.D(n_8932),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_branch_discard_q[0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_branch_discard_q_reg[1]  (
+	.CLK(CTS_62),
+	.D(n_35322),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_branch_discard_q[1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_discard_req_q_reg (
+	.CLK(CTS_62),
+	.D(n_2276),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_discard_req_q),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q_reg[2]  (
+	.CLK(CTS_62),
+	.D(n_3129),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[2]),
+	.SCE(n_2593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q_reg[3]  (
+	.CLK(CTS_66),
+	.D(n_4780),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[3]),
+	.SCE(n_2593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q_reg[4]  (
+	.CLK(CTS_66),
+	.D(n_7454),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[4]),
+	.SCE(n_2593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q_reg[5]  (
+	.CLK(CTS_66),
+	.D(n_7578),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[5]),
+	.SCE(n_2593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q_reg[6]  (
+	.CLK(CTS_66),
+	.D(n_8497),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[6]),
+	.SCE(n_2593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q_reg[7]  (
+	.CLK(CTS_66),
+	.D(n_7722),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[7]),
+	.SCE(n_2593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q_reg[8]  (
+	.CLK(CTS_66),
+	.D(n_8916),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[8]),
+	.SCE(n_2593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q_reg[9]  (
+	.CLK(CTS_62),
+	.D(n_9625),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[9]),
+	.SCE(n_2593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q_reg[10]  (
+	.CLK(CTS_62),
+	.D(n_10062),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[10]),
+	.SCE(n_2593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q_reg[11]  (
+	.CLK(CTS_62),
+	.D(n_10218),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[11]),
+	.SCE(n_2593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[2]  (
+	.CLK(CTS_62),
+	.D(n_10259),
+	.Q(soc_top_u_top_u_core_pc_if[2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[3]  (
+	.CLK(CTS_66),
+	.D(n_10217),
+	.Q(soc_top_u_top_u_core_pc_if[3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[4]  (
+	.CLK(CTS_62),
+	.D(n_10216),
+	.Q(soc_top_u_top_u_core_pc_if[4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[5]  (
+	.CLK(CTS_66),
+	.D(n_10215),
+	.Q(soc_top_u_top_u_core_pc_if[5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[6]  (
+	.CLK(CTS_62),
+	.D(n_10214),
+	.Q(soc_top_u_top_u_core_pc_if[6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[7]  (
+	.CLK(CTS_66),
+	.D(n_10213),
+	.Q(soc_top_u_top_u_core_pc_if[7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[8]  (
+	.CLK(CTS_62),
+	.D(n_10212),
+	.Q(soc_top_u_top_u_core_pc_if[8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[9]  (
+	.CLK(CTS_62),
+	.D(n_10272),
+	.Q(soc_top_u_top_u_core_pc_if[9]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[10]  (
+	.CLK(CTS_62),
+	.D(n_10211),
+	.Q(soc_top_u_top_u_core_pc_if[10]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[11]  (
+	.CLK(CTS_62),
+	.D(n_10210),
+	.Q(soc_top_u_top_u_core_pc_if[11]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[12]  (
+	.CLK(CTS_137),
+	.D(n_10168),
+	.Q(soc_top_u_top_u_core_pc_if[12]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[13]  (
+	.CLK(CTS_149),
+	.D(n_10167),
+	.Q(soc_top_u_top_u_core_pc_if[13]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[14]  (
+	.CLK(CTS_149),
+	.D(n_10166),
+	.Q(soc_top_u_top_u_core_pc_if[14]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[15]  (
+	.CLK(CTS_149),
+	.D(n_10165),
+	.Q(soc_top_u_top_u_core_pc_if[15]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[16]  (
+	.CLK(CTS_71),
+	.D(n_10164),
+	.Q(soc_top_u_top_u_core_pc_if[16]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[17]  (
+	.CLK(CTS_85),
+	.D(n_10163),
+	.Q(soc_top_u_top_u_core_pc_if[17]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[18]  (
+	.CLK(CTS_85),
+	.D(n_10162),
+	.Q(soc_top_u_top_u_core_pc_if[18]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[19]  (
+	.CLK(CTS_85),
+	.D(n_10161),
+	.Q(soc_top_u_top_u_core_pc_if[19]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[20]  (
+	.CLK(CTS_86),
+	.D(n_10160),
+	.Q(soc_top_u_top_u_core_pc_if[20]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[21]  (
+	.CLK(CTS_85),
+	.D(n_10159),
+	.Q(soc_top_u_top_u_core_pc_if[21]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[22]  (
+	.CLK(CTS_85),
+	.D(n_10172),
+	.Q(soc_top_u_top_u_core_pc_if[22]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[23]  (
+	.CLK(CTS_85),
+	.D(n_10177),
+	.Q(soc_top_u_top_u_core_pc_if[23]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[24]  (
+	.CLK(CTS_85),
+	.D(n_10178),
+	.Q(soc_top_u_top_u_core_pc_if[24]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[25]  (
+	.CLK(CTS_71),
+	.D(n_10179),
+	.Q(soc_top_u_top_u_core_pc_if[25]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[26]  (
+	.CLK(CTS_86),
+	.D(n_10180),
+	.Q(soc_top_u_top_u_core_pc_if[26]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[27]  (
+	.CLK(CTS_71),
+	.D(n_10181),
+	.Q(soc_top_u_top_u_core_pc_if[27]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[28]  (
+	.CLK(CTS_71),
+	.D(n_10182),
+	.Q(soc_top_u_top_u_core_pc_if[28]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[29]  (
+	.CLK(CTS_149),
+	.D(n_10183),
+	.Q(soc_top_u_top_u_core_pc_if[29]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_4 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[30]  (
+	.CLK(CTS_149),
+	.D(n_10184),
+	.Q(soc_top_u_top_u_core_pc_if[30]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[31]  (
+	.CLK(CTS_149),
+	.D(n_10185),
+	.Q(soc_top_u_top_u_core_pc_if[31]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][0]  (
+	.CLK(CTS_73),
+	.D(n_75184),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][1]  (
+	.CLK(CTS_73),
+	.D(n_11261),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][2]  (
+	.CLK(CTS_63),
+	.D(n_76739),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][3]  (
+	.CLK(CTS_63),
+	.D(n_76741),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][4]  (
+	.CLK(CTS_64),
+	.D(n_76743),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][5]  (
+	.CLK(CTS_64),
+	.D(n_76745),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][6]  (
+	.CLK(CTS_64),
+	.D(n_76747),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][7]  (
+	.CLK(CTS_64),
+	.D(n_76749),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][8]  (
+	.CLK(CTS_63),
+	.D(n_76751),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][9]  (
+	.CLK(CTS_64),
+	.D(n_76753),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [9]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][10]  (
+	.CLK(CTS_73),
+	.D(n_11031),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [10]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][11]  (
+	.CLK(CTS_64),
+	.D(n_11200),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [11]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][12]  (
+	.CLK(CTS_73),
+	.D(n_11030),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [12]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][13]  (
+	.CLK(CTS_73),
+	.D(n_11029),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [13]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][14]  (
+	.CLK(CTS_73),
+	.D(n_11028),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [14]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][15]  (
+	.CLK(CTS_64),
+	.D(n_76755),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [15]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][16]  (
+	.CLK(CTS_73),
+	.D(n_76781),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [16]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][17]  (
+	.CLK(CTS_73),
+	.D(n_76757),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [17]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][18]  (
+	.CLK(CTS_63),
+	.D(n_76759),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [18]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][19]  (
+	.CLK(CTS_63),
+	.D(n_76761),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [19]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][20]  (
+	.CLK(CTS_64),
+	.D(n_76763),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [20]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][21]  (
+	.CLK(CTS_64),
+	.D(n_76765),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [21]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][22]  (
+	.CLK(CTS_64),
+	.D(n_11124),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [22]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][23]  (
+	.CLK(CTS_64),
+	.D(n_76767),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [23]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][24]  (
+	.CLK(CTS_63),
+	.D(n_76769),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [24]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][25]  (
+	.CLK(CTS_64),
+	.D(n_76783),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [25]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][26]  (
+	.CLK(CTS_73),
+	.D(n_76771),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [26]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][27]  (
+	.CLK(CTS_64),
+	.D(n_76773),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [27]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][28]  (
+	.CLK(CTS_73),
+	.D(n_11027),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [28]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][29]  (
+	.CLK(CTS_73),
+	.D(n_76775),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [29]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][30]  (
+	.CLK(CTS_73),
+	.D(n_76777),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [30]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][31]  (
+	.CLK(CTS_73),
+	.D(n_76779),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [31]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][0]  (
+	.CLK(CTS_73),
+	.D(n_11184),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][1]  (
+	.CLK(CTS_73),
+	.D(n_11183),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][2]  (
+	.CLK(CTS_63),
+	.D(n_11182),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][3]  (
+	.CLK(CTS_63),
+	.D(n_11181),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][4]  (
+	.CLK(CTS_64),
+	.D(n_11180),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][5]  (
+	.CLK(CTS_64),
+	.D(n_11179),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][6]  (
+	.CLK(CTS_64),
+	.D(n_11178),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][7]  (
+	.CLK(CTS_64),
+	.D(n_11177),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][8]  (
+	.CLK(CTS_63),
+	.D(n_11176),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][9]  (
+	.CLK(CTS_64),
+	.D(n_11175),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [9]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][10]  (
+	.CLK(CTS_73),
+	.D(n_68532),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [10]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][11]  (
+	.CLK(CTS_64),
+	.D(n_11174),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [11]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][12]  (
+	.CLK(CTS_73),
+	.D(n_68531),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [12]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][13]  (
+	.CLK(CTS_73),
+	.D(n_68530),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [13]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][14]  (
+	.CLK(CTS_73),
+	.D(n_68529),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [14]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][15]  (
+	.CLK(CTS_73),
+	.D(n_11173),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [15]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][16]  (
+	.CLK(CTS_73),
+	.D(n_11172),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [16]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][17]  (
+	.CLK(CTS_73),
+	.D(n_11171),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [17]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][18]  (
+	.CLK(CTS_63),
+	.D(n_11170),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [18]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][19]  (
+	.CLK(CTS_63),
+	.D(n_11169),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [19]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][20]  (
+	.CLK(CTS_64),
+	.D(n_11168),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [20]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][21]  (
+	.CLK(CTS_64),
+	.D(n_11167),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [21]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][22]  (
+	.CLK(CTS_64),
+	.D(n_68541),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [22]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][23]  (
+	.CLK(CTS_64),
+	.D(n_11166),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [23]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][24]  (
+	.CLK(CTS_64),
+	.D(n_11165),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [24]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][25]  (
+	.CLK(CTS_73),
+	.D(n_11164),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [25]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][26]  (
+	.CLK(CTS_73),
+	.D(n_11163),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [26]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][27]  (
+	.CLK(CTS_64),
+	.D(n_11162),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [27]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][28]  (
+	.CLK(CTS_73),
+	.D(n_68528),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [28]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][29]  (
+	.CLK(CTS_73),
+	.D(n_11161),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [29]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][30]  (
+	.CLK(CTS_73),
+	.D(n_11159),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [30]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][31]  (
+	.CLK(CTS_73),
+	.D(n_11160),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [31]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][0]  (
+	.CLK(CTS_73),
+	.D(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [0]),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_68939),
+	.SCE(n_8534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][1]  (
+	.CLK(CTS_73),
+	.D(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [1]),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_iccm_to_xbar[d_data] [1]),
+	.SCE(n_8534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][2]  (
+	.CLK(CTS_63),
+	.D(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [2]),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_68957),
+	.SCE(n_8534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][3]  (
+	.CLK(CTS_63),
+	.D(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [3]),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_68967),
+	.SCE(n_8534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][4]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [4]),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_68977),
+	.SCE(n_8534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][5]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [5]),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_68987),
+	.SCE(n_8534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][6]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [6]),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_68997),
+	.SCE(n_8534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][7]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [7]),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_69007),
+	.SCE(n_8534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][8]  (
+	.CLK(CTS_63),
+	.D(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [8]),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_69017),
+	.SCE(n_8534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][9]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [9]),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_69027),
+	.SCE(n_8534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][10]  (
+	.CLK(CTS_73),
+	.D(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [10]),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_4578),
+	.SCE(n_8534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][11]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [11]),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_4724),
+	.SCE(n_8534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][12]  (
+	.CLK(CTS_73),
+	.D(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [12]),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_4574),
+	.SCE(n_8534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][13]  (
+	.CLK(CTS_73),
+	.D(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [13]),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_4569),
+	.SCE(n_8534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][14]  (
+	.CLK(CTS_73),
+	.D(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [14]),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_4582),
+	.SCE(n_8534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][15]  (
+	.CLK(CTS_73),
+	.D(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [15]),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_69037),
+	.SCE(n_8534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][16]  (
+	.CLK(CTS_73),
+	.D(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [16]),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_69167),
+	.SCE(n_8534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][17]  (
+	.CLK(CTS_73),
+	.D(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [17]),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_69047),
+	.SCE(n_8534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][18]  (
+	.CLK(CTS_63),
+	.D(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [18]),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_69057),
+	.SCE(n_8534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][19]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [19]),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_69067),
+	.SCE(n_8534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][20]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [20]),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_69077),
+	.SCE(n_8534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][21]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [21]),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_69087),
+	.SCE(n_8534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][22]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [22]),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_4727),
+	.SCE(n_8534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][23]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [23]),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_69097),
+	.SCE(n_8534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][24]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [24]),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_69107),
+	.SCE(n_8534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][25]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [25]),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_69177),
+	.SCE(n_8534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][26]  (
+	.CLK(CTS_73),
+	.D(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [26]),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_69118),
+	.SCE(n_8534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][27]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [27]),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_69128),
+	.SCE(n_8534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][28]  (
+	.CLK(CTS_73),
+	.D(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [28]),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_4580),
+	.SCE(n_8534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][29]  (
+	.CLK(CTS_73),
+	.D(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [29]),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_69138),
+	.SCE(n_8534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][30]  (
+	.CLK(CTS_73),
+	.D(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [30]),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_69148),
+	.SCE(n_8534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][31]  (
+	.CLK(CTS_73),
+	.D(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [31]),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_69158),
+	.SCE(n_8534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_rdata_outstanding_q_reg[0]  (
+	.CLK(CTS_62),
+	.D(n_7265),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_rdata_outstanding_q[0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_rdata_outstanding_q_reg[1]  (
+	.CLK(CTS_62),
+	.D(n_8504),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_rdata_outstanding_q[1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q_reg[2]  (
+	.CLK(CTS_62),
+	.D(n_9614),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q_reg[3]  (
+	.CLK(CTS_66),
+	.D(n_9615),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q_reg[4]  (
+	.CLK(CTS_66),
+	.D(n_9616),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q_reg[5]  (
+	.CLK(CTS_66),
+	.D(n_9617),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q_reg[6]  (
+	.CLK(CTS_66),
+	.D(n_9619),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q_reg[7]  (
+	.CLK(CTS_66),
+	.D(n_9618),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q_reg[8]  (
+	.CLK(CTS_66),
+	.D(n_9620),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q_reg[9]  (
+	.CLK(CTS_62),
+	.D(n_9469),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[9]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q_reg[10]  (
+	.CLK(CTS_62),
+	.D(n_9621),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[10]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q_reg[11]  (
+	.CLK(CTS_62),
+	.D(n_9622),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[11]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 soc_top_u_top_u_core_if_stage_i_illegal_c_insn_id_o_reg (
+	.CLK(CTS_72),
+	.D(n_11293),
+	.Q(soc_top_u_top_u_core_illegal_c_insn_id),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 soc_top_u_top_u_core_if_stage_i_instr_is_compressed_id_o_reg (
+	.CLK(CTS_72),
+	.D(n_2836),
+	.Q(soc_top_u_top_u_core_instr_is_compressed_id),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_instr_is_compressed_id),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[0]  (
+	.CLK(CTS_72),
+	.D(n_10682),
+	.Q(soc_top_u_top_u_core_instr_rdata_id[0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[1]  (
+	.CLK(CTS_72),
+	.D(n_10697),
+	.Q(soc_top_u_top_u_core_instr_rdata_id[1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[2]  (
+	.CLK(CTS_75),
+	.D(n_11037),
+	.Q(soc_top_u_top_u_core_instr_rdata_id[2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[3]  (
+	.CLK(CTS_75),
+	.D(n_10825),
+	.Q(soc_top_u_top_u_core_instr_rdata_id[3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[4]  (
+	.CLK(CTS_75),
+	.D(n_11227),
+	.Q(soc_top_u_top_u_core_instr_rdata_id[4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[5]  (
+	.CLK(CTS_75),
+	.D(n_11419),
+	.Q(soc_top_u_top_u_core_instr_rdata_id[5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[6]  (
+	.CLK(CTS_75),
+	.D(n_10895),
+	.Q(soc_top_u_top_u_core_instr_rdata_id[6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[12]  (
+	.CLK(CTS_72),
+	.D(n_11265),
+	.Q(soc_top_u_top_u_core_instr_rdata_id[12]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[13]  (
+	.CLK(CTS_72),
+	.D(soc_top_u_top_u_core_instr_rdata_id[13]),
+	.Q(soc_top_u_top_u_core_instr_rdata_id[13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_11236),
+	.SCE(soc_top_u_top_u_core_id_in_ready), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[15]  (
+	.CLK(CTS_75),
+	.D(n_11559),
+	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[15]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[16]  (
+	.CLK(CTS_75),
+	.D(n_11626),
+	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[16]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[17]  (
+	.CLK(CTS_75),
+	.D(n_11514),
+	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[17]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[18]  (
+	.CLK(CTS_75),
+	.D(n_11512),
+	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[18]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[19]  (
+	.CLK(CTS_63),
+	.D(n_11530),
+	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[19]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[20]  (
+	.CLK(CTS_75),
+	.D(n_11400),
+	.Q(soc_top_u_top_u_core_instr_rdata_id[20]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[21]  (
+	.CLK(CTS_75),
+	.D(n_11511),
+	.Q(soc_top_u_top_u_core_rf_raddr_b[1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[22]  (
+	.CLK(CTS_63),
+	.D(n_11659),
+	.Q(soc_top_u_top_u_core_rf_raddr_b[2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[23]  (
+	.CLK(CTS_75),
+	.D(n_11519),
+	.Q(soc_top_u_top_u_core_rf_raddr_b[3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[24]  (
+	.CLK(CTS_63),
+	.D(n_11451),
+	.Q(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[25]  (
+	.CLK(CTS_75),
+	.D(n_11463),
+	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[26]  (
+	.CLK(CTS_75),
+	.D(n_11562),
+	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[26]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[27]  (
+	.CLK(CTS_75),
+	.D(n_11515),
+	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[28]  (
+	.CLK(CTS_72),
+	.D(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
+	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_11296),
+	.SCE(soc_top_u_top_u_core_id_in_ready), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[29]  (
+	.CLK(CTS_72),
+	.D(n_11308),
+	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[30]  (
+	.CLK(CTS_72),
+	.D(n_11409),
+	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[31]  (
+	.CLK(CTS_75),
+	.D(n_11357),
+	.Q(soc_top_u_top_u_core_instr_rdata_id[31]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[0]  (
+	.CLK(CTS_72),
+	.D(n_1890),
+	.Q(soc_top_u_top_u_core_instr_rdata_c_id[0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_instr_rdata_c_id[0]),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[1]  (
+	.CLK(CTS_72),
+	.D(n_9760),
+	.Q(soc_top_u_top_u_core_instr_rdata_c_id[1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[2]  (
+	.CLK(CTS_72),
+	.D(n_8606),
+	.Q(soc_top_u_top_u_core_instr_rdata_c_id[2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_instr_rdata_c_id[2]),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[3]  (
+	.CLK(CTS_72),
+	.D(n_8602),
+	.Q(soc_top_u_top_u_core_instr_rdata_c_id[3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_instr_rdata_c_id[3]),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[4]  (
+	.CLK(CTS_72),
+	.D(n_69231),
+	.Q(soc_top_u_top_u_core_instr_rdata_c_id[4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_instr_rdata_c_id[4]),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[5]  (
+	.CLK(CTS_72),
+	.D(n_8599),
+	.Q(soc_top_u_top_u_core_instr_rdata_c_id[5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_instr_rdata_c_id[5]),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[6]  (
+	.CLK(CTS_72),
+	.D(n_69225),
+	.Q(soc_top_u_top_u_core_instr_rdata_c_id[6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_instr_rdata_c_id[6]),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[7]  (
+	.CLK(CTS_72),
+	.D(n_8612),
+	.Q(soc_top_u_top_u_core_instr_rdata_c_id[7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_instr_rdata_c_id[7]),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[8]  (
+	.CLK(CTS_72),
+	.D(n_8608),
+	.Q(soc_top_u_top_u_core_instr_rdata_c_id[8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_instr_rdata_c_id[8]),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[9]  (
+	.CLK(CTS_72),
+	.D(n_8616),
+	.Q(soc_top_u_top_u_core_instr_rdata_c_id[9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_instr_rdata_c_id[9]),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[10]  (
+	.CLK(CTS_72),
+	.D(n_8588),
+	.Q(soc_top_u_top_u_core_instr_rdata_c_id[10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_instr_rdata_c_id[10]),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[11]  (
+	.CLK(CTS_72),
+	.D(n_8595),
+	.Q(soc_top_u_top_u_core_instr_rdata_c_id[11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_instr_rdata_c_id[11]),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[12]  (
+	.CLK(CTS_72),
+	.D(n_8585),
+	.Q(soc_top_u_top_u_core_instr_rdata_c_id[12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_instr_rdata_c_id[12]),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[13]  (
+	.CLK(CTS_72),
+	.D(n_8593),
+	.Q(soc_top_u_top_u_core_instr_rdata_c_id[13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_instr_rdata_c_id[13]),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[14]  (
+	.CLK(CTS_72),
+	.D(n_8586),
+	.Q(soc_top_u_top_u_core_instr_rdata_c_id[14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_instr_rdata_c_id[14]),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[15]  (
+	.CLK(CTS_72),
+	.D(n_8590),
+	.Q(soc_top_u_top_u_core_instr_rdata_c_id[15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_instr_rdata_c_id[15]),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[7]  (
+	.CLK(CTS_75),
+	.D(n_11513),
+	.Q(soc_top_u_top_u_core_instr_rdata_id[7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[8]  (
+	.CLK(CTS_72),
+	.D(n_11510),
+	.Q(soc_top_u_top_u_core_instr_rdata_id[8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[9]  (
+	.CLK(CTS_75),
+	.D(n_76784),
+	.Q(soc_top_u_top_u_core_instr_rdata_id[9]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[10]  (
+	.CLK(CTS_72),
+	.D(n_11318),
+	.Q(soc_top_u_top_u_core_instr_rdata_id[10]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[11]  (
+	.CLK(CTS_75),
+	.D(n_11401),
+	.Q(soc_top_u_top_u_core_instr_rdata_id[11]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 soc_top_u_top_u_core_if_stage_i_instr_valid_id_q_reg (
+	.CLK(CTS_61),
+	.D(n_35312),
+	.Q(soc_top_u_top_u_core_instr_valid_id),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[1]  (
+	.CLK(CTS_149),
+	.D(soc_top_u_top_u_core_pc_if[1]),
+	.Q(soc_top_u_top_u_core_pc_id[1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_pc_id[1]),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[2]  (
+	.CLK(CTS_68),
+	.D(soc_top_u_top_u_core_pc_if[2]),
+	.Q(soc_top_u_top_u_core_pc_id[2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_pc_id[2]),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[3]  (
+	.CLK(CTS_68),
+	.D(soc_top_u_top_u_core_pc_if[3]),
+	.Q(soc_top_u_top_u_core_pc_id[3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_pc_id[3]),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[4]  (
+	.CLK(CTS_68),
+	.D(soc_top_u_top_u_core_pc_if[4]),
+	.Q(soc_top_u_top_u_core_pc_id[4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_pc_id[4]),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[5]  (
+	.CLK(CTS_68),
+	.D(soc_top_u_top_u_core_pc_if[5]),
+	.Q(soc_top_u_top_u_core_pc_id[5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_pc_id[5]),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[6]  (
+	.CLK(CTS_68),
+	.D(soc_top_u_top_u_core_pc_if[6]),
+	.Q(soc_top_u_top_u_core_pc_id[6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_pc_id[6]),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[7]  (
+	.CLK(CTS_68),
+	.D(soc_top_u_top_u_core_pc_if[7]),
+	.Q(soc_top_u_top_u_core_pc_id[7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_pc_id[7]),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[8]  (
+	.CLK(CTS_68),
+	.D(soc_top_u_top_u_core_pc_if[8]),
+	.Q(soc_top_u_top_u_core_pc_id[8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_pc_id[8]),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[9]  (
+	.CLK(CTS_149),
+	.D(soc_top_u_top_u_core_pc_if[9]),
+	.Q(soc_top_u_top_u_core_pc_id[9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_pc_id[9]),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[10]  (
+	.CLK(CTS_149),
+	.D(soc_top_u_top_u_core_pc_if[10]),
+	.Q(soc_top_u_top_u_core_pc_id[10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_pc_id[10]),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[11]  (
+	.CLK(CTS_149),
+	.D(soc_top_u_top_u_core_pc_if[11]),
+	.Q(soc_top_u_top_u_core_pc_id[11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_pc_id[11]),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[12]  (
+	.CLK(CTS_149),
+	.D(soc_top_u_top_u_core_pc_if[12]),
+	.Q(soc_top_u_top_u_core_pc_id[12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_pc_id[12]),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[13]  (
+	.CLK(CTS_149),
+	.D(soc_top_u_top_u_core_pc_if[13]),
+	.Q(soc_top_u_top_u_core_pc_id[13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_pc_id[13]),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[14]  (
+	.CLK(CTS_149),
+	.D(soc_top_u_top_u_core_pc_if[14]),
+	.Q(soc_top_u_top_u_core_pc_id[14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_pc_id[14]),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[15]  (
+	.CLK(CTS_149),
+	.D(soc_top_u_top_u_core_pc_if[15]),
+	.Q(soc_top_u_top_u_core_pc_id[15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_pc_id[15]),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[16]  (
+	.CLK(CTS_71),
+	.D(soc_top_u_top_u_core_pc_if[16]),
+	.Q(soc_top_u_top_u_core_pc_id[16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_pc_id[16]),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[17]  (
+	.CLK(CTS_85),
+	.D(soc_top_u_top_u_core_pc_if[17]),
+	.Q(soc_top_u_top_u_core_pc_id[17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_pc_id[17]),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[18]  (
+	.CLK(CTS_85),
+	.D(soc_top_u_top_u_core_pc_if[18]),
+	.Q(soc_top_u_top_u_core_pc_id[18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_pc_id[18]),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[19]  (
+	.CLK(CTS_85),
+	.D(soc_top_u_top_u_core_pc_if[19]),
+	.Q(soc_top_u_top_u_core_pc_id[19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_pc_id[19]),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[20]  (
+	.CLK(CTS_86),
+	.D(soc_top_u_top_u_core_pc_if[20]),
+	.Q(soc_top_u_top_u_core_pc_id[20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_pc_id[20]),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[21]  (
+	.CLK(CTS_85),
+	.D(soc_top_u_top_u_core_pc_if[21]),
+	.Q(soc_top_u_top_u_core_pc_id[21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_pc_id[21]),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[22]  (
+	.CLK(CTS_85),
+	.D(soc_top_u_top_u_core_pc_if[22]),
+	.Q(soc_top_u_top_u_core_pc_id[22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_pc_id[22]),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[23]  (
+	.CLK(CTS_85),
+	.D(soc_top_u_top_u_core_pc_if[23]),
+	.Q(soc_top_u_top_u_core_pc_id[23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_pc_id[23]),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[24]  (
+	.CLK(CTS_85),
+	.D(soc_top_u_top_u_core_pc_if[24]),
+	.Q(soc_top_u_top_u_core_pc_id[24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_pc_id[24]),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[25]  (
+	.CLK(CTS_71),
+	.D(soc_top_u_top_u_core_pc_if[25]),
+	.Q(soc_top_u_top_u_core_pc_id[25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_pc_id[25]),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[26]  (
+	.CLK(CTS_86),
+	.D(soc_top_u_top_u_core_pc_if[26]),
+	.Q(soc_top_u_top_u_core_pc_id[26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_pc_id[26]),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[27]  (
+	.CLK(CTS_71),
+	.D(soc_top_u_top_u_core_pc_if[27]),
+	.Q(soc_top_u_top_u_core_pc_id[27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_pc_id[27]),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[28]  (
+	.CLK(CTS_71),
+	.D(soc_top_u_top_u_core_pc_if[28]),
+	.Q(soc_top_u_top_u_core_pc_id[28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_pc_id[28]),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[29]  (
+	.CLK(CTS_149),
+	.D(soc_top_u_top_u_core_pc_if[29]),
+	.Q(soc_top_u_top_u_core_pc_id[29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_pc_id[29]),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[30]  (
+	.CLK(CTS_149),
+	.D(soc_top_u_top_u_core_pc_if[30]),
+	.Q(soc_top_u_top_u_core_pc_id[30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_pc_id[30]),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[31]  (
+	.CLK(CTS_149),
+	.D(soc_top_u_top_u_core_pc_if[31]),
+	.Q(soc_top_u_top_u_core_pc_id[31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_pc_id[31]),
+	.SCE(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[0]  (
+	.CLK(CTS_61),
+	.D(soc_top_u_top_u_core_lsu_addr_last[0]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_72622),
+	.SCE(n_4548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[1]  (
+	.CLK(CTS_60),
+	.D(soc_top_u_top_u_core_lsu_addr_last[1]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_alu_adder_result_ex[1]),
+	.SCE(n_4548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[2]  (
+	.CLK(CTS_60),
+	.D(soc_top_u_top_u_core_lsu_addr_last[2]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_69931),
+	.SCE(n_4548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[3]  (
+	.CLK(CTS_60),
+	.D(soc_top_u_top_u_core_lsu_addr_last[3]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_xbar_to_timer[a_address] [3]),
+	.SCE(n_4548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[4]  (
+	.CLK(CTS_60),
+	.D(soc_top_u_top_u_core_lsu_addr_last[4]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_72952),
+	.SCE(n_4548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[5]  (
+	.CLK(CTS_61),
+	.D(soc_top_u_top_u_core_lsu_addr_last[5]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_69927),
+	.SCE(n_4548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[6]  (
+	.CLK(CTS_61),
+	.D(soc_top_u_top_u_core_lsu_addr_last[6]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_xbar_to_timer[a_address] [6]),
+	.SCE(n_4548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[7]  (
+	.CLK(CTS_61),
+	.D(soc_top_u_top_u_core_lsu_addr_last[7]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_72188),
+	.SCE(n_4548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[8]  (
+	.CLK(CTS_61),
+	.D(soc_top_u_top_u_core_lsu_addr_last[8]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_77013),
+	.SCE(n_4548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[9]  (
+	.CLK(CTS_60),
+	.D(soc_top_u_top_u_core_lsu_addr_last[9]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_lsu_to_xbar[a_address] [9]),
+	.SCE(n_4548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[10]  (
+	.CLK(CTS_61),
+	.D(soc_top_u_top_u_core_lsu_addr_last[10]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_69940),
+	.SCE(n_4548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[11]  (
+	.CLK(CTS_60),
+	.D(soc_top_u_top_u_core_lsu_addr_last[11]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_lsu_to_xbar[a_address] [11]),
+	.SCE(n_4548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[12]  (
+	.CLK(CTS_60),
+	.D(soc_top_u_top_u_core_lsu_addr_last[12]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_lsu_to_xbar[a_address] [12]),
+	.SCE(n_4548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[13]  (
+	.CLK(CTS_59),
+	.D(soc_top_u_top_u_core_lsu_addr_last[13]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_lsu_to_xbar[a_address] [13]),
+	.SCE(n_4548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[14]  (
+	.CLK(CTS_60),
+	.D(soc_top_u_top_u_core_lsu_addr_last[14]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_alu_adder_result_ex[14]),
+	.SCE(n_4548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[15]  (
+	.CLK(CTS_60),
+	.D(soc_top_u_top_u_core_lsu_addr_last[15]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_alu_adder_result_ex[15]),
+	.SCE(n_4548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[16]  (
+	.CLK(CTS_60),
+	.D(soc_top_u_top_u_core_lsu_addr_last[16]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_71266),
+	.SCE(n_4548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[17]  (
+	.CLK(CTS_60),
+	.D(soc_top_u_top_u_core_lsu_addr_last[17]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_70129),
+	.SCE(n_4548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[18]  (
+	.CLK(CTS_60),
+	.D(soc_top_u_top_u_core_lsu_addr_last[18]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_87477),
+	.SCE(n_4548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[19]  (
+	.CLK(CTS_60),
+	.D(soc_top_u_top_u_core_lsu_addr_last[19]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_lsu_to_xbar[a_address] [19]),
+	.SCE(n_4548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[20]  (
+	.CLK(CTS_60),
+	.D(soc_top_u_top_u_core_lsu_addr_last[20]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_lsu_to_xbar[a_address] [20]),
+	.SCE(n_4548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[21]  (
+	.CLK(CTS_60),
+	.D(soc_top_u_top_u_core_lsu_addr_last[21]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_lsu_to_xbar[a_address] [21]),
+	.SCE(n_4548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[22]  (
+	.CLK(CTS_60),
+	.D(soc_top_u_top_u_core_lsu_addr_last[22]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_85991),
+	.SCE(n_4548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[23]  (
+	.CLK(CTS_60),
+	.D(soc_top_u_top_u_core_lsu_addr_last[23]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_lsu_to_xbar[a_address] [23]),
+	.SCE(n_4548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[24]  (
+	.CLK(CTS_60),
+	.D(soc_top_u_top_u_core_lsu_addr_last[24]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_74022),
+	.SCE(n_4548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[25]  (
+	.CLK(CTS_60),
+	.D(soc_top_u_top_u_core_lsu_addr_last[25]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_lsu_to_xbar[a_address] [25]),
+	.SCE(n_4548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[26]  (
+	.CLK(CTS_60),
+	.D(soc_top_u_top_u_core_lsu_addr_last[26]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_lsu_to_xbar[a_address] [26]),
+	.SCE(n_4548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[27]  (
+	.CLK(CTS_60),
+	.D(soc_top_u_top_u_core_lsu_addr_last[27]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_85990),
+	.SCE(n_4548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[28]  (
+	.CLK(CTS_60),
+	.D(soc_top_u_top_u_core_lsu_addr_last[28]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_69574),
+	.SCE(n_4548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[29]  (
+	.CLK(CTS_60),
+	.D(soc_top_u_top_u_core_lsu_addr_last[29]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_71867),
+	.SCE(n_4548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[30]  (
+	.CLK(CTS_60),
+	.D(soc_top_u_top_u_core_lsu_addr_last[30]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_lsu_to_xbar[a_address] [30]),
+	.SCE(n_4548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[31]  (
+	.CLK(CTS_60),
+	.D(soc_top_u_top_u_core_lsu_addr_last[31]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_72440),
+	.SCE(n_4548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 soc_top_u_top_u_core_load_store_unit_i_data_sign_ext_q_reg (
+	.CLK(CTS_111),
+	.D(soc_top_u_top_u_core_load_store_unit_i_data_sign_ext_q),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_data_sign_ext_q),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_lsu_sign_ext),
+	.SCE(n_2167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_data_type_q_reg[0]  (
+	.CLK(CTS_111),
+	.D(soc_top_u_top_u_core_load_store_unit_i_data_type_q[0]),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_data_type_q[0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_lsu_type[0]),
+	.SCE(n_2167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_data_type_q_reg[1]  (
+	.CLK(CTS_111),
+	.D(soc_top_u_top_u_core_load_store_unit_i_data_type_q[1]),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_data_type_q[1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_lsu_type[1]),
+	.SCE(n_2167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 soc_top_u_top_u_core_load_store_unit_i_data_we_q_reg (
+	.CLK(CTS_61),
+	.D(soc_top_u_top_u_core_load_store_unit_i_data_we_q),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_data_we_q),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_data_we),
+	.SCE(n_2167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 soc_top_u_top_u_core_load_store_unit_i_handle_misaligned_q_reg (
+	.CLK(CTS_61),
+	.D(n_7451),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_handle_misaligned_q),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs_reg[0]  (
+	.CLK(CTS_61),
+	.D(n_76808),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs_reg[1]  (
+	.CLK(CTS_61),
+	.D(n_73608),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs_reg[2]  (
+	.CLK(CTS_61),
+	.D(n_92774),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 soc_top_u_top_u_core_load_store_unit_i_lsu_err_q_reg (
+	.CLK(CTS_61),
+	.D(n_4426),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_lsu_err_q),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q_reg[0]  (
+	.CLK(CTS_111),
+	.D(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[0]),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_72622),
+	.SCE(n_2167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q_reg[1]  (
+	.CLK(CTS_111),
+	.D(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_alu_adder_result_ex[1]),
+	.SCE(n_2167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[8]  (
+	.CLK(CTS_109),
+	.D(n_59347),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_load_store_unit_i_rdata_q[8]),
+	.SCE(n_3456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[9]  (
+	.CLK(CTS_109),
+	.D(n_78434),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_load_store_unit_i_rdata_q[9]),
+	.SCE(n_3456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[10]  (
+	.CLK(CTS_109),
+	.D(n_53817),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_load_store_unit_i_rdata_q[10]),
+	.SCE(n_3456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[11]  (
+	.CLK(CTS_109),
+	.D(\soc_top_xbar_to_lsu[d_data] [11]),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_load_store_unit_i_rdata_q[11]),
+	.SCE(n_3456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[12]  (
+	.CLK(CTS_109),
+	.D(n_60756),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_load_store_unit_i_rdata_q[12]),
+	.SCE(n_3456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[13]  (
+	.CLK(CTS_109),
+	.D(n_77591),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_load_store_unit_i_rdata_q[13]),
+	.SCE(n_3456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[14]  (
+	.CLK(CTS_109),
+	.D(n_60983),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_load_store_unit_i_rdata_q[14]),
+	.SCE(n_3456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[15]  (
+	.CLK(CTS_109),
+	.D(n_90503),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_load_store_unit_i_rdata_q[15]),
+	.SCE(n_3456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[16]  (
+	.CLK(CTS_109),
+	.D(n_54109),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[16]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_load_store_unit_i_rdata_q[16]),
+	.SCE(n_3456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[17]  (
+	.CLK(CTS_109),
+	.D(n_80355),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[17]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_load_store_unit_i_rdata_q[17]),
+	.SCE(n_3456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[18]  (
+	.CLK(CTS_109),
+	.D(n_25877),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[18]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_load_store_unit_i_rdata_q[18]),
+	.SCE(n_3456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[19]  (
+	.CLK(CTS_109),
+	.D(\soc_top_xbar_to_lsu[d_data] [19]),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[19]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_load_store_unit_i_rdata_q[19]),
+	.SCE(n_3456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[20]  (
+	.CLK(CTS_109),
+	.D(n_61161),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[20]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_load_store_unit_i_rdata_q[20]),
+	.SCE(n_3456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[21]  (
+	.CLK(CTS_109),
+	.D(n_60523),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[21]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_load_store_unit_i_rdata_q[21]),
+	.SCE(n_3456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[22]  (
+	.CLK(CTS_109),
+	.D(n_57628),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[22]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_load_store_unit_i_rdata_q[22]),
+	.SCE(n_3456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[23]  (
+	.CLK(CTS_109),
+	.D(\soc_top_xbar_to_lsu[d_data] [23]),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[23]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_load_store_unit_i_rdata_q[23]),
+	.SCE(n_3456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[24]  (
+	.CLK(CTS_109),
+	.D(\soc_top_xbar_to_lsu[d_data] [24]),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[24]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_load_store_unit_i_rdata_q[24]),
+	.SCE(n_3456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[25]  (
+	.CLK(CTS_109),
+	.D(n_81301),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[25]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_load_store_unit_i_rdata_q[25]),
+	.SCE(n_3456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[26]  (
+	.CLK(CTS_109),
+	.D(n_61164),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[26]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_load_store_unit_i_rdata_q[26]),
+	.SCE(n_3456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[27]  (
+	.CLK(CTS_109),
+	.D(\soc_top_xbar_to_lsu[d_data] [27]),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[27]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_load_store_unit_i_rdata_q[27]),
+	.SCE(n_3456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[28]  (
+	.CLK(CTS_109),
+	.D(\soc_top_xbar_to_lsu[d_data] [28]),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[28]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_load_store_unit_i_rdata_q[28]),
+	.SCE(n_3456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[29]  (
+	.CLK(CTS_109),
+	.D(n_61287),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[29]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_load_store_unit_i_rdata_q[29]),
+	.SCE(n_3456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[30]  (
+	.CLK(CTS_109),
+	.D(n_39941),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[30]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_load_store_unit_i_rdata_q[30]),
+	.SCE(n_3456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[31]  (
+	.CLK(CTS_108),
+	.D(n_58184),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[31]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_top_u_core_load_store_unit_i_rdata_q[31]),
+	.SCE(n_3456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.fp_load_q_reg  (
+	.CLK(CTS_69),
+	.D(n_2800),
+	.Q(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.fp_load_q ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.fp_rf_we_wb_q_reg  (
+	.CLK(CTS_69),
+	.D(n_2801),
+	.Q(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.fp_rf_we_wb_q ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_4 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_waddr_wb_q_reg[0]  (
+	.CLK(CTS_69),
+	.D(n_2802),
+	.Q(soc_top_u_top_u_core_rf_waddr_wb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_2 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_waddr_wb_q_reg[1]  (
+	.CLK(CTS_69),
+	.D(n_2799),
+	.Q(soc_top_u_top_u_core_rf_waddr_wb[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_waddr_wb_q_reg[2]  (
+	.CLK(CTS_69),
+	.D(n_2798),
+	.Q(soc_top_u_top_u_core_rf_waddr_wb[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_2 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_waddr_wb_q_reg[3]  (
+	.CLK(CTS_69),
+	.D(n_2803),
+	.Q(soc_top_u_top_u_core_rf_waddr_wb[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_waddr_wb_q_reg[4]  (
+	.CLK(CTS_69),
+	.D(n_2804),
+	.Q(soc_top_u_top_u_core_rf_waddr_wb[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[0]  (
+	.CLK(CTS_109),
+	.D(n_11883),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[0]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[1]  (
+	.CLK(CTS_111),
+	.D(n_11882),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[1]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_2 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[2]  (
+	.CLK(CTS_108),
+	.D(n_11886),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[2]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_2 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[3]  (
+	.CLK(CTS_108),
+	.D(n_11897),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[3]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_2 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[4]  (
+	.CLK(CTS_108),
+	.D(n_11902),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[4]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_2 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[5]  (
+	.CLK(CTS_108),
+	.D(n_11915),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[5]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_2 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[6]  (
+	.CLK(CTS_108),
+	.D(n_11917),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[6]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_2 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[7]  (
+	.CLK(CTS_108),
+	.D(n_11928),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[7]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[8]  (
+	.CLK(CTS_108),
+	.D(n_70109),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[8]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_2 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[9]  (
+	.CLK(CTS_108),
+	.D(n_11942),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[9]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_2 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[10]  (
+	.CLK(CTS_108),
+	.D(n_11949),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[10]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_2 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[11]  (
+	.CLK(CTS_108),
+	.D(n_11956),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[11]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_2 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[12]  (
+	.CLK(CTS_108),
+	.D(n_11964),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[12]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_2 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[13]  (
+	.CLK(CTS_108),
+	.D(n_11973),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[13]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_2 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[14]  (
+	.CLK(CTS_108),
+	.D(n_11981),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[14]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_2 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[15]  (
+	.CLK(CTS_108),
+	.D(n_11988),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[15]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_2 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[16]  (
+	.CLK(CTS_108),
+	.D(n_11996),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[16]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[17]  (
+	.CLK(CTS_108),
+	.D(n_12002),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[17]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[18]  (
+	.CLK(CTS_108),
+	.D(n_12012),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[18]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_2 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[20]  (
+	.CLK(CTS_108),
+	.D(n_70363),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[20]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_2 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[21]  (
+	.CLK(CTS_108),
+	.D(n_12040),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[21]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[22]  (
+	.CLK(CTS_108),
+	.D(n_12050),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[22]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[23]  (
+	.CLK(CTS_109),
+	.D(n_12049),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[23]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[24]  (
+	.CLK(CTS_109),
+	.D(n_12056),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[24]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[26]  (
+	.CLK(CTS_109),
+	.D(n_12070),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[26]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[27]  (
+	.CLK(CTS_109),
+	.D(n_12079),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[27]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[28]  (
+	.CLK(CTS_109),
+	.D(n_12084),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[28]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[29]  (
+	.CLK(CTS_108),
+	.D(n_12090),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[29]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[30]  (
+	.CLK(CTS_108),
+	.D(n_69811),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[30]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[31]  (
+	.CLK(CTS_108),
+	.D(n_11812),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[31]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_we_wb_q_reg  (
+	.CLK(CTS_61),
+	.D(n_4367),
+	.Q(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_we_wb_q ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_count_q_reg  (
+	.CLK(CTS_61),
+	.D(n_4356),
+	.Q(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_count_q ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q_reg[0]  (
+	.CLK(CTS_61),
+	.D(n_3259),
+	.Q(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[1]  (
+	.CLK(CTS_149),
+	.D(n_2835),
+	.Q(soc_top_u_top_u_core_pc_wb[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[2]  (
+	.CLK(CTS_68),
+	.D(n_2805),
+	.Q(soc_top_u_top_u_core_pc_wb[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[3]  (
+	.CLK(CTS_68),
+	.D(n_2806),
+	.Q(soc_top_u_top_u_core_pc_wb[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[4]  (
+	.CLK(CTS_68),
+	.D(n_2830),
+	.Q(soc_top_u_top_u_core_pc_wb[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[5]  (
+	.CLK(CTS_68),
+	.D(n_2807),
+	.Q(soc_top_u_top_u_core_pc_wb[5]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[6]  (
+	.CLK(CTS_68),
+	.D(n_2808),
+	.Q(soc_top_u_top_u_core_pc_wb[6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[7]  (
+	.CLK(CTS_68),
+	.D(n_2833),
+	.Q(soc_top_u_top_u_core_pc_wb[7]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[8]  (
+	.CLK(CTS_68),
+	.D(n_2809),
+	.Q(soc_top_u_top_u_core_pc_wb[8]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[9]  (
+	.CLK(CTS_149),
+	.D(n_2832),
+	.Q(soc_top_u_top_u_core_pc_wb[9]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[10]  (
+	.CLK(CTS_149),
+	.D(n_2831),
+	.Q(soc_top_u_top_u_core_pc_wb[10]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[11]  (
+	.CLK(CTS_68),
+	.D(n_2810),
+	.Q(soc_top_u_top_u_core_pc_wb[11]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[12]  (
+	.CLK(CTS_149),
+	.D(n_2811),
+	.Q(soc_top_u_top_u_core_pc_wb[12]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[13]  (
+	.CLK(CTS_149),
+	.D(n_2812),
+	.Q(soc_top_u_top_u_core_pc_wb[13]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[14]  (
+	.CLK(CTS_149),
+	.D(n_2813),
+	.Q(soc_top_u_top_u_core_pc_wb[14]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[15]  (
+	.CLK(CTS_149),
+	.D(n_2829),
+	.Q(soc_top_u_top_u_core_pc_wb[15]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[16]  (
+	.CLK(CTS_86),
+	.D(n_2814),
+	.Q(soc_top_u_top_u_core_pc_wb[16]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[17]  (
+	.CLK(CTS_85),
+	.D(n_2820),
+	.Q(soc_top_u_top_u_core_pc_wb[17]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[18]  (
+	.CLK(CTS_85),
+	.D(n_2815),
+	.Q(soc_top_u_top_u_core_pc_wb[18]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[19]  (
+	.CLK(CTS_85),
+	.D(n_2821),
+	.Q(soc_top_u_top_u_core_pc_wb[19]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[20]  (
+	.CLK(CTS_86),
+	.D(n_2827),
+	.Q(soc_top_u_top_u_core_pc_wb[20]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[21]  (
+	.CLK(CTS_85),
+	.D(n_2828),
+	.Q(soc_top_u_top_u_core_pc_wb[21]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[22]  (
+	.CLK(CTS_85),
+	.D(n_2816),
+	.Q(soc_top_u_top_u_core_pc_wb[22]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[23]  (
+	.CLK(CTS_85),
+	.D(n_2822),
+	.Q(soc_top_u_top_u_core_pc_wb[23]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[24]  (
+	.CLK(CTS_85),
+	.D(n_2817),
+	.Q(soc_top_u_top_u_core_pc_wb[24]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[25]  (
+	.CLK(CTS_71),
+	.D(n_2834),
+	.Q(soc_top_u_top_u_core_pc_wb[25]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[26]  (
+	.CLK(CTS_71),
+	.D(n_2818),
+	.Q(soc_top_u_top_u_core_pc_wb[26]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[27]  (
+	.CLK(CTS_71),
+	.D(n_2826),
+	.Q(soc_top_u_top_u_core_pc_wb[27]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[28]  (
+	.CLK(CTS_71),
+	.D(n_2825),
+	.Q(soc_top_u_top_u_core_pc_wb[28]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[29]  (
+	.CLK(CTS_149),
+	.D(n_2824),
+	.Q(soc_top_u_top_u_core_pc_wb[29]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[30]  (
+	.CLK(CTS_149),
+	.D(n_2819),
+	.Q(soc_top_u_top_u_core_pc_wb[30]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[31]  (
+	.CLK(CTS_149),
+	.D(n_2823),
+	.Q(soc_top_u_top_u_core_pc_wb[31]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q_reg  (
+	.CLK(CTS_61),
+	.D(n_1457),
+	.Q(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 soc_top_u_uart_u_reg_if_outstanding_reg (
+	.CLK(CTS_152),
+	.D(\soc_top_uart_to_xbar[d_valid] ),
+	.Q(\soc_top_uart_to_xbar[d_valid] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_20),
+	.SCE(n_1398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[0]  (
+	.CLK(CTS_117),
+	.D(n_6442),
+	.Q(\soc_top_uart_to_xbar[d_data] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[1]  (
+	.CLK(CTS_49),
+	.D(n_4633),
+	.Q(\soc_top_uart_to_xbar[d_data] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[2]  (
+	.CLK(CTS_49),
+	.D(n_4640),
+	.Q(\soc_top_uart_to_xbar[d_data] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[3]  (
+	.CLK(CTS_49),
+	.D(n_4639),
+	.Q(\soc_top_uart_to_xbar[d_data] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[4]  (
+	.CLK(CTS_49),
+	.D(n_4638),
+	.Q(\soc_top_uart_to_xbar[d_data] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[5]  (
+	.CLK(CTS_49),
+	.D(n_4634),
+	.Q(\soc_top_uart_to_xbar[d_data] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[6]  (
+	.CLK(CTS_49),
+	.D(n_4637),
+	.Q(\soc_top_uart_to_xbar[d_data] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[7]  (
+	.CLK(CTS_49),
+	.D(n_4635),
+	.Q(\soc_top_uart_to_xbar[d_data] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[8]  (
+	.CLK(CTS_49),
+	.D(n_4636),
+	.Q(\soc_top_uart_to_xbar[d_data] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[9]  (
+	.CLK(CTS_49),
+	.D(n_3660),
+	.Q(\soc_top_uart_to_xbar[d_data] [9]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[10]  (
+	.CLK(CTS_48),
+	.D(n_3616),
+	.Q(\soc_top_uart_to_xbar[d_data] [10]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[11]  (
+	.CLK(CTS_49),
+	.D(n_3626),
+	.Q(\soc_top_uart_to_xbar[d_data] [11]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[12]  (
+	.CLK(CTS_130),
+	.D(n_3659),
+	.Q(\soc_top_uart_to_xbar[d_data] [12]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[13]  (
+	.CLK(CTS_49),
+	.D(n_3658),
+	.Q(\soc_top_uart_to_xbar[d_data] [13]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[14]  (
+	.CLK(CTS_117),
+	.D(n_3657),
+	.Q(\soc_top_uart_to_xbar[d_data] [14]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[15]  (
+	.CLK(CTS_117),
+	.D(n_3656),
+	.Q(\soc_top_uart_to_xbar[d_data] [15]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[16]  (
+	.CLK(CTS_47),
+	.D(n_3618),
+	.Q(\soc_top_uart_to_xbar[d_data] [16]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[17]  (
+	.CLK(CTS_51),
+	.D(n_3655),
+	.Q(\soc_top_uart_to_xbar[d_data] [17]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[18]  (
+	.CLK(CTS_51),
+	.D(n_3619),
+	.Q(\soc_top_uart_to_xbar[d_data] [18]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[19]  (
+	.CLK(CTS_47),
+	.D(n_3625),
+	.Q(\soc_top_uart_to_xbar[d_data] [19]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[20]  (
+	.CLK(CTS_51),
+	.D(n_3654),
+	.Q(\soc_top_uart_to_xbar[d_data] [20]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[21]  (
+	.CLK(CTS_47),
+	.D(n_3620),
+	.Q(\soc_top_uart_to_xbar[d_data] [21]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[22]  (
+	.CLK(CTS_47),
+	.D(n_3621),
+	.Q(\soc_top_uart_to_xbar[d_data] [22]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[23]  (
+	.CLK(CTS_51),
+	.D(n_3622),
+	.Q(\soc_top_uart_to_xbar[d_data] [23]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[24]  (
+	.CLK(CTS_51),
+	.D(n_3649),
+	.Q(\soc_top_uart_to_xbar[d_data] [24]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[25]  (
+	.CLK(CTS_47),
+	.D(n_3653),
+	.Q(\soc_top_uart_to_xbar[d_data] [25]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[26]  (
+	.CLK(CTS_47),
+	.D(n_3623),
+	.Q(\soc_top_uart_to_xbar[d_data] [26]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[27]  (
+	.CLK(CTS_47),
+	.D(n_3633),
+	.Q(\soc_top_uart_to_xbar[d_data] [27]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[28]  (
+	.CLK(CTS_51),
+	.D(n_3627),
+	.Q(\soc_top_uart_to_xbar[d_data] [28]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[29]  (
+	.CLK(CTS_47),
+	.D(n_3629),
+	.Q(\soc_top_uart_to_xbar[d_data] [29]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[30]  (
+	.CLK(CTS_51),
+	.D(n_3630),
+	.Q(\soc_top_uart_to_xbar[d_data] [30]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[31]  (
+	.CLK(CTS_51),
+	.D(n_3632),
+	.Q(\soc_top_uart_to_xbar[d_data] [31]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rspop_reg[0]  (
+	.CLK(CTS_152),
+	.D(n_1974),
+	.Q(\soc_top_uart_to_xbar[d_opcode] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_control_reg[0]  (
+	.CLK(CTS_125),
+	.D(soc_top_u_uart_u_uart_core_control[0]),
+	.Q(soc_top_u_uart_u_uart_core_control[0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_84419),
+	.SCE(n_2990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_control_reg[1]  (
+	.CLK(CTS_125),
+	.D(soc_top_u_uart_u_uart_core_control[1]),
+	.Q(soc_top_u_uart_u_uart_core_control[1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_75549),
+	.SCE(n_2990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_control_reg[2]  (
+	.CLK(CTS_125),
+	.D(soc_top_u_uart_u_uart_core_control[2]),
+	.Q(soc_top_u_uart_u_uart_core_control[2]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_87578),
+	.SCE(n_2990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_control_reg[3]  (
+	.CLK(CTS_125),
+	.D(soc_top_u_uart_u_uart_core_control[3]),
+	.Q(soc_top_u_uart_u_uart_core_control[3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_84427),
+	.SCE(n_2990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_control_reg[4]  (
+	.CLK(CTS_125),
+	.D(soc_top_u_uart_u_uart_core_control[4]),
+	.Q(soc_top_u_uart_u_uart_core_control[4]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_70008),
+	.SCE(n_2990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_control_reg[5]  (
+	.CLK(CTS_125),
+	.D(soc_top_u_uart_u_uart_core_control[5]),
+	.Q(soc_top_u_uart_u_uart_core_control[5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_84455),
+	.SCE(n_2990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_control_reg[6]  (
+	.CLK(CTS_125),
+	.D(soc_top_u_uart_u_uart_core_control[6]),
+	.Q(soc_top_u_uart_u_uart_core_control[6]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_84431),
+	.SCE(n_2990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_control_reg[7]  (
+	.CLK(CTS_125),
+	.D(soc_top_u_uart_u_uart_core_control[7]),
+	.Q(soc_top_u_uart_u_uart_core_control[7]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_70004),
+	.SCE(n_2990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_control_reg[8]  (
+	.CLK(CTS_125),
+	.D(soc_top_u_uart_u_uart_core_control[8]),
+	.Q(soc_top_u_uart_u_uart_core_control[8]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_93122),
+	.SCE(n_2990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_control_reg[9]  (
+	.CLK(CTS_125),
+	.D(soc_top_u_uart_u_uart_core_control[9]),
+	.Q(soc_top_u_uart_u_uart_core_control[9]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_72528),
+	.SCE(n_2990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_control_reg[10]  (
+	.CLK(CTS_125),
+	.D(soc_top_u_uart_u_uart_core_control[10]),
+	.Q(soc_top_u_uart_u_uart_core_control[10]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_70064),
+	.SCE(n_2990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_control_reg[11]  (
+	.CLK(CTS_125),
+	.D(soc_top_u_uart_u_uart_core_control[11]),
+	.Q(soc_top_u_uart_u_uart_core_control[11]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_69280),
+	.SCE(n_2990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_control_reg[12]  (
+	.CLK(CTS_125),
+	.D(soc_top_u_uart_u_uart_core_control[12]),
+	.Q(soc_top_u_uart_u_uart_core_control[12]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_74612),
+	.SCE(n_2990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_control_reg[13]  (
+	.CLK(CTS_125),
+	.D(soc_top_u_uart_u_uart_core_control[13]),
+	.Q(soc_top_u_uart_u_uart_core_control[13]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_72176),
+	.SCE(n_2990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_control_reg[14]  (
+	.CLK(CTS_125),
+	.D(soc_top_u_uart_u_uart_core_control[14]),
+	.Q(soc_top_u_uart_u_uart_core_control[14]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_xbar_to_timer[a_data] [14]),
+	.SCE(n_2990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_control_reg[15]  (
+	.CLK(CTS_124),
+	.D(soc_top_u_uart_u_uart_core_control[15]),
+	.Q(soc_top_u_uart_u_uart_core_control[15]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_70012),
+	.SCE(n_2990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 soc_top_u_uart_u_uart_core_read_fifo_buffer_empty_reg (
+	.CLK(CTS_48),
+	.D(n_675),
+	.Q(soc_top_u_uart_u_uart_core_read_fifo_buffer_empty),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_uart_u_uart_core_read_fifo_buffer_empty),
+	.SCE(n_1968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[0][1]  (
+	.CLK(CTS_43),
+	.D(n_6439),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[0] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[0][2]  (
+	.CLK(CTS_43),
+	.D(n_6438),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[0] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[0][3]  (
+	.CLK(CTS_43),
+	.D(n_6436),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[0] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[0][4]  (
+	.CLK(CTS_43),
+	.D(n_6435),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[0] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[0][5]  (
+	.CLK(CTS_43),
+	.D(n_6434),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[0] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[0][6]  (
+	.CLK(CTS_43),
+	.D(n_6433),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[0] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[0][7]  (
+	.CLK(CTS_43),
+	.D(n_6432),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[0] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[0][8]  (
+	.CLK(CTS_43),
+	.D(n_5006),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[0] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[1][1]  (
+	.CLK(CTS_119),
+	.D(n_5011),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[1] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[1][2]  (
+	.CLK(CTS_45),
+	.D(n_6430),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[1] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[1][3]  (
+	.CLK(CTS_45),
+	.D(n_5012),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[1] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[1][4]  (
+	.CLK(CTS_45),
+	.D(n_6428),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[1] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[1][5]  (
+	.CLK(CTS_45),
+	.D(n_6427),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[1] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[1][6]  (
+	.CLK(CTS_122),
+	.D(n_6426),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[1] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[1][7]  (
+	.CLK(CTS_119),
+	.D(n_6425),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[1] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[1][8]  (
+	.CLK(CTS_45),
+	.D(n_6424),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[1] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[2][1]  (
+	.CLK(CTS_48),
+	.D(n_6423),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[2] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[2][2]  (
+	.CLK(CTS_48),
+	.D(n_6422),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[2] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[2][3]  (
+	.CLK(CTS_48),
+	.D(n_6421),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[2] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[2][4]  (
+	.CLK(CTS_48),
+	.D(n_6420),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[2] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[2][5]  (
+	.CLK(CTS_48),
+	.D(n_6419),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[2] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[2][6]  (
+	.CLK(CTS_48),
+	.D(n_5054),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[2] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[2][7]  (
+	.CLK(CTS_48),
+	.D(n_6418),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[2] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[2][8]  (
+	.CLK(CTS_48),
+	.D(n_6417),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[2] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[3][1]  (
+	.CLK(CTS_47),
+	.D(n_6416),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[3] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[3][2]  (
+	.CLK(CTS_37),
+	.D(n_6415),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[3] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[3][3]  (
+	.CLK(CTS_47),
+	.D(n_7034),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[3] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[3][4]  (
+	.CLK(CTS_47),
+	.D(n_6413),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[3] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[3][5]  (
+	.CLK(CTS_37),
+	.D(n_6412),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[3] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[3][6]  (
+	.CLK(CTS_37),
+	.D(n_6411),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[3] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[3][7]  (
+	.CLK(CTS_37),
+	.D(n_6410),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[3] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[3][8]  (
+	.CLK(CTS_37),
+	.D(n_6409),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[3] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[4][1]  (
+	.CLK(CTS_50),
+	.D(n_6408),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[4] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[4][2]  (
+	.CLK(CTS_32),
+	.D(n_6406),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[4] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[4][3]  (
+	.CLK(CTS_50),
+	.D(n_6405),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[4] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[4][4]  (
+	.CLK(CTS_32),
+	.D(n_6404),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[4] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[4][5]  (
+	.CLK(CTS_32),
+	.D(n_6403),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[4] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[4][6]  (
+	.CLK(CTS_50),
+	.D(n_5120),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[4] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[4][7]  (
+	.CLK(CTS_50),
+	.D(n_6402),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[4] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[4][8]  (
+	.CLK(CTS_50),
+	.D(n_5126),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[4] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[5][1]  (
+	.CLK(CTS_50),
+	.D(n_5138),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[5] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[5][2]  (
+	.CLK(CTS_32),
+	.D(n_6401),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[5] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[5][3]  (
+	.CLK(CTS_50),
+	.D(n_6400),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[5] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[5][4]  (
+	.CLK(CTS_50),
+	.D(n_6399),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[5] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[5][5]  (
+	.CLK(CTS_32),
+	.D(n_5172),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[5] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[5][6]  (
+	.CLK(CTS_32),
+	.D(n_6398),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[5] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[5][7]  (
+	.CLK(CTS_32),
+	.D(n_6397),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[5] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[5][8]  (
+	.CLK(CTS_50),
+	.D(n_5191),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[5] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[6][1]  (
+	.CLK(CTS_40),
+	.D(n_5207),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[6] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[6][2]  (
+	.CLK(CTS_40),
+	.D(n_6395),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[6] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[6][3]  (
+	.CLK(CTS_40),
+	.D(n_6394),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[6] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[6][4]  (
+	.CLK(CTS_40),
+	.D(n_6393),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[6] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[6][5]  (
+	.CLK(CTS_40),
+	.D(n_6392),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[6] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[6][6]  (
+	.CLK(CTS_40),
+	.D(n_6391),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[6] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[6][7]  (
+	.CLK(CTS_40),
+	.D(n_6390),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[6] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[6][8]  (
+	.CLK(CTS_40),
+	.D(n_6918),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[6] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[7][1]  (
+	.CLK(CTS_53),
+	.D(n_6839),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[7] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[7][2]  (
+	.CLK(CTS_53),
+	.D(n_6388),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[7] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[7][3]  (
+	.CLK(CTS_31),
+	.D(n_6448),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[7] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[7][4]  (
+	.CLK(CTS_53),
+	.D(n_6387),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[7] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[7][5]  (
+	.CLK(CTS_53),
+	.D(n_6456),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[7] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[7][6]  (
+	.CLK(CTS_31),
+	.D(n_6386),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[7] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[7][7]  (
+	.CLK(CTS_53),
+	.D(n_6469),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[7] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[7][8]  (
+	.CLK(CTS_53),
+	.D(n_5005),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[7] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[8][1]  (
+	.CLK(CTS_43),
+	.D(n_6485),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[8] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[8][2]  (
+	.CLK(CTS_43),
+	.D(n_6385),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[8] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[8][3]  (
+	.CLK(CTS_43),
+	.D(n_6384),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[8] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[8][4]  (
+	.CLK(CTS_43),
+	.D(n_6383),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[8] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[8][5]  (
+	.CLK(CTS_43),
+	.D(n_6382),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[8] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[8][6]  (
+	.CLK(CTS_43),
+	.D(n_6381),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[8] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[8][7]  (
+	.CLK(CTS_43),
+	.D(n_6490),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[8] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[8][8]  (
+	.CLK(CTS_43),
+	.D(n_6380),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[8] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[9][1]  (
+	.CLK(CTS_49),
+	.D(n_6379),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[9] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[9][2]  (
+	.CLK(CTS_49),
+	.D(n_6378),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[9] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[9][3]  (
+	.CLK(CTS_49),
+	.D(n_6377),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[9] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[9][4]  (
+	.CLK(CTS_49),
+	.D(n_6376),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[9] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[9][5]  (
+	.CLK(CTS_119),
+	.D(n_6375),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[9] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[9][6]  (
+	.CLK(CTS_49),
+	.D(n_6374),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[9] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[9][7]  (
+	.CLK(CTS_119),
+	.D(n_6373),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[9] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[9][8]  (
+	.CLK(CTS_49),
+	.D(n_6372),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[9] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[10][1]  (
+	.CLK(CTS_48),
+	.D(n_6371),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[10] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[10][2]  (
+	.CLK(CTS_46),
+	.D(n_6580),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[10] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[10][3]  (
+	.CLK(CTS_46),
+	.D(n_6573),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[10] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[10][4]  (
+	.CLK(CTS_48),
+	.D(n_6576),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[10] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[10][5]  (
+	.CLK(CTS_48),
+	.D(n_6584),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[10] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[10][6]  (
+	.CLK(CTS_46),
+	.D(n_6370),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[10] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[10][7]  (
+	.CLK(CTS_48),
+	.D(n_6369),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[10] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[10][8]  (
+	.CLK(CTS_46),
+	.D(n_6368),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[10] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[11][1]  (
+	.CLK(CTS_47),
+	.D(n_6367),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[11] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[11][2]  (
+	.CLK(CTS_47),
+	.D(n_6366),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[11] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[11][3]  (
+	.CLK(CTS_52),
+	.D(n_6651),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[11] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[11][4]  (
+	.CLK(CTS_47),
+	.D(n_6365),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[11] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[11][5]  (
+	.CLK(CTS_47),
+	.D(n_6648),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[11] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[11][6]  (
+	.CLK(CTS_47),
+	.D(n_6643),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[11] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[11][7]  (
+	.CLK(CTS_52),
+	.D(n_6364),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[11] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[11][8]  (
+	.CLK(CTS_52),
+	.D(n_6649),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[11] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[12][1]  (
+	.CLK(CTS_56),
+	.D(n_6656),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[12] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[12][2]  (
+	.CLK(CTS_38),
+	.D(n_6363),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[12] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[12][3]  (
+	.CLK(CTS_56),
+	.D(n_6362),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[12] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[12][4]  (
+	.CLK(CTS_35),
+	.D(n_6361),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[12] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[12][5]  (
+	.CLK(CTS_56),
+	.D(n_6360),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[12] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[12][6]  (
+	.CLK(CTS_42),
+	.D(n_6359),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[12] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[12][7]  (
+	.CLK(CTS_35),
+	.D(n_6358),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[12] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[12][8]  (
+	.CLK(CTS_35),
+	.D(n_6357),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[12] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[13][1]  (
+	.CLK(CTS_35),
+	.D(n_6356),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[13] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[13][2]  (
+	.CLK(CTS_39),
+	.D(n_6354),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[13] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[13][3]  (
+	.CLK(CTS_39),
+	.D(n_6353),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[13] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[13][4]  (
+	.CLK(CTS_39),
+	.D(n_5144),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[13] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[13][5]  (
+	.CLK(CTS_35),
+	.D(n_6352),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[13] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[13][6]  (
+	.CLK(CTS_39),
+	.D(n_6351),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[13] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[13][7]  (
+	.CLK(CTS_35),
+	.D(n_6350),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[13] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[13][8]  (
+	.CLK(CTS_39),
+	.D(n_4822),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[13] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[14][1]  (
+	.CLK(CTS_50),
+	.D(n_6349),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[14] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[14][2]  (
+	.CLK(CTS_40),
+	.D(n_6348),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[14] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[14][3]  (
+	.CLK(CTS_40),
+	.D(n_6347),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[14] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[14][4]  (
+	.CLK(CTS_50),
+	.D(n_6346),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[14] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[14][5]  (
+	.CLK(CTS_40),
+	.D(n_6345),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[14] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[14][6]  (
+	.CLK(CTS_40),
+	.D(n_6344),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[14] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[14][7]  (
+	.CLK(CTS_50),
+	.D(n_6343),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[14] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[14][8]  (
+	.CLK(CTS_50),
+	.D(n_4982),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[14] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[15][1]  (
+	.CLK(CTS_52),
+	.D(n_6342),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[15] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[15][2]  (
+	.CLK(CTS_31),
+	.D(n_5151),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[15] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[15][3]  (
+	.CLK(CTS_31),
+	.D(n_6341),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[15] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[15][4]  (
+	.CLK(CTS_36),
+	.D(n_5056),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[15] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[15][5]  (
+	.CLK(CTS_31),
+	.D(n_6340),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[15] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[15][6]  (
+	.CLK(CTS_31),
+	.D(n_5066),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[15] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[15][7]  (
+	.CLK(CTS_36),
+	.D(n_5068),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[15] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[15][8]  (
+	.CLK(CTS_52),
+	.D(n_6339),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[15] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[16][1]  (
+	.CLK(CTS_42),
+	.D(n_6338),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[16] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[16][2]  (
+	.CLK(CTS_42),
+	.D(n_6336),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[16] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[16][3]  (
+	.CLK(CTS_42),
+	.D(n_6335),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[16] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[16][4]  (
+	.CLK(CTS_42),
+	.D(n_6334),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[16] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[16][5]  (
+	.CLK(CTS_42),
+	.D(n_5096),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[16] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[16][6]  (
+	.CLK(CTS_56),
+	.D(n_6333),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[16] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[16][7]  (
+	.CLK(CTS_42),
+	.D(n_6049),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[16] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[16][8]  (
+	.CLK(CTS_42),
+	.D(n_6330),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[16] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[17][1]  (
+	.CLK(CTS_122),
+	.D(n_6329),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[17] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[17][2]  (
+	.CLK(CTS_122),
+	.D(n_6328),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[17] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[17][3]  (
+	.CLK(CTS_45),
+	.D(n_6327),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[17] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[17][4]  (
+	.CLK(CTS_122),
+	.D(n_6710),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[17] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[17][5]  (
+	.CLK(CTS_122),
+	.D(n_6326),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[17] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[17][6]  (
+	.CLK(CTS_122),
+	.D(n_6325),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[17] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[17][7]  (
+	.CLK(CTS_122),
+	.D(n_6082),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[17] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[17][8]  (
+	.CLK(CTS_122),
+	.D(n_6044),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[17] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[18][1]  (
+	.CLK(CTS_117),
+	.D(n_6324),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[18] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[18][2]  (
+	.CLK(CTS_130),
+	.D(n_6322),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[18] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[18][3]  (
+	.CLK(CTS_48),
+	.D(n_6321),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[18] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[18][4]  (
+	.CLK(CTS_130),
+	.D(n_6114),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[18] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[18][5]  (
+	.CLK(CTS_117),
+	.D(n_6320),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[18] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[18][6]  (
+	.CLK(CTS_117),
+	.D(n_6147),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[18] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[18][7]  (
+	.CLK(CTS_117),
+	.D(n_6158),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[18] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[18][8]  (
+	.CLK(CTS_130),
+	.D(n_6192),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[18] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[19][1]  (
+	.CLK(CTS_130),
+	.D(n_6318),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[19] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[19][2]  (
+	.CLK(CTS_130),
+	.D(n_6317),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[19] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[19][3]  (
+	.CLK(CTS_37),
+	.D(n_6316),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[19] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[19][4]  (
+	.CLK(CTS_48),
+	.D(n_6315),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[19] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[19][5]  (
+	.CLK(CTS_130),
+	.D(n_6314),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[19] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[19][6]  (
+	.CLK(CTS_130),
+	.D(n_6313),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[19] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[19][7]  (
+	.CLK(CTS_130),
+	.D(n_6270),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[19] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[19][8]  (
+	.CLK(CTS_130),
+	.D(n_6312),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[19] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[20][1]  (
+	.CLK(CTS_38),
+	.D(n_6311),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[20] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[20][2]  (
+	.CLK(CTS_38),
+	.D(n_6310),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[20] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[20][3]  (
+	.CLK(CTS_38),
+	.D(n_6309),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[20] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[20][4]  (
+	.CLK(CTS_38),
+	.D(n_6308),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[20] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[20][5]  (
+	.CLK(CTS_38),
+	.D(n_6307),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[20] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[20][6]  (
+	.CLK(CTS_38),
+	.D(n_6306),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[20] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[20][7]  (
+	.CLK(CTS_38),
+	.D(n_6305),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[20] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[20][8]  (
+	.CLK(CTS_38),
+	.D(n_6304),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[20] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[21][1]  (
+	.CLK(CTS_34),
+	.D(n_6443),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[21] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[21][2]  (
+	.CLK(CTS_34),
+	.D(n_6303),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[21] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[21][3]  (
+	.CLK(CTS_50),
+	.D(n_6302),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[21] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[21][4]  (
+	.CLK(CTS_34),
+	.D(n_6301),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[21] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[21][5]  (
+	.CLK(CTS_34),
+	.D(n_6300),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[21] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[21][6]  (
+	.CLK(CTS_34),
+	.D(n_6299),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[21] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[21][7]  (
+	.CLK(CTS_34),
+	.D(n_6298),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[21] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[21][8]  (
+	.CLK(CTS_34),
+	.D(n_6297),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[21] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[22][1]  (
+	.CLK(CTS_41),
+	.D(n_6296),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[22] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[22][2]  (
+	.CLK(CTS_40),
+	.D(n_5111),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[22] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[22][3]  (
+	.CLK(CTS_40),
+	.D(n_5074),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[22] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[22][4]  (
+	.CLK(CTS_44),
+	.D(n_5086),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[22] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[22][5]  (
+	.CLK(CTS_41),
+	.D(n_6295),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[22] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[22][6]  (
+	.CLK(CTS_41),
+	.D(n_6294),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[22] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[22][7]  (
+	.CLK(CTS_41),
+	.D(n_6293),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[22] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[22][8]  (
+	.CLK(CTS_41),
+	.D(n_5087),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[22] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[23][1]  (
+	.CLK(CTS_41),
+	.D(n_6292),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[23] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[23][2]  (
+	.CLK(CTS_53),
+	.D(n_6291),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[23] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[23][3]  (
+	.CLK(CTS_53),
+	.D(n_6290),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[23] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[23][4]  (
+	.CLK(CTS_53),
+	.D(n_6289),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[23] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[23][5]  (
+	.CLK(CTS_53),
+	.D(n_6288),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[23] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[23][6]  (
+	.CLK(CTS_41),
+	.D(n_6287),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[23] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[23][7]  (
+	.CLK(CTS_53),
+	.D(n_6286),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[23] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[23][8]  (
+	.CLK(CTS_41),
+	.D(n_6285),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[23] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[24][1]  (
+	.CLK(CTS_45),
+	.D(n_6554),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[24] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[24][2]  (
+	.CLK(CTS_45),
+	.D(n_6284),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[24] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[24][3]  (
+	.CLK(CTS_45),
+	.D(n_6283),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[24] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[24][4]  (
+	.CLK(CTS_33),
+	.D(n_6534),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[24] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[24][5]  (
+	.CLK(CTS_45),
+	.D(n_6282),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[24] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[24][6]  (
+	.CLK(CTS_33),
+	.D(n_6281),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[24] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[24][7]  (
+	.CLK(CTS_33),
+	.D(n_5085),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[24] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[24][8]  (
+	.CLK(CTS_45),
+	.D(n_5014),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[24] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[25][1]  (
+	.CLK(CTS_119),
+	.D(n_6280),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[25] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[25][2]  (
+	.CLK(CTS_119),
+	.D(n_6279),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[25] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[25][3]  (
+	.CLK(CTS_45),
+	.D(n_6278),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[25] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[25][4]  (
+	.CLK(CTS_119),
+	.D(n_6277),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[25] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[25][5]  (
+	.CLK(CTS_119),
+	.D(n_6276),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[25] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[25][6]  (
+	.CLK(CTS_119),
+	.D(n_6274),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[25] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[25][7]  (
+	.CLK(CTS_119),
+	.D(n_6273),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[25] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[25][8]  (
+	.CLK(CTS_119),
+	.D(n_6272),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[25] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[26][1]  (
+	.CLK(CTS_56),
+	.D(n_6271),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[26] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[26][2]  (
+	.CLK(CTS_56),
+	.D(n_5015),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[26] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[26][3]  (
+	.CLK(CTS_38),
+	.D(n_5016),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[26] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[26][4]  (
+	.CLK(CTS_56),
+	.D(n_5018),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[26] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[26][5]  (
+	.CLK(CTS_46),
+	.D(n_6269),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[26] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[26][6]  (
+	.CLK(CTS_56),
+	.D(n_6268),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[26] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[26][7]  (
+	.CLK(CTS_38),
+	.D(n_5020),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[26] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[26][8]  (
+	.CLK(CTS_56),
+	.D(n_5021),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[26] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[27][1]  (
+	.CLK(CTS_47),
+	.D(n_5022),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[27] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[27][2]  (
+	.CLK(CTS_52),
+	.D(n_6266),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[27] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[27][3]  (
+	.CLK(CTS_52),
+	.D(n_5024),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[27] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[27][4]  (
+	.CLK(CTS_52),
+	.D(n_6265),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[27] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[27][5]  (
+	.CLK(CTS_47),
+	.D(n_6264),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[27] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[27][6]  (
+	.CLK(CTS_52),
+	.D(n_6263),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[27] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[27][7]  (
+	.CLK(CTS_36),
+	.D(n_5025),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[27] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[27][8]  (
+	.CLK(CTS_52),
+	.D(n_6262),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[27] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[28][1]  (
+	.CLK(CTS_42),
+	.D(n_6260),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[28] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[28][2]  (
+	.CLK(CTS_56),
+	.D(n_6259),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[28] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[28][3]  (
+	.CLK(CTS_42),
+	.D(n_5026),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[28] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[28][4]  (
+	.CLK(CTS_42),
+	.D(n_5027),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[28] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[28][5]  (
+	.CLK(CTS_42),
+	.D(n_6258),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[28] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[28][6]  (
+	.CLK(CTS_42),
+	.D(n_6257),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[28] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[28][7]  (
+	.CLK(CTS_56),
+	.D(n_5030),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[28] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[28][8]  (
+	.CLK(CTS_35),
+	.D(n_6256),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[28] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[29][1]  (
+	.CLK(CTS_39),
+	.D(n_6255),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[29] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[29][2]  (
+	.CLK(CTS_32),
+	.D(n_5029),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[29] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[29][3]  (
+	.CLK(CTS_32),
+	.D(n_6254),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[29] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[29][4]  (
+	.CLK(CTS_39),
+	.D(n_6253),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[29] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[29][5]  (
+	.CLK(CTS_39),
+	.D(n_6252),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[29] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[29][6]  (
+	.CLK(CTS_39),
+	.D(n_6251),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[29] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[29][7]  (
+	.CLK(CTS_39),
+	.D(n_6250),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[29] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[29][8]  (
+	.CLK(CTS_34),
+	.D(n_6249),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[29] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[30][1]  (
+	.CLK(CTS_44),
+	.D(n_6248),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[30] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[30][2]  (
+	.CLK(CTS_34),
+	.D(n_5034),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[30] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[30][3]  (
+	.CLK(CTS_50),
+	.D(n_5033),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[30] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[30][4]  (
+	.CLK(CTS_44),
+	.D(n_6247),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[30] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[30][5]  (
+	.CLK(CTS_44),
+	.D(n_6246),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[30] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[30][6]  (
+	.CLK(CTS_44),
+	.D(n_5036),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[30] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[30][7]  (
+	.CLK(CTS_50),
+	.D(n_6245),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[30] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[30][8]  (
+	.CLK(CTS_44),
+	.D(n_5038),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[30] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[31][1]  (
+	.CLK(CTS_31),
+	.D(n_5037),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[31] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[31][2]  (
+	.CLK(CTS_31),
+	.D(n_6244),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[31] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[31][3]  (
+	.CLK(CTS_31),
+	.D(n_6243),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[31] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[31][4]  (
+	.CLK(CTS_52),
+	.D(n_6242),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[31] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[31][5]  (
+	.CLK(CTS_31),
+	.D(n_6241),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[31] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[31][6]  (
+	.CLK(CTS_31),
+	.D(n_6240),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[31] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[31][7]  (
+	.CLK(CTS_31),
+	.D(n_6239),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[31] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[31][8]  (
+	.CLK(CTS_31),
+	.D(n_5040),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[31] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[32][1]  (
+	.CLK(CTS_33),
+	.D(n_6238),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[32] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[32][2]  (
+	.CLK(CTS_42),
+	.D(n_6237),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[32] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[32][3]  (
+	.CLK(CTS_33),
+	.D(n_6236),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[32] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[32][4]  (
+	.CLK(CTS_43),
+	.D(n_6235),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[32] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[32][5]  (
+	.CLK(CTS_43),
+	.D(n_6234),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[32] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[32][6]  (
+	.CLK(CTS_42),
+	.D(n_6233),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[32] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[32][7]  (
+	.CLK(CTS_33),
+	.D(n_6232),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[32] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[32][8]  (
+	.CLK(CTS_42),
+	.D(n_5041),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[32] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[33][1]  (
+	.CLK(CTS_122),
+	.D(n_6231),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[33] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[33][2]  (
+	.CLK(CTS_122),
+	.D(n_6230),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[33] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[33][3]  (
+	.CLK(CTS_45),
+	.D(n_6229),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[33] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[33][4]  (
+	.CLK(CTS_45),
+	.D(n_6228),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[33] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[33][5]  (
+	.CLK(CTS_122),
+	.D(n_6227),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[33] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[33][6]  (
+	.CLK(CTS_122),
+	.D(n_6226),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[33] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[33][7]  (
+	.CLK(CTS_122),
+	.D(n_6225),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[33] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[33][8]  (
+	.CLK(CTS_45),
+	.D(n_6224),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[33] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[34][1]  (
+	.CLK(CTS_117),
+	.D(n_6223),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[34] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[34][2]  (
+	.CLK(CTS_49),
+	.D(n_6222),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[34] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[34][3]  (
+	.CLK(CTS_49),
+	.D(n_5045),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[34] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[34][4]  (
+	.CLK(CTS_49),
+	.D(n_5046),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[34] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[34][5]  (
+	.CLK(CTS_49),
+	.D(n_5047),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[34] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[34][6]  (
+	.CLK(CTS_49),
+	.D(n_5050),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[34] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[34][7]  (
+	.CLK(CTS_117),
+	.D(n_6220),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[34] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[34][8]  (
+	.CLK(CTS_49),
+	.D(n_6219),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[34] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[35][1]  (
+	.CLK(CTS_37),
+	.D(n_5052),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[35] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[35][2]  (
+	.CLK(CTS_37),
+	.D(n_6218),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[35] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[35][3]  (
+	.CLK(CTS_37),
+	.D(n_5059),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[35] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[35][4]  (
+	.CLK(CTS_37),
+	.D(n_5057),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[35] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[35][5]  (
+	.CLK(CTS_37),
+	.D(n_5060),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[35] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[35][6]  (
+	.CLK(CTS_51),
+	.D(n_5067),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[35] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[35][7]  (
+	.CLK(CTS_37),
+	.D(n_5061),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[35] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[35][8]  (
+	.CLK(CTS_37),
+	.D(n_5063),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[35] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[36][1]  (
+	.CLK(CTS_38),
+	.D(n_5065),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[36] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[36][2]  (
+	.CLK(CTS_50),
+	.D(n_6217),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[36] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[36][3]  (
+	.CLK(CTS_36),
+	.D(n_6215),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[36] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[36][4]  (
+	.CLK(CTS_36),
+	.D(n_6214),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[36] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[36][5]  (
+	.CLK(CTS_38),
+	.D(n_6213),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[36] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[36][6]  (
+	.CLK(CTS_36),
+	.D(n_6212),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[36] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[36][7]  (
+	.CLK(CTS_36),
+	.D(n_6211),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[36] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[36][8]  (
+	.CLK(CTS_36),
+	.D(n_6210),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[36] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[37][1]  (
+	.CLK(CTS_34),
+	.D(n_6209),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[37] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[37][2]  (
+	.CLK(CTS_34),
+	.D(n_5069),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[37] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[37][3]  (
+	.CLK(CTS_44),
+	.D(n_5073),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[37] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[37][4]  (
+	.CLK(CTS_34),
+	.D(n_5072),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[37] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[37][5]  (
+	.CLK(CTS_34),
+	.D(n_5075),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[37] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[37][6]  (
+	.CLK(CTS_34),
+	.D(n_6208),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[37] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[37][7]  (
+	.CLK(CTS_34),
+	.D(n_5077),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[37] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[37][8]  (
+	.CLK(CTS_34),
+	.D(n_5076),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[37] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[38][1]  (
+	.CLK(CTS_41),
+	.D(n_6207),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[38] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[38][2]  (
+	.CLK(CTS_44),
+	.D(n_6206),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[38] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[38][3]  (
+	.CLK(CTS_44),
+	.D(n_6205),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[38] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[38][4]  (
+	.CLK(CTS_44),
+	.D(n_6204),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[38] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[38][5]  (
+	.CLK(CTS_41),
+	.D(n_5079),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[38] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[38][6]  (
+	.CLK(CTS_41),
+	.D(n_6203),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[38] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[38][7]  (
+	.CLK(CTS_41),
+	.D(n_6202),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[38] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[38][8]  (
+	.CLK(CTS_41),
+	.D(n_6201),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[38] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[39][1]  (
+	.CLK(CTS_41),
+	.D(n_6200),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[39] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[39][2]  (
+	.CLK(CTS_41),
+	.D(n_5082),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[39] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[39][3]  (
+	.CLK(CTS_41),
+	.D(n_6199),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[39] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[39][4]  (
+	.CLK(CTS_41),
+	.D(n_5083),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[39] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[39][5]  (
+	.CLK(CTS_41),
+	.D(n_5084),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[39] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[39][6]  (
+	.CLK(CTS_41),
+	.D(n_6198),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[39] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[39][7]  (
+	.CLK(CTS_41),
+	.D(n_6197),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[39] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[39][8]  (
+	.CLK(CTS_41),
+	.D(n_6196),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[39] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[40][1]  (
+	.CLK(CTS_45),
+	.D(n_5475),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[40] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[40][2]  (
+	.CLK(CTS_45),
+	.D(n_6195),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[40] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[40][3]  (
+	.CLK(CTS_45),
+	.D(n_6479),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[40] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[40][4]  (
+	.CLK(CTS_45),
+	.D(n_6194),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[40] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[40][5]  (
+	.CLK(CTS_43),
+	.D(n_6193),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[40] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[40][6]  (
+	.CLK(CTS_45),
+	.D(n_6191),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[40] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[40][7]  (
+	.CLK(CTS_45),
+	.D(n_6190),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[40] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[40][8]  (
+	.CLK(CTS_45),
+	.D(n_6189),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[40] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[41][1]  (
+	.CLK(CTS_117),
+	.D(n_6188),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[41] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[41][2]  (
+	.CLK(CTS_49),
+	.D(n_6187),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[41] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[41][3]  (
+	.CLK(CTS_49),
+	.D(n_6186),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[41] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[41][4]  (
+	.CLK(CTS_49),
+	.D(n_6184),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[41] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[41][5]  (
+	.CLK(CTS_117),
+	.D(n_6183),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[41] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[41][6]  (
+	.CLK(CTS_117),
+	.D(n_6182),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[41] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[41][7]  (
+	.CLK(CTS_117),
+	.D(n_6181),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[41] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[41][8]  (
+	.CLK(CTS_49),
+	.D(n_6180),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[41] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[42][1]  (
+	.CLK(CTS_46),
+	.D(n_6179),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[42] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[42][2]  (
+	.CLK(CTS_46),
+	.D(n_4863),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[42] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[42][3]  (
+	.CLK(CTS_46),
+	.D(n_6177),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[42] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[42][4]  (
+	.CLK(CTS_49),
+	.D(n_4864),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[42] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[42][5]  (
+	.CLK(CTS_46),
+	.D(n_4865),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[42] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[42][6]  (
+	.CLK(CTS_46),
+	.D(n_4867),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[42] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[42][7]  (
+	.CLK(CTS_49),
+	.D(n_6176),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[42] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[42][8]  (
+	.CLK(CTS_46),
+	.D(n_6175),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[42] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[43][1]  (
+	.CLK(CTS_47),
+	.D(n_6174),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[43] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[43][2]  (
+	.CLK(CTS_47),
+	.D(n_6173),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[43] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[43][3]  (
+	.CLK(CTS_52),
+	.D(n_4878),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[43] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[43][4]  (
+	.CLK(CTS_47),
+	.D(n_4880),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[43] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[43][5]  (
+	.CLK(CTS_47),
+	.D(n_6171),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[43] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[43][6]  (
+	.CLK(CTS_47),
+	.D(n_6170),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[43] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[43][7]  (
+	.CLK(CTS_52),
+	.D(n_6169),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[43] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[43][8]  (
+	.CLK(CTS_52),
+	.D(n_6168),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[43] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[44][1]  (
+	.CLK(CTS_35),
+	.D(n_4895),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[44] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[44][2]  (
+	.CLK(CTS_35),
+	.D(n_6166),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[44] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[44][3]  (
+	.CLK(CTS_39),
+	.D(n_6165),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[44] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[44][4]  (
+	.CLK(CTS_35),
+	.D(n_6164),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[44] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[44][5]  (
+	.CLK(CTS_35),
+	.D(n_6163),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[44] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[44][6]  (
+	.CLK(CTS_39),
+	.D(n_6162),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[44] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[44][7]  (
+	.CLK(CTS_35),
+	.D(n_6161),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[44] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[44][8]  (
+	.CLK(CTS_39),
+	.D(n_6160),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[44] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[45][1]  (
+	.CLK(CTS_39),
+	.D(n_6159),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[45] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[45][2]  (
+	.CLK(CTS_39),
+	.D(n_4994),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[45] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[45][3]  (
+	.CLK(CTS_39),
+	.D(n_4991),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[45] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[45][4]  (
+	.CLK(CTS_39),
+	.D(n_4992),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[45] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[45][5]  (
+	.CLK(CTS_39),
+	.D(n_4993),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[45] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[45][6]  (
+	.CLK(CTS_39),
+	.D(n_4998),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[45] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[45][7]  (
+	.CLK(CTS_39),
+	.D(n_4995),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[45] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[45][8]  (
+	.CLK(CTS_39),
+	.D(n_4997),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[45] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[46][1]  (
+	.CLK(CTS_44),
+	.D(n_6157),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[46] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[46][2]  (
+	.CLK(CTS_34),
+	.D(n_6156),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[46] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[46][3]  (
+	.CLK(CTS_44),
+	.D(n_6155),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[46] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[46][4]  (
+	.CLK(CTS_44),
+	.D(n_5001),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[46] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[46][5]  (
+	.CLK(CTS_44),
+	.D(n_6154),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[46] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[46][6]  (
+	.CLK(CTS_44),
+	.D(n_6153),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[46] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[46][7]  (
+	.CLK(CTS_50),
+	.D(n_5002),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[46] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[46][8]  (
+	.CLK(CTS_44),
+	.D(n_6152),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[46] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[47][1]  (
+	.CLK(CTS_31),
+	.D(n_6151),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[47] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[47][2]  (
+	.CLK(CTS_31),
+	.D(n_5004),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[47] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[47][3]  (
+	.CLK(CTS_31),
+	.D(n_6150),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[47] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[47][4]  (
+	.CLK(CTS_36),
+	.D(n_6149),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[47] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[47][5]  (
+	.CLK(CTS_36),
+	.D(n_6148),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[47] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[47][6]  (
+	.CLK(CTS_31),
+	.D(n_5008),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[47] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[47][7]  (
+	.CLK(CTS_36),
+	.D(n_5009),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[47] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[47][8]  (
+	.CLK(CTS_36),
+	.D(n_6146),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[47] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[48][1]  (
+	.CLK(CTS_56),
+	.D(n_5013),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[48] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[48][2]  (
+	.CLK(CTS_42),
+	.D(n_6145),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[48] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[48][3]  (
+	.CLK(CTS_56),
+	.D(n_6144),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[48] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[48][4]  (
+	.CLK(CTS_42),
+	.D(n_6143),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[48] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[48][5]  (
+	.CLK(CTS_56),
+	.D(n_6142),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[48] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[48][6]  (
+	.CLK(CTS_56),
+	.D(n_6141),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[48] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[48][7]  (
+	.CLK(CTS_56),
+	.D(n_5042),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[48] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[48][8]  (
+	.CLK(CTS_42),
+	.D(n_6140),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[48] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[49][1]  (
+	.CLK(CTS_122),
+	.D(n_6139),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[49] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[49][2]  (
+	.CLK(CTS_122),
+	.D(n_5049),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[49] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[49][3]  (
+	.CLK(CTS_45),
+	.D(n_5048),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[49] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[49][4]  (
+	.CLK(CTS_119),
+	.D(n_5055),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[49] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[49][5]  (
+	.CLK(CTS_122),
+	.D(n_5053),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[49] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[49][6]  (
+	.CLK(CTS_122),
+	.D(n_6138),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[49] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[49][7]  (
+	.CLK(CTS_119),
+	.D(n_5058),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[49] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[49][8]  (
+	.CLK(CTS_119),
+	.D(n_6136),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[49] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[50][1]  (
+	.CLK(CTS_48),
+	.D(n_5064),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[50] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[50][2]  (
+	.CLK(CTS_130),
+	.D(n_6135),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[50] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[50][3]  (
+	.CLK(CTS_48),
+	.D(n_6134),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[50] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[50][4]  (
+	.CLK(CTS_48),
+	.D(n_6133),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[50] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[50][5]  (
+	.CLK(CTS_130),
+	.D(n_6132),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[50] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[50][6]  (
+	.CLK(CTS_117),
+	.D(n_6131),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[50] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[50][7]  (
+	.CLK(CTS_48),
+	.D(n_5071),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[50] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[50][8]  (
+	.CLK(CTS_130),
+	.D(n_6130),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[50] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[51][1]  (
+	.CLK(CTS_37),
+	.D(n_6129),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[51] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[51][2]  (
+	.CLK(CTS_37),
+	.D(n_6128),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[51] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[51][3]  (
+	.CLK(CTS_37),
+	.D(n_5078),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[51] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[51][4]  (
+	.CLK(CTS_48),
+	.D(n_6127),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[51] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[51][5]  (
+	.CLK(CTS_37),
+	.D(n_5080),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[51] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[51][6]  (
+	.CLK(CTS_37),
+	.D(n_5081),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[51] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[51][7]  (
+	.CLK(CTS_37),
+	.D(n_6125),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[51] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[51][8]  (
+	.CLK(CTS_37),
+	.D(n_6124),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[51] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[52][1]  (
+	.CLK(CTS_38),
+	.D(n_6123),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[52] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[52][2]  (
+	.CLK(CTS_50),
+	.D(n_6122),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[52] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[52][3]  (
+	.CLK(CTS_38),
+	.D(n_6121),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[52] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[52][4]  (
+	.CLK(CTS_38),
+	.D(n_6120),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[52] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[52][5]  (
+	.CLK(CTS_38),
+	.D(n_6119),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[52] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[52][6]  (
+	.CLK(CTS_56),
+	.D(n_4919),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[52] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[52][7]  (
+	.CLK(CTS_56),
+	.D(n_6118),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[52] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[52][8]  (
+	.CLK(CTS_56),
+	.D(n_6117),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[52] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[53][1]  (
+	.CLK(CTS_34),
+	.D(n_6116),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[53] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[53][2]  (
+	.CLK(CTS_34),
+	.D(n_4922),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[53] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[53][3]  (
+	.CLK(CTS_32),
+	.D(n_6115),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[53] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[53][4]  (
+	.CLK(CTS_32),
+	.D(n_4868),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[53] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[53][5]  (
+	.CLK(CTS_50),
+	.D(n_4874),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[53] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[53][6]  (
+	.CLK(CTS_34),
+	.D(n_4869),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[53] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[53][7]  (
+	.CLK(CTS_34),
+	.D(n_6113),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[53] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[53][8]  (
+	.CLK(CTS_34),
+	.D(n_4871),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[53] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[54][1]  (
+	.CLK(CTS_41),
+	.D(n_6111),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[54] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[54][2]  (
+	.CLK(CTS_36),
+	.D(n_6110),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[54] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[54][3]  (
+	.CLK(CTS_36),
+	.D(n_4892),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[54] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[54][4]  (
+	.CLK(CTS_36),
+	.D(n_6108),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[54] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[54][5]  (
+	.CLK(CTS_40),
+	.D(n_6107),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[54] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[54][6]  (
+	.CLK(CTS_41),
+	.D(n_6106),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[54] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[54][7]  (
+	.CLK(CTS_40),
+	.D(n_6105),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[54] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[54][8]  (
+	.CLK(CTS_40),
+	.D(n_6104),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[54] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[55][1]  (
+	.CLK(CTS_53),
+	.D(n_6103),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[55] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[55][2]  (
+	.CLK(CTS_53),
+	.D(n_4921),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[55] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[55][3]  (
+	.CLK(CTS_53),
+	.D(n_6102),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[55] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[55][4]  (
+	.CLK(CTS_53),
+	.D(n_4972),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[55] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[55][5]  (
+	.CLK(CTS_53),
+	.D(n_6101),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[55] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[55][6]  (
+	.CLK(CTS_53),
+	.D(n_4966),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[55] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[55][7]  (
+	.CLK(CTS_53),
+	.D(n_4967),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[55] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[55][8]  (
+	.CLK(CTS_53),
+	.D(n_4969),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[55] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[56][1]  (
+	.CLK(CTS_43),
+	.D(n_6100),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[56] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[56][2]  (
+	.CLK(CTS_43),
+	.D(n_6099),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[56] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[56][3]  (
+	.CLK(CTS_33),
+	.D(n_6098),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[56] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[56][4]  (
+	.CLK(CTS_33),
+	.D(n_6097),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[56] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[56][5]  (
+	.CLK(CTS_33),
+	.D(n_6096),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[56] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[56][6]  (
+	.CLK(CTS_33),
+	.D(n_6095),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[56] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[56][7]  (
+	.CLK(CTS_33),
+	.D(n_6094),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[56] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[56][8]  (
+	.CLK(CTS_33),
+	.D(n_6093),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[56] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[57][1]  (
+	.CLK(CTS_119),
+	.D(n_6092),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[57] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[57][2]  (
+	.CLK(CTS_119),
+	.D(n_6091),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[57] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[57][3]  (
+	.CLK(CTS_45),
+	.D(n_4930),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[57] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[57][4]  (
+	.CLK(CTS_45),
+	.D(n_6090),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[57] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[57][5]  (
+	.CLK(CTS_119),
+	.D(n_4931),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[57] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[57][6]  (
+	.CLK(CTS_119),
+	.D(n_6089),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[57] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[57][7]  (
+	.CLK(CTS_119),
+	.D(n_6088),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[57] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[57][8]  (
+	.CLK(CTS_119),
+	.D(n_4934),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[57] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[58][1]  (
+	.CLK(CTS_46),
+	.D(n_4937),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[58] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[58][2]  (
+	.CLK(CTS_46),
+	.D(n_6087),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[58] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[58][3]  (
+	.CLK(CTS_36),
+	.D(n_6086),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[58] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[58][4]  (
+	.CLK(CTS_36),
+	.D(n_6085),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[58] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[58][5]  (
+	.CLK(CTS_46),
+	.D(n_6084),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[58] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[58][6]  (
+	.CLK(CTS_46),
+	.D(n_6083),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[58] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[58][7]  (
+	.CLK(CTS_36),
+	.D(n_4963),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[58] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[58][8]  (
+	.CLK(CTS_46),
+	.D(n_6081),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[58] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[59][1]  (
+	.CLK(CTS_47),
+	.D(n_6080),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[59] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[59][2]  (
+	.CLK(CTS_47),
+	.D(n_6566),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[59] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[59][3]  (
+	.CLK(CTS_52),
+	.D(n_6079),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[59] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[59][4]  (
+	.CLK(CTS_36),
+	.D(n_6579),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[59] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[59][5]  (
+	.CLK(CTS_36),
+	.D(n_6078),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[59] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[59][6]  (
+	.CLK(CTS_52),
+	.D(n_6077),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[59] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[59][7]  (
+	.CLK(CTS_52),
+	.D(n_6076),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[59] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[59][8]  (
+	.CLK(CTS_52),
+	.D(n_6075),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[59] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[60][1]  (
+	.CLK(CTS_42),
+	.D(n_4971),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[60] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[60][2]  (
+	.CLK(CTS_35),
+	.D(n_6074),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[60] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[60][3]  (
+	.CLK(CTS_42),
+	.D(n_6073),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[60] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[60][4]  (
+	.CLK(CTS_35),
+	.D(n_6072),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[60] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[60][5]  (
+	.CLK(CTS_42),
+	.D(n_6071),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[60] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[60][6]  (
+	.CLK(CTS_56),
+	.D(n_6070),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[60] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[60][7]  (
+	.CLK(CTS_56),
+	.D(n_6069),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[60] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[60][8]  (
+	.CLK(CTS_56),
+	.D(n_6068),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[60] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[61][1]  (
+	.CLK(CTS_32),
+	.D(n_6067),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[61] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[61][2]  (
+	.CLK(CTS_32),
+	.D(n_6066),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[61] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[61][3]  (
+	.CLK(CTS_32),
+	.D(n_6065),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[61] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[61][4]  (
+	.CLK(CTS_32),
+	.D(n_4926),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[61] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[61][5]  (
+	.CLK(CTS_39),
+	.D(n_6064),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[61] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[61][6]  (
+	.CLK(CTS_39),
+	.D(n_6063),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[61] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[61][7]  (
+	.CLK(CTS_34),
+	.D(n_6062),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[61] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[61][8]  (
+	.CLK(CTS_34),
+	.D(n_4928),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[61] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[62][1]  (
+	.CLK(CTS_40),
+	.D(n_6061),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[62] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[62][2]  (
+	.CLK(CTS_44),
+	.D(n_6060),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[62] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[62][3]  (
+	.CLK(CTS_50),
+	.D(n_6059),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[62] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[62][4]  (
+	.CLK(CTS_50),
+	.D(n_6058),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[62] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[62][5]  (
+	.CLK(CTS_44),
+	.D(n_6057),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[62] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[62][6]  (
+	.CLK(CTS_40),
+	.D(n_6056),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[62] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[62][7]  (
+	.CLK(CTS_50),
+	.D(n_6055),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[62] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[62][8]  (
+	.CLK(CTS_50),
+	.D(n_6054),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[62] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[63][1]  (
+	.CLK(CTS_31),
+	.D(n_6053),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[63] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[63][2]  (
+	.CLK(CTS_31),
+	.D(n_4896),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[63] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[63][3]  (
+	.CLK(CTS_31),
+	.D(n_4897),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[63] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[63][4]  (
+	.CLK(CTS_52),
+	.D(n_4898),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[63] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[63][5]  (
+	.CLK(CTS_52),
+	.D(n_6052),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[63] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[63][6]  (
+	.CLK(CTS_31),
+	.D(n_4901),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[63] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[63][7]  (
+	.CLK(CTS_36),
+	.D(n_6051),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[63] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[63][8]  (
+	.CLK(CTS_52),
+	.D(n_4802),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[63] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[64][1]  (
+	.CLK(CTS_42),
+	.D(n_4784),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[64] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[64][2]  (
+	.CLK(CTS_42),
+	.D(n_4785),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[64] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[64][3]  (
+	.CLK(CTS_43),
+	.D(n_6050),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[64] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[64][4]  (
+	.CLK(CTS_43),
+	.D(n_6048),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[64] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[64][5]  (
+	.CLK(CTS_43),
+	.D(n_6047),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[64] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[64][6]  (
+	.CLK(CTS_43),
+	.D(n_6046),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[64] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[64][7]  (
+	.CLK(CTS_43),
+	.D(n_6045),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[64] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[64][8]  (
+	.CLK(CTS_33),
+	.D(n_4782),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[64] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[65][1]  (
+	.CLK(CTS_122),
+	.D(n_6043),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[65] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[65][2]  (
+	.CLK(CTS_45),
+	.D(n_4783),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[65] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[65][3]  (
+	.CLK(CTS_45),
+	.D(n_6042),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[65] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[65][4]  (
+	.CLK(CTS_45),
+	.D(n_6040),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[65] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[65][5]  (
+	.CLK(CTS_45),
+	.D(n_6039),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[65] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[65][6]  (
+	.CLK(CTS_122),
+	.D(n_4788),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[65] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[65][7]  (
+	.CLK(CTS_119),
+	.D(n_4789),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[65] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[65][8]  (
+	.CLK(CTS_45),
+	.D(n_4792),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[65] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[66][1]  (
+	.CLK(CTS_48),
+	.D(n_4793),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[66] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[66][2]  (
+	.CLK(CTS_48),
+	.D(n_6037),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[66] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[66][3]  (
+	.CLK(CTS_48),
+	.D(n_4795),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[66] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[66][4]  (
+	.CLK(CTS_48),
+	.D(n_4797),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[66] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[66][5]  (
+	.CLK(CTS_48),
+	.D(n_6036),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[66] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[66][6]  (
+	.CLK(CTS_48),
+	.D(n_6035),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[66] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[66][7]  (
+	.CLK(CTS_48),
+	.D(n_6034),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[66] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[66][8]  (
+	.CLK(CTS_48),
+	.D(n_6033),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[66] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[67][1]  (
+	.CLK(CTS_47),
+	.D(n_6032),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[67] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[67][2]  (
+	.CLK(CTS_37),
+	.D(n_6031),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[67] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[67][3]  (
+	.CLK(CTS_47),
+	.D(n_6029),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[67] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[67][4]  (
+	.CLK(CTS_37),
+	.D(n_4826),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[67] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[67][5]  (
+	.CLK(CTS_37),
+	.D(n_6028),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[67] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[67][6]  (
+	.CLK(CTS_37),
+	.D(n_4820),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[67] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[67][7]  (
+	.CLK(CTS_37),
+	.D(n_4824),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[67] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[67][8]  (
+	.CLK(CTS_37),
+	.D(n_4825),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[67] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[68][1]  (
+	.CLK(CTS_50),
+	.D(n_6027),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[68] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[68][2]  (
+	.CLK(CTS_32),
+	.D(n_4827),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[68] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[68][3]  (
+	.CLK(CTS_50),
+	.D(n_6026),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[68] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[68][4]  (
+	.CLK(CTS_32),
+	.D(n_4828),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[68] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[68][5]  (
+	.CLK(CTS_32),
+	.D(n_4829),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[68] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[68][6]  (
+	.CLK(CTS_50),
+	.D(n_6025),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[68] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[68][7]  (
+	.CLK(CTS_50),
+	.D(n_6024),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[68] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[68][8]  (
+	.CLK(CTS_50),
+	.D(n_4833),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[68] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[69][1]  (
+	.CLK(CTS_50),
+	.D(n_6023),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[69] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[69][2]  (
+	.CLK(CTS_32),
+	.D(n_6022),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[69] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[69][3]  (
+	.CLK(CTS_50),
+	.D(n_6414),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[69] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[69][4]  (
+	.CLK(CTS_32),
+	.D(n_4836),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[69] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[69][5]  (
+	.CLK(CTS_32),
+	.D(n_6675),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[69] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[69][6]  (
+	.CLK(CTS_32),
+	.D(n_6681),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[69] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[69][7]  (
+	.CLK(CTS_32),
+	.D(n_6689),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[69] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[69][8]  (
+	.CLK(CTS_32),
+	.D(n_4838),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[69] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[70][1]  (
+	.CLK(CTS_40),
+	.D(n_4841),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[70] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[70][2]  (
+	.CLK(CTS_40),
+	.D(n_4840),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[70] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[70][3]  (
+	.CLK(CTS_40),
+	.D(n_4842),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[70] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[70][4]  (
+	.CLK(CTS_40),
+	.D(n_4844),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[70] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[70][5]  (
+	.CLK(CTS_40),
+	.D(n_6691),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[70] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[70][6]  (
+	.CLK(CTS_40),
+	.D(n_6696),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[70] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[70][7]  (
+	.CLK(CTS_40),
+	.D(n_6697),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[70] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[70][8]  (
+	.CLK(CTS_40),
+	.D(n_6705),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[70] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[71][1]  (
+	.CLK(CTS_53),
+	.D(n_6578),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[71] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[71][2]  (
+	.CLK(CTS_53),
+	.D(n_4902),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[71] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[71][3]  (
+	.CLK(CTS_53),
+	.D(n_5090),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[71] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[71][4]  (
+	.CLK(CTS_31),
+	.D(n_5112),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[71] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[71][5]  (
+	.CLK(CTS_53),
+	.D(n_6706),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[71] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[71][6]  (
+	.CLK(CTS_31),
+	.D(n_5116),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[71] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[71][7]  (
+	.CLK(CTS_53),
+	.D(n_5124),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[71] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[71][8]  (
+	.CLK(CTS_53),
+	.D(n_5129),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[71] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[72][1]  (
+	.CLK(CTS_43),
+	.D(n_5141),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[72] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[72][2]  (
+	.CLK(CTS_43),
+	.D(n_6709),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[72] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[72][3]  (
+	.CLK(CTS_43),
+	.D(n_6711),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[72] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[72][4]  (
+	.CLK(CTS_43),
+	.D(n_6714),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[72] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[72][5]  (
+	.CLK(CTS_43),
+	.D(n_6716),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[72] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[72][6]  (
+	.CLK(CTS_43),
+	.D(n_6718),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[72] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[72][7]  (
+	.CLK(CTS_43),
+	.D(n_6737),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[72] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[72][8]  (
+	.CLK(CTS_43),
+	.D(n_6746),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[72] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[73][1]  (
+	.CLK(CTS_45),
+	.D(n_6747),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[73] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[73][2]  (
+	.CLK(CTS_49),
+	.D(n_5202),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[73] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[73][3]  (
+	.CLK(CTS_49),
+	.D(n_6478),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[73] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[73][4]  (
+	.CLK(CTS_49),
+	.D(n_6748),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[73] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[73][5]  (
+	.CLK(CTS_45),
+	.D(n_6454),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[73] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[73][6]  (
+	.CLK(CTS_49),
+	.D(n_6499),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[73] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[73][7]  (
+	.CLK(CTS_45),
+	.D(n_6523),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[73] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[73][8]  (
+	.CLK(CTS_49),
+	.D(n_6512),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[73] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[74][1]  (
+	.CLK(CTS_46),
+	.D(n_6513),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[74] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[74][2]  (
+	.CLK(CTS_46),
+	.D(n_6750),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[74] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[74][3]  (
+	.CLK(CTS_48),
+	.D(n_6652),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[74] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[74][4]  (
+	.CLK(CTS_48),
+	.D(n_6751),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[74] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[74][5]  (
+	.CLK(CTS_46),
+	.D(n_6762),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[74] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[74][6]  (
+	.CLK(CTS_46),
+	.D(n_6782),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[74] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[74][7]  (
+	.CLK(CTS_48),
+	.D(n_6797),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[74] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[74][8]  (
+	.CLK(CTS_46),
+	.D(n_6431),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[74] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[75][1]  (
+	.CLK(CTS_52),
+	.D(n_6798),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[75] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[75][2]  (
+	.CLK(CTS_47),
+	.D(n_6437),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[75] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[75][3]  (
+	.CLK(CTS_52),
+	.D(n_6801),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[75] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[75][4]  (
+	.CLK(CTS_47),
+	.D(n_6803),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[75] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[75][5]  (
+	.CLK(CTS_47),
+	.D(n_6804),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[75] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[75][6]  (
+	.CLK(CTS_47),
+	.D(n_6805),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[75] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[75][7]  (
+	.CLK(CTS_52),
+	.D(n_4979),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[75] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[75][8]  (
+	.CLK(CTS_52),
+	.D(n_6806),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[75] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[76][1]  (
+	.CLK(CTS_35),
+	.D(n_5088),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[76] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[76][2]  (
+	.CLK(CTS_35),
+	.D(n_6807),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[76] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[76][3]  (
+	.CLK(CTS_35),
+	.D(n_6808),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[76] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[76][4]  (
+	.CLK(CTS_35),
+	.D(n_6809),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[76] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[76][5]  (
+	.CLK(CTS_35),
+	.D(n_6810),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[76] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[76][6]  (
+	.CLK(CTS_35),
+	.D(n_6811),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[76] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[76][7]  (
+	.CLK(CTS_35),
+	.D(n_6812),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[76] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[76][8]  (
+	.CLK(CTS_35),
+	.D(n_6813),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[76] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[77][1]  (
+	.CLK(CTS_35),
+	.D(n_6814),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[77] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[77][2]  (
+	.CLK(CTS_35),
+	.D(n_6467),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[77] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[77][3]  (
+	.CLK(CTS_39),
+	.D(n_4818),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[77] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[77][4]  (
+	.CLK(CTS_35),
+	.D(n_6449),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[77] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[77][5]  (
+	.CLK(CTS_35),
+	.D(n_4798),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[77] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[77][6]  (
+	.CLK(CTS_39),
+	.D(n_6537),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[77] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[77][7]  (
+	.CLK(CTS_35),
+	.D(n_6816),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[77] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[77][8]  (
+	.CLK(CTS_35),
+	.D(n_6565),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[77] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[78][1]  (
+	.CLK(CTS_50),
+	.D(n_6626),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[78] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[78][2]  (
+	.CLK(CTS_40),
+	.D(n_4856),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[78] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[78][3]  (
+	.CLK(CTS_50),
+	.D(n_6817),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[78] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[78][4]  (
+	.CLK(CTS_40),
+	.D(n_6631),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[78] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[78][5]  (
+	.CLK(CTS_40),
+	.D(n_6628),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[78] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[78][6]  (
+	.CLK(CTS_40),
+	.D(n_6818),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[78] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[78][7]  (
+	.CLK(CTS_40),
+	.D(n_6819),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[78] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[78][8]  (
+	.CLK(CTS_40),
+	.D(n_5097),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[78] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[79][1]  (
+	.CLK(CTS_31),
+	.D(n_6820),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[79] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[79][2]  (
+	.CLK(CTS_31),
+	.D(n_6112),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[79] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[79][3]  (
+	.CLK(CTS_31),
+	.D(n_6821),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[79] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[79][4]  (
+	.CLK(CTS_36),
+	.D(n_6396),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[79] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[79][5]  (
+	.CLK(CTS_31),
+	.D(n_6822),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[79] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[79][6]  (
+	.CLK(CTS_31),
+	.D(n_6630),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[79] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[79][7]  (
+	.CLK(CTS_36),
+	.D(n_6823),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[79] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[79][8]  (
+	.CLK(CTS_52),
+	.D(n_5100),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[79] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[80][1]  (
+	.CLK(CTS_42),
+	.D(n_5279),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[80] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[80][2]  (
+	.CLK(CTS_42),
+	.D(n_6824),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[80] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[80][3]  (
+	.CLK(CTS_42),
+	.D(n_6825),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[80] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[80][4]  (
+	.CLK(CTS_42),
+	.D(n_6826),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[80] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[80][5]  (
+	.CLK(CTS_56),
+	.D(n_6827),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[80] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[80][6]  (
+	.CLK(CTS_56),
+	.D(n_6829),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[80] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[80][7]  (
+	.CLK(CTS_42),
+	.D(n_6830),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[80] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[80][8]  (
+	.CLK(CTS_42),
+	.D(n_6831),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[80] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[81][1]  (
+	.CLK(CTS_122),
+	.D(n_6833),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[81] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[81][2]  (
+	.CLK(CTS_122),
+	.D(n_6834),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[81] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[81][3]  (
+	.CLK(CTS_45),
+	.D(n_6835),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[81] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[81][4]  (
+	.CLK(CTS_119),
+	.D(n_6836),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[81] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[81][5]  (
+	.CLK(CTS_122),
+	.D(n_6518),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[81] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[81][6]  (
+	.CLK(CTS_119),
+	.D(n_6837),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[81] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[81][7]  (
+	.CLK(CTS_119),
+	.D(n_6504),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[81] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[81][8]  (
+	.CLK(CTS_122),
+	.D(n_6838),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[81] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[82][1]  (
+	.CLK(CTS_48),
+	.D(n_6517),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[82] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[82][2]  (
+	.CLK(CTS_130),
+	.D(n_6840),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[82] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[82][3]  (
+	.CLK(CTS_48),
+	.D(n_6841),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[82] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[82][4]  (
+	.CLK(CTS_48),
+	.D(n_6842),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[82] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[82][5]  (
+	.CLK(CTS_117),
+	.D(n_6843),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[82] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[82][6]  (
+	.CLK(CTS_117),
+	.D(n_6844),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[82] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[82][7]  (
+	.CLK(CTS_117),
+	.D(n_6845),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[82] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[82][8]  (
+	.CLK(CTS_130),
+	.D(n_6846),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[82] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[83][1]  (
+	.CLK(CTS_37),
+	.D(n_6847),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[83] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[83][2]  (
+	.CLK(CTS_128),
+	.D(n_5094),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[83] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[83][3]  (
+	.CLK(CTS_37),
+	.D(n_6849),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[83] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[83][4]  (
+	.CLK(CTS_48),
+	.D(n_6850),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[83] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[83][5]  (
+	.CLK(CTS_48),
+	.D(n_6572),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[83] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[83][6]  (
+	.CLK(CTS_128),
+	.D(n_6332),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[83] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[83][7]  (
+	.CLK(CTS_128),
+	.D(n_6851),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[83] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[83][8]  (
+	.CLK(CTS_37),
+	.D(n_6852),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[83] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[84][1]  (
+	.CLK(CTS_38),
+	.D(n_6853),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[84] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[84][2]  (
+	.CLK(CTS_38),
+	.D(n_6319),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[84] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[84][3]  (
+	.CLK(CTS_38),
+	.D(n_6337),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[84] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[84][4]  (
+	.CLK(CTS_38),
+	.D(n_6857),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[84] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[84][5]  (
+	.CLK(CTS_38),
+	.D(n_6859),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[84] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[84][6]  (
+	.CLK(CTS_38),
+	.D(n_6860),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[84] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[84][7]  (
+	.CLK(CTS_56),
+	.D(n_6861),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[84] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[84][8]  (
+	.CLK(CTS_56),
+	.D(n_6506),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[84] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[85][1]  (
+	.CLK(CTS_34),
+	.D(n_6862),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[85] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[85][2]  (
+	.CLK(CTS_34),
+	.D(n_6863),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[85] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[85][3]  (
+	.CLK(CTS_50),
+	.D(n_6864),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[85] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[85][4]  (
+	.CLK(CTS_34),
+	.D(n_6865),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[85] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[85][5]  (
+	.CLK(CTS_34),
+	.D(n_6510),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[85] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[85][6]  (
+	.CLK(CTS_34),
+	.D(n_6868),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[85] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[85][7]  (
+	.CLK(CTS_34),
+	.D(n_6507),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[85] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[85][8]  (
+	.CLK(CTS_34),
+	.D(n_6871),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[85] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[86][1]  (
+	.CLK(CTS_41),
+	.D(n_6875),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[86] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[86][2]  (
+	.CLK(CTS_53),
+	.D(n_6876),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[86] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[86][3]  (
+	.CLK(CTS_40),
+	.D(n_6509),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[86] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[86][4]  (
+	.CLK(CTS_44),
+	.D(n_6878),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[86] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[86][5]  (
+	.CLK(CTS_41),
+	.D(n_6879),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[86] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[86][6]  (
+	.CLK(CTS_41),
+	.D(n_6880),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[86] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[86][7]  (
+	.CLK(CTS_44),
+	.D(n_6881),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[86] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[86][8]  (
+	.CLK(CTS_44),
+	.D(n_6882),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[86] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[87][1]  (
+	.CLK(CTS_41),
+	.D(n_6528),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[87] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[87][2]  (
+	.CLK(CTS_53),
+	.D(n_6885),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[87] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[87][3]  (
+	.CLK(CTS_53),
+	.D(n_6886),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[87] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[87][4]  (
+	.CLK(CTS_53),
+	.D(n_6887),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[87] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[87][5]  (
+	.CLK(CTS_53),
+	.D(n_6888),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[87] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[87][6]  (
+	.CLK(CTS_41),
+	.D(n_6889),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[87] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[87][7]  (
+	.CLK(CTS_41),
+	.D(n_6611),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[87] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[87][8]  (
+	.CLK(CTS_41),
+	.D(n_6890),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[87] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[88][1]  (
+	.CLK(CTS_43),
+	.D(n_6891),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[88] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[88][2]  (
+	.CLK(CTS_43),
+	.D(n_6892),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[88] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[88][3]  (
+	.CLK(CTS_43),
+	.D(n_6893),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[88] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[88][4]  (
+	.CLK(CTS_33),
+	.D(n_6894),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[88] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[88][5]  (
+	.CLK(CTS_43),
+	.D(n_6895),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[88] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[88][6]  (
+	.CLK(CTS_33),
+	.D(n_6896),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[88] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[88][7]  (
+	.CLK(CTS_33),
+	.D(n_6897),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[88] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[88][8]  (
+	.CLK(CTS_33),
+	.D(n_6898),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[88] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[89][1]  (
+	.CLK(CTS_122),
+	.D(n_6910),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[89] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[89][2]  (
+	.CLK(CTS_119),
+	.D(n_6590),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[89] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[89][3]  (
+	.CLK(CTS_45),
+	.D(n_6916),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[89] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[89][4]  (
+	.CLK(CTS_119),
+	.D(n_4925),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[89] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[89][5]  (
+	.CLK(CTS_119),
+	.D(n_4924),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[89] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[89][6]  (
+	.CLK(CTS_119),
+	.D(n_4927),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[89] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[89][7]  (
+	.CLK(CTS_119),
+	.D(n_6524),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[89] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[89][8]  (
+	.CLK(CTS_119),
+	.D(n_6519),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[89] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[90][1]  (
+	.CLK(CTS_46),
+	.D(n_6516),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[90] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[90][2]  (
+	.CLK(CTS_38),
+	.D(n_6533),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[90] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[90][3]  (
+	.CLK(CTS_38),
+	.D(n_6917),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[90] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[90][4]  (
+	.CLK(CTS_46),
+	.D(n_6587),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[90] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[90][5]  (
+	.CLK(CTS_46),
+	.D(n_6919),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[90] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[90][6]  (
+	.CLK(CTS_46),
+	.D(n_6589),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[90] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[90][7]  (
+	.CLK(CTS_36),
+	.D(n_6920),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[90] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[90][8]  (
+	.CLK(CTS_38),
+	.D(n_6612),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[90] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[91][1]  (
+	.CLK(CTS_47),
+	.D(n_6921),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[91] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[91][2]  (
+	.CLK(CTS_52),
+	.D(n_6624),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[91] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[91][3]  (
+	.CLK(CTS_52),
+	.D(n_6922),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[91] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[91][4]  (
+	.CLK(CTS_36),
+	.D(n_6616),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[91] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[91][5]  (
+	.CLK(CTS_46),
+	.D(n_6923),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[91] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[91][6]  (
+	.CLK(CTS_52),
+	.D(n_6617),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[91] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[91][7]  (
+	.CLK(CTS_52),
+	.D(n_6924),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[91] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[91][8]  (
+	.CLK(CTS_52),
+	.D(n_6618),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[91] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[92][1]  (
+	.CLK(CTS_42),
+	.D(n_6623),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[92] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[92][2]  (
+	.CLK(CTS_38),
+	.D(n_6925),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[92] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[92][3]  (
+	.CLK(CTS_42),
+	.D(n_6926),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[92] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[92][4]  (
+	.CLK(CTS_35),
+	.D(n_6927),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[92] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[92][5]  (
+	.CLK(CTS_42),
+	.D(n_6928),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[92] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[92][6]  (
+	.CLK(CTS_56),
+	.D(n_6929),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[92] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[92][7]  (
+	.CLK(CTS_56),
+	.D(n_6930),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[92] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[92][8]  (
+	.CLK(CTS_56),
+	.D(n_6936),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[92] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[93][1]  (
+	.CLK(CTS_39),
+	.D(n_6937),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[93] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[93][2]  (
+	.CLK(CTS_32),
+	.D(n_4929),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[93] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[93][3]  (
+	.CLK(CTS_32),
+	.D(n_4933),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[93] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[93][4]  (
+	.CLK(CTS_39),
+	.D(n_6938),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[93] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[93][5]  (
+	.CLK(CTS_39),
+	.D(n_4935),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[93] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[93][6]  (
+	.CLK(CTS_39),
+	.D(n_4939),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[93] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[93][7]  (
+	.CLK(CTS_34),
+	.D(n_6939),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[93] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[93][8]  (
+	.CLK(CTS_34),
+	.D(n_6940),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[93] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[94][1]  (
+	.CLK(CTS_34),
+	.D(n_6941),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[94] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[94][2]  (
+	.CLK(CTS_50),
+	.D(n_6942),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[94] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[94][3]  (
+	.CLK(CTS_50),
+	.D(n_4805),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[94] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[94][4]  (
+	.CLK(CTS_34),
+	.D(n_6943),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[94] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[94][5]  (
+	.CLK(CTS_44),
+	.D(n_6944),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[94] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[94][6]  (
+	.CLK(CTS_44),
+	.D(n_4806),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[94] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[94][7]  (
+	.CLK(CTS_50),
+	.D(n_4808),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[94] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[94][8]  (
+	.CLK(CTS_34),
+	.D(n_4807),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[94] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[95][1]  (
+	.CLK(CTS_31),
+	.D(n_4809),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[95] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[95][2]  (
+	.CLK(CTS_52),
+	.D(n_6946),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[95] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[95][3]  (
+	.CLK(CTS_31),
+	.D(n_6947),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[95] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[95][4]  (
+	.CLK(CTS_52),
+	.D(n_6948),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[95] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[95][5]  (
+	.CLK(CTS_31),
+	.D(n_4810),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[95] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[95][6]  (
+	.CLK(CTS_31),
+	.D(n_6950),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[95] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[95][7]  (
+	.CLK(CTS_31),
+	.D(n_4812),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[95] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[95][8]  (
+	.CLK(CTS_31),
+	.D(n_6951),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[95] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[96][1]  (
+	.CLK(CTS_33),
+	.D(n_4813),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[96] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[96][2]  (
+	.CLK(CTS_42),
+	.D(n_6952),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[96] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[96][3]  (
+	.CLK(CTS_56),
+	.D(n_6953),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[96] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[96][4]  (
+	.CLK(CTS_42),
+	.D(n_6954),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[96] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[96][5]  (
+	.CLK(CTS_43),
+	.D(n_6955),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[96] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[96][6]  (
+	.CLK(CTS_42),
+	.D(n_6956),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[96] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[96][7]  (
+	.CLK(CTS_56),
+	.D(n_6957),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[96] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[96][8]  (
+	.CLK(CTS_42),
+	.D(n_6958),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[96] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[97][1]  (
+	.CLK(CTS_122),
+	.D(n_6959),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[97] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[97][2]  (
+	.CLK(CTS_122),
+	.D(n_6960),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[97] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[97][3]  (
+	.CLK(CTS_45),
+	.D(n_6961),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[97] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[97][4]  (
+	.CLK(CTS_45),
+	.D(n_6962),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[97] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[97][5]  (
+	.CLK(CTS_122),
+	.D(n_6963),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[97] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[97][6]  (
+	.CLK(CTS_122),
+	.D(n_6964),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[97] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[97][7]  (
+	.CLK(CTS_122),
+	.D(n_6965),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[97] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[97][8]  (
+	.CLK(CTS_122),
+	.D(n_4847),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[97] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[98][1]  (
+	.CLK(CTS_117),
+	.D(n_6966),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[98] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[98][2]  (
+	.CLK(CTS_49),
+	.D(n_6967),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[98] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[98][3]  (
+	.CLK(CTS_49),
+	.D(n_6968),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[98] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[98][4]  (
+	.CLK(CTS_48),
+	.D(n_4848),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[98] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[98][5]  (
+	.CLK(CTS_117),
+	.D(n_6969),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[98] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[98][6]  (
+	.CLK(CTS_49),
+	.D(n_4849),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[98] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[98][7]  (
+	.CLK(CTS_117),
+	.D(n_6970),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[98] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[98][8]  (
+	.CLK(CTS_49),
+	.D(n_4850),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[98] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[99][1]  (
+	.CLK(CTS_37),
+	.D(n_6971),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[99] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[99][2]  (
+	.CLK(CTS_37),
+	.D(n_4851),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[99] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[99][3]  (
+	.CLK(CTS_37),
+	.D(n_6973),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[99] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[99][4]  (
+	.CLK(CTS_37),
+	.D(n_4852),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[99] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[99][5]  (
+	.CLK(CTS_37),
+	.D(n_6974),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[99] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[99][6]  (
+	.CLK(CTS_37),
+	.D(n_6975),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[99] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[99][7]  (
+	.CLK(CTS_37),
+	.D(n_4854),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[99] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[99][8]  (
+	.CLK(CTS_37),
+	.D(n_4857),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[99] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[100][1]  (
+	.CLK(CTS_38),
+	.D(n_6976),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[100] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[100][2]  (
+	.CLK(CTS_36),
+	.D(n_6977),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[100] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[100][3]  (
+	.CLK(CTS_36),
+	.D(n_6978),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[100] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[100][4]  (
+	.CLK(CTS_36),
+	.D(n_6979),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[100] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[100][5]  (
+	.CLK(CTS_36),
+	.D(n_6980),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[100] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[100][6]  (
+	.CLK(CTS_50),
+	.D(n_6981),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[100] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[100][7]  (
+	.CLK(CTS_36),
+	.D(n_6982),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[100] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[100][8]  (
+	.CLK(CTS_36),
+	.D(n_6983),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[100] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[101][1]  (
+	.CLK(CTS_34),
+	.D(n_6985),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[101] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[101][2]  (
+	.CLK(CTS_34),
+	.D(n_6986),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[101] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[101][3]  (
+	.CLK(CTS_44),
+	.D(n_4858),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[101] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[101][4]  (
+	.CLK(CTS_34),
+	.D(n_6987),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[101] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[101][5]  (
+	.CLK(CTS_34),
+	.D(n_4859),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[101] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[101][6]  (
+	.CLK(CTS_34),
+	.D(n_6988),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[101] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[101][7]  (
+	.CLK(CTS_34),
+	.D(n_6989),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[101] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[101][8]  (
+	.CLK(CTS_34),
+	.D(n_6990),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[101] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[102][1]  (
+	.CLK(CTS_41),
+	.D(n_4881),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[102] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[102][2]  (
+	.CLK(CTS_44),
+	.D(n_6991),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[102] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[102][3]  (
+	.CLK(CTS_44),
+	.D(n_6992),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[102] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[102][4]  (
+	.CLK(CTS_44),
+	.D(n_6993),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[102] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[102][5]  (
+	.CLK(CTS_41),
+	.D(n_6994),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[102] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[102][6]  (
+	.CLK(CTS_41),
+	.D(n_6995),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[102] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[102][7]  (
+	.CLK(CTS_41),
+	.D(n_4883),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[102] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[102][8]  (
+	.CLK(CTS_41),
+	.D(n_6996),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[102] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[103][1]  (
+	.CLK(CTS_41),
+	.D(n_6997),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[103] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[103][2]  (
+	.CLK(CTS_41),
+	.D(n_6998),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[103] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[103][3]  (
+	.CLK(CTS_41),
+	.D(n_6999),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[103] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[103][4]  (
+	.CLK(CTS_41),
+	.D(n_7000),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[103] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[103][5]  (
+	.CLK(CTS_41),
+	.D(n_7001),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[103] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[103][6]  (
+	.CLK(CTS_41),
+	.D(n_7002),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[103] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[103][7]  (
+	.CLK(CTS_41),
+	.D(n_4888),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[103] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[103][8]  (
+	.CLK(CTS_41),
+	.D(n_7003),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[103] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[104][1]  (
+	.CLK(CTS_43),
+	.D(n_4885),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[104] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[104][2]  (
+	.CLK(CTS_43),
+	.D(n_7004),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[104] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[104][3]  (
+	.CLK(CTS_45),
+	.D(n_7005),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[104] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[104][4]  (
+	.CLK(CTS_43),
+	.D(n_7006),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[104] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[104][5]  (
+	.CLK(CTS_33),
+	.D(n_7007),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[104] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[104][6]  (
+	.CLK(CTS_43),
+	.D(n_4887),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[104] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[104][7]  (
+	.CLK(CTS_43),
+	.D(n_7008),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[104] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[104][8]  (
+	.CLK(CTS_45),
+	.D(n_7009),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[104] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[105][1]  (
+	.CLK(CTS_119),
+	.D(n_7010),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[105] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[105][2]  (
+	.CLK(CTS_45),
+	.D(n_4889),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[105] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[105][3]  (
+	.CLK(CTS_49),
+	.D(n_7011),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[105] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[105][4]  (
+	.CLK(CTS_49),
+	.D(n_4890),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[105] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[105][5]  (
+	.CLK(CTS_119),
+	.D(n_4903),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[105] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[105][6]  (
+	.CLK(CTS_117),
+	.D(n_4904),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[105] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[105][7]  (
+	.CLK(CTS_117),
+	.D(n_7012),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[105] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[105][8]  (
+	.CLK(CTS_49),
+	.D(n_7013),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[105] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[106][1]  (
+	.CLK(CTS_46),
+	.D(n_7014),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[106] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[106][2]  (
+	.CLK(CTS_56),
+	.D(n_7015),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[106] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[106][3]  (
+	.CLK(CTS_46),
+	.D(n_7016),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[106] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[106][4]  (
+	.CLK(CTS_46),
+	.D(n_4906),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[106] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[106][5]  (
+	.CLK(CTS_46),
+	.D(n_7017),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[106] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[106][6]  (
+	.CLK(CTS_46),
+	.D(n_4907),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[106] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[106][7]  (
+	.CLK(CTS_46),
+	.D(n_7018),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[106] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[106][8]  (
+	.CLK(CTS_56),
+	.D(n_7019),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[106] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[107][1]  (
+	.CLK(CTS_52),
+	.D(n_7020),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[107] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[107][2]  (
+	.CLK(CTS_47),
+	.D(n_4908),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[107] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[107][3]  (
+	.CLK(CTS_52),
+	.D(n_7021),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[107] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[107][4]  (
+	.CLK(CTS_47),
+	.D(n_7022),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[107] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[107][5]  (
+	.CLK(CTS_47),
+	.D(n_4909),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[107] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[107][6]  (
+	.CLK(CTS_47),
+	.D(n_4910),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[107] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[107][7]  (
+	.CLK(CTS_52),
+	.D(n_7023),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[107] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[107][8]  (
+	.CLK(CTS_47),
+	.D(n_4911),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[107] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[108][1]  (
+	.CLK(CTS_35),
+	.D(n_7024),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[108] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[108][2]  (
+	.CLK(CTS_35),
+	.D(n_7025),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[108] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[108][3]  (
+	.CLK(CTS_35),
+	.D(n_7026),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[108] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[108][4]  (
+	.CLK(CTS_35),
+	.D(n_7027),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[108] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[108][5]  (
+	.CLK(CTS_35),
+	.D(n_4912),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[108] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[108][6]  (
+	.CLK(CTS_35),
+	.D(n_7028),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[108] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[108][7]  (
+	.CLK(CTS_39),
+	.D(n_7030),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[108] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[108][8]  (
+	.CLK(CTS_39),
+	.D(n_7032),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[108] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[109][1]  (
+	.CLK(CTS_39),
+	.D(n_7033),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[109] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[109][2]  (
+	.CLK(CTS_39),
+	.D(n_5398),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[109] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[109][3]  (
+	.CLK(CTS_39),
+	.D(n_6017),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[109] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[109][4]  (
+	.CLK(CTS_39),
+	.D(n_6016),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[109] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[109][5]  (
+	.CLK(CTS_39),
+	.D(n_4938),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[109] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[109][6]  (
+	.CLK(CTS_39),
+	.D(n_4942),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[109] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[109][7]  (
+	.CLK(CTS_39),
+	.D(n_6014),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[109] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[109][8]  (
+	.CLK(CTS_39),
+	.D(n_6013),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[109] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[110][1]  (
+	.CLK(CTS_44),
+	.D(n_4941),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[110] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[110][2]  (
+	.CLK(CTS_34),
+	.D(n_6012),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[110] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[110][3]  (
+	.CLK(CTS_34),
+	.D(n_6011),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[110] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[110][4]  (
+	.CLK(CTS_44),
+	.D(n_6010),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[110] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[110][5]  (
+	.CLK(CTS_44),
+	.D(n_6009),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[110] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[110][6]  (
+	.CLK(CTS_44),
+	.D(n_6008),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[110] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[110][7]  (
+	.CLK(CTS_40),
+	.D(n_4945),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[110] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[110][8]  (
+	.CLK(CTS_44),
+	.D(n_6006),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[110] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[111][1]  (
+	.CLK(CTS_31),
+	.D(n_6005),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[111] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[111][2]  (
+	.CLK(CTS_31),
+	.D(n_6004),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[111] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[111][3]  (
+	.CLK(CTS_31),
+	.D(n_4947),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[111] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[111][4]  (
+	.CLK(CTS_36),
+	.D(n_6002),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[111] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[111][5]  (
+	.CLK(CTS_36),
+	.D(n_4948),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[111] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[111][6]  (
+	.CLK(CTS_36),
+	.D(n_6001),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[111] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[111][7]  (
+	.CLK(CTS_36),
+	.D(n_4950),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[111] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[111][8]  (
+	.CLK(CTS_36),
+	.D(n_4952),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[111] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[112][1]  (
+	.CLK(CTS_56),
+	.D(n_6000),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[112] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[112][2]  (
+	.CLK(CTS_42),
+	.D(n_5999),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[112] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[112][3]  (
+	.CLK(CTS_56),
+	.D(n_5998),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[112] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[112][4]  (
+	.CLK(CTS_33),
+	.D(n_5997),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[112] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[112][5]  (
+	.CLK(CTS_56),
+	.D(n_5996),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[112] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[112][6]  (
+	.CLK(CTS_56),
+	.D(n_5995),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[112] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[112][7]  (
+	.CLK(CTS_33),
+	.D(n_5994),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[112] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[112][8]  (
+	.CLK(CTS_42),
+	.D(n_5993),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[112] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[113][1]  (
+	.CLK(CTS_122),
+	.D(n_5992),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[113] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[113][2]  (
+	.CLK(CTS_119),
+	.D(n_4956),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[113] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[113][3]  (
+	.CLK(CTS_45),
+	.D(n_4975),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[113] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[113][4]  (
+	.CLK(CTS_119),
+	.D(n_4984),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[113] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[113][5]  (
+	.CLK(CTS_119),
+	.D(n_5991),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[113] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[113][6]  (
+	.CLK(CTS_119),
+	.D(n_4976),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[113] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[113][7]  (
+	.CLK(CTS_45),
+	.D(n_4978),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[113] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[113][8]  (
+	.CLK(CTS_119),
+	.D(n_5990),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[113] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[114][1]  (
+	.CLK(CTS_48),
+	.D(n_5989),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[114] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[114][2]  (
+	.CLK(CTS_130),
+	.D(n_4980),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[114] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[114][3]  (
+	.CLK(CTS_48),
+	.D(n_5987),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[114] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[114][4]  (
+	.CLK(CTS_48),
+	.D(n_4985),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[114] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[114][5]  (
+	.CLK(CTS_130),
+	.D(n_5986),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[114] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[114][6]  (
+	.CLK(CTS_48),
+	.D(n_5985),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[114] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[114][7]  (
+	.CLK(CTS_48),
+	.D(n_5984),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[114] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[114][8]  (
+	.CLK(CTS_130),
+	.D(n_4981),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[114] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[115][1]  (
+	.CLK(CTS_37),
+	.D(n_5983),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[115] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[115][2]  (
+	.CLK(CTS_47),
+	.D(n_4983),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[115] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[115][3]  (
+	.CLK(CTS_47),
+	.D(n_5982),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[115] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[115][4]  (
+	.CLK(CTS_48),
+	.D(n_4987),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[115] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[115][5]  (
+	.CLK(CTS_48),
+	.D(n_5981),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[115] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[115][6]  (
+	.CLK(CTS_47),
+	.D(n_4986),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[115] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[115][7]  (
+	.CLK(CTS_47),
+	.D(n_5980),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[115] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[115][8]  (
+	.CLK(CTS_47),
+	.D(n_4990),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[115] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[116][1]  (
+	.CLK(CTS_38),
+	.D(n_4988),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[116] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[116][2]  (
+	.CLK(CTS_38),
+	.D(n_5979),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[116] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[116][3]  (
+	.CLK(CTS_56),
+	.D(n_4989),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[116] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[116][4]  (
+	.CLK(CTS_38),
+	.D(n_5978),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[116] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[116][5]  (
+	.CLK(CTS_38),
+	.D(n_5977),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[116] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[116][6]  (
+	.CLK(CTS_38),
+	.D(n_5976),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[116] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[116][7]  (
+	.CLK(CTS_38),
+	.D(n_5975),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[116] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[116][8]  (
+	.CLK(CTS_38),
+	.D(n_5974),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[116] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[117][1]  (
+	.CLK(CTS_32),
+	.D(n_5973),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[117] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[117][2]  (
+	.CLK(CTS_32),
+	.D(n_5972),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[117] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[117][3]  (
+	.CLK(CTS_50),
+	.D(n_5017),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[117] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[117][4]  (
+	.CLK(CTS_32),
+	.D(n_5971),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[117] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[117][5]  (
+	.CLK(CTS_32),
+	.D(n_5970),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[117] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[117][6]  (
+	.CLK(CTS_32),
+	.D(n_5019),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[117] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[117][7]  (
+	.CLK(CTS_32),
+	.D(n_5969),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[117] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[117][8]  (
+	.CLK(CTS_32),
+	.D(n_5023),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[117] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[118][1]  (
+	.CLK(CTS_40),
+	.D(n_5967),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[118] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[118][2]  (
+	.CLK(CTS_40),
+	.D(n_5966),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[118] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[118][3]  (
+	.CLK(CTS_40),
+	.D(n_5965),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[118] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[118][4]  (
+	.CLK(CTS_36),
+	.D(n_5964),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[118] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[118][5]  (
+	.CLK(CTS_36),
+	.D(n_5963),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[118] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[118][6]  (
+	.CLK(CTS_40),
+	.D(n_5962),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[118] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[118][7]  (
+	.CLK(CTS_36),
+	.D(n_5028),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[118] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[118][8]  (
+	.CLK(CTS_40),
+	.D(n_5959),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[118] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[119][1]  (
+	.CLK(CTS_53),
+	.D(n_5958),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[119] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[119][2]  (
+	.CLK(CTS_53),
+	.D(n_5957),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[119] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[119][3]  (
+	.CLK(CTS_53),
+	.D(n_5031),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[119] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[119][4]  (
+	.CLK(CTS_53),
+	.D(n_5956),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[119] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[119][5]  (
+	.CLK(CTS_53),
+	.D(n_5032),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[119] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[119][6]  (
+	.CLK(CTS_53),
+	.D(n_5955),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[119] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[119][7]  (
+	.CLK(CTS_53),
+	.D(n_5035),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[119] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[119][8]  (
+	.CLK(CTS_31),
+	.D(n_5954),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[119] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[120][1]  (
+	.CLK(CTS_33),
+	.D(n_5039),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[120] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[120][2]  (
+	.CLK(CTS_33),
+	.D(n_5953),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[120] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[120][3]  (
+	.CLK(CTS_33),
+	.D(n_5952),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[120] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[120][4]  (
+	.CLK(CTS_33),
+	.D(n_5951),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[120] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[120][5]  (
+	.CLK(CTS_33),
+	.D(n_5949),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[120] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[120][6]  (
+	.CLK(CTS_33),
+	.D(n_5948),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[120] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[120][7]  (
+	.CLK(CTS_43),
+	.D(n_5947),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[120] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[120][8]  (
+	.CLK(CTS_33),
+	.D(n_5946),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[120] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[121][1]  (
+	.CLK(CTS_33),
+	.D(n_5945),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[121] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[121][2]  (
+	.CLK(CTS_33),
+	.D(n_6660),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[121] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[121][3]  (
+	.CLK(CTS_33),
+	.D(n_5943),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[121] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[121][4]  (
+	.CLK(CTS_45),
+	.D(n_6662),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[121] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[121][5]  (
+	.CLK(CTS_45),
+	.D(n_5942),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[121] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[121][6]  (
+	.CLK(CTS_33),
+	.D(n_5941),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[121] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[121][7]  (
+	.CLK(CTS_45),
+	.D(n_6665),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[121] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[121][8]  (
+	.CLK(CTS_33),
+	.D(n_5939),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[121] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[122][1]  (
+	.CLK(CTS_46),
+	.D(n_6666),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[122] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[122][2]  (
+	.CLK(CTS_46),
+	.D(n_6667),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[122] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[122][3]  (
+	.CLK(CTS_36),
+	.D(n_5937),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[122] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[122][4]  (
+	.CLK(CTS_36),
+	.D(n_5936),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[122] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[122][5]  (
+	.CLK(CTS_46),
+	.D(n_5935),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[122] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[122][6]  (
+	.CLK(CTS_46),
+	.D(n_6669),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[122] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[122][7]  (
+	.CLK(CTS_36),
+	.D(n_6670),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[122] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[122][8]  (
+	.CLK(CTS_36),
+	.D(n_6671),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[122] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[123][1]  (
+	.CLK(CTS_52),
+	.D(n_5934),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[123] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[123][2]  (
+	.CLK(CTS_47),
+	.D(n_6672),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[123] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[123][3]  (
+	.CLK(CTS_52),
+	.D(n_5933),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[123] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[123][4]  (
+	.CLK(CTS_46),
+	.D(n_5932),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[123] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[123][5]  (
+	.CLK(CTS_46),
+	.D(n_5931),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[123] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[123][6]  (
+	.CLK(CTS_47),
+	.D(n_6673),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[123] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[123][7]  (
+	.CLK(CTS_52),
+	.D(n_5930),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[123] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[123][8]  (
+	.CLK(CTS_52),
+	.D(n_5929),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[123] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[124][1]  (
+	.CLK(CTS_56),
+	.D(n_5928),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[124] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[124][2]  (
+	.CLK(CTS_35),
+	.D(n_5927),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[124] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[124][3]  (
+	.CLK(CTS_42),
+	.D(n_5926),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[124] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[124][4]  (
+	.CLK(CTS_35),
+	.D(n_5925),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[124] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[124][5]  (
+	.CLK(CTS_56),
+	.D(n_5924),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[124] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[124][6]  (
+	.CLK(CTS_42),
+	.D(n_5923),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[124] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[124][7]  (
+	.CLK(CTS_42),
+	.D(n_5922),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[124] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[124][8]  (
+	.CLK(CTS_35),
+	.D(n_5921),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[124] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[125][1]  (
+	.CLK(CTS_39),
+	.D(n_5920),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[125] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[125][2]  (
+	.CLK(CTS_32),
+	.D(n_4914),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[125] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[125][3]  (
+	.CLK(CTS_32),
+	.D(n_5919),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[125] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[125][4]  (
+	.CLK(CTS_32),
+	.D(n_5918),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[125] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[125][5]  (
+	.CLK(CTS_35),
+	.D(n_4913),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[125] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[125][6]  (
+	.CLK(CTS_35),
+	.D(n_4862),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[125] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[125][7]  (
+	.CLK(CTS_32),
+	.D(n_5917),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[125] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[125][8]  (
+	.CLK(CTS_32),
+	.D(n_5916),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[125] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[126][1]  (
+	.CLK(CTS_50),
+	.D(n_6041),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[126] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[126][2]  (
+	.CLK(CTS_36),
+	.D(n_5915),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[126] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[126][3]  (
+	.CLK(CTS_50),
+	.D(n_5914),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[126] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[126][4]  (
+	.CLK(CTS_50),
+	.D(n_5913),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[126] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[126][5]  (
+	.CLK(CTS_40),
+	.D(n_5912),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[126] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[126][6]  (
+	.CLK(CTS_40),
+	.D(n_5911),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[126] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[126][7]  (
+	.CLK(CTS_50),
+	.D(n_5910),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[126] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[126][8]  (
+	.CLK(CTS_50),
+	.D(n_5909),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[126] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[127][1]  (
+	.CLK(CTS_52),
+	.D(n_5988),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[127] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[127][2]  (
+	.CLK(CTS_31),
+	.D(n_6641),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[127] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[127][3]  (
+	.CLK(CTS_52),
+	.D(n_6640),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[127] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[127][4]  (
+	.CLK(CTS_52),
+	.D(n_6638),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[127] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[127][5]  (
+	.CLK(CTS_52),
+	.D(n_4816),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[127] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[127][6]  (
+	.CLK(CTS_31),
+	.D(n_5908),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[127] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[127][7]  (
+	.CLK(CTS_36),
+	.D(n_4951),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[127] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[127][8]  (
+	.CLK(CTS_52),
+	.D(n_5907),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[127] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[128][1]  (
+	.CLK(CTS_43),
+	.D(n_5906),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[128] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[128][2]  (
+	.CLK(CTS_33),
+	.D(n_5905),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[128] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[128][3]  (
+	.CLK(CTS_43),
+	.D(n_5904),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[128] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[128][4]  (
+	.CLK(CTS_43),
+	.D(n_5903),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[128] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[128][5]  (
+	.CLK(CTS_43),
+	.D(n_5902),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[128] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[128][6]  (
+	.CLK(CTS_43),
+	.D(n_6668),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[128] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[128][7]  (
+	.CLK(CTS_43),
+	.D(n_4882),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[128] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[128][8]  (
+	.CLK(CTS_43),
+	.D(n_5901),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[128] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[129][1]  (
+	.CLK(CTS_45),
+	.D(n_5900),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[129] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[129][2]  (
+	.CLK(CTS_122),
+	.D(n_5899),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[129] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[129][3]  (
+	.CLK(CTS_45),
+	.D(n_5898),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[129] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[129][4]  (
+	.CLK(CTS_45),
+	.D(n_5897),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[129] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[129][5]  (
+	.CLK(CTS_122),
+	.D(n_5896),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[129] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[129][6]  (
+	.CLK(CTS_122),
+	.D(n_5895),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[129] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[129][7]  (
+	.CLK(CTS_122),
+	.D(n_5894),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[129] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[129][8]  (
+	.CLK(CTS_45),
+	.D(n_5893),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[129] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[130][1]  (
+	.CLK(CTS_48),
+	.D(n_5892),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[130] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[130][2]  (
+	.CLK(CTS_48),
+	.D(n_5891),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[130] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[130][3]  (
+	.CLK(CTS_49),
+	.D(n_5890),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[130] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[130][4]  (
+	.CLK(CTS_48),
+	.D(n_5889),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[130] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[130][5]  (
+	.CLK(CTS_48),
+	.D(n_5888),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[130] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[130][6]  (
+	.CLK(CTS_48),
+	.D(n_5887),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[130] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[130][7]  (
+	.CLK(CTS_48),
+	.D(n_5886),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[130] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[130][8]  (
+	.CLK(CTS_48),
+	.D(n_5885),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[130] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[131][1]  (
+	.CLK(CTS_47),
+	.D(n_5884),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[131] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[131][2]  (
+	.CLK(CTS_47),
+	.D(n_5883),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[131] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[131][3]  (
+	.CLK(CTS_47),
+	.D(n_5882),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[131] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[131][4]  (
+	.CLK(CTS_47),
+	.D(n_5881),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[131] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[131][5]  (
+	.CLK(CTS_47),
+	.D(n_5880),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[131] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[131][6]  (
+	.CLK(CTS_47),
+	.D(n_5879),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[131] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[131][7]  (
+	.CLK(CTS_47),
+	.D(n_5878),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[131] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[131][8]  (
+	.CLK(CTS_37),
+	.D(n_5877),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[131] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[132][1]  (
+	.CLK(CTS_50),
+	.D(n_5876),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[132] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[132][2]  (
+	.CLK(CTS_32),
+	.D(n_5875),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[132] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[132][3]  (
+	.CLK(CTS_50),
+	.D(n_5874),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[132] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[132][4]  (
+	.CLK(CTS_50),
+	.D(n_5873),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[132] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[132][5]  (
+	.CLK(CTS_32),
+	.D(n_5872),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[132] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[132][6]  (
+	.CLK(CTS_50),
+	.D(n_5871),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[132] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[132][7]  (
+	.CLK(CTS_50),
+	.D(n_5870),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[132] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[132][8]  (
+	.CLK(CTS_50),
+	.D(n_5869),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[132] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[133][1]  (
+	.CLK(CTS_50),
+	.D(n_5867),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[133] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[133][2]  (
+	.CLK(CTS_32),
+	.D(n_5866),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[133] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[133][3]  (
+	.CLK(CTS_50),
+	.D(n_5865),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[133] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[133][4]  (
+	.CLK(CTS_32),
+	.D(n_5864),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[133] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[133][5]  (
+	.CLK(CTS_32),
+	.D(n_5863),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[133] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[133][6]  (
+	.CLK(CTS_32),
+	.D(n_5862),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[133] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[133][7]  (
+	.CLK(CTS_32),
+	.D(n_5861),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[133] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[133][8]  (
+	.CLK(CTS_32),
+	.D(n_5860),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[133] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[134][1]  (
+	.CLK(CTS_40),
+	.D(n_5859),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[134] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[134][2]  (
+	.CLK(CTS_40),
+	.D(n_5857),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[134] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[134][3]  (
+	.CLK(CTS_44),
+	.D(n_5856),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[134] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[134][4]  (
+	.CLK(CTS_40),
+	.D(n_5855),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[134] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[134][5]  (
+	.CLK(CTS_40),
+	.D(n_5854),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[134] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[134][6]  (
+	.CLK(CTS_40),
+	.D(n_5853),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[134] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[134][7]  (
+	.CLK(CTS_40),
+	.D(n_5852),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[134] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[134][8]  (
+	.CLK(CTS_40),
+	.D(n_5851),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[134] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[135][1]  (
+	.CLK(CTS_31),
+	.D(n_5850),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[135] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[135][2]  (
+	.CLK(CTS_53),
+	.D(n_5849),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[135] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[135][3]  (
+	.CLK(CTS_31),
+	.D(n_5848),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[135] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[135][4]  (
+	.CLK(CTS_53),
+	.D(n_5847),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[135] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[135][5]  (
+	.CLK(CTS_53),
+	.D(n_5846),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[135] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[135][6]  (
+	.CLK(CTS_53),
+	.D(n_5845),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[135] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[135][7]  (
+	.CLK(CTS_53),
+	.D(n_5844),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[135] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[135][8]  (
+	.CLK(CTS_53),
+	.D(n_6883),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[135] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[136][1]  (
+	.CLK(CTS_43),
+	.D(n_5843),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[136] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[136][2]  (
+	.CLK(CTS_43),
+	.D(n_5842),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[136] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[136][3]  (
+	.CLK(CTS_43),
+	.D(n_5841),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[136] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[136][4]  (
+	.CLK(CTS_43),
+	.D(n_5840),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[136] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[136][5]  (
+	.CLK(CTS_43),
+	.D(n_5839),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[136] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[136][6]  (
+	.CLK(CTS_43),
+	.D(n_5838),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[136] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[136][7]  (
+	.CLK(CTS_43),
+	.D(n_5837),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[136] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[136][8]  (
+	.CLK(CTS_43),
+	.D(n_5836),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[136] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[137][1]  (
+	.CLK(CTS_33),
+	.D(n_5835),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[137] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[137][2]  (
+	.CLK(CTS_49),
+	.D(n_5834),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[137] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[137][3]  (
+	.CLK(CTS_49),
+	.D(n_5833),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[137] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[137][4]  (
+	.CLK(CTS_49),
+	.D(n_5832),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[137] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[137][5]  (
+	.CLK(CTS_49),
+	.D(n_5830),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[137] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[137][6]  (
+	.CLK(CTS_119),
+	.D(n_5829),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[137] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[137][7]  (
+	.CLK(CTS_119),
+	.D(n_5828),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[137] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[137][8]  (
+	.CLK(CTS_49),
+	.D(n_5827),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[137] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[138][1]  (
+	.CLK(CTS_48),
+	.D(n_5826),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[138] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[138][2]  (
+	.CLK(CTS_46),
+	.D(n_5825),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[138] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[138][3]  (
+	.CLK(CTS_48),
+	.D(n_5824),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[138] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[138][4]  (
+	.CLK(CTS_48),
+	.D(n_5823),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[138] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[138][5]  (
+	.CLK(CTS_48),
+	.D(n_5822),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[138] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[138][6]  (
+	.CLK(CTS_48),
+	.D(n_5821),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[138] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[138][7]  (
+	.CLK(CTS_48),
+	.D(n_5820),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[138] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[138][8]  (
+	.CLK(CTS_46),
+	.D(n_5819),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[138] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[139][1]  (
+	.CLK(CTS_52),
+	.D(n_5818),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[139] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[139][2]  (
+	.CLK(CTS_47),
+	.D(n_5817),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[139] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[139][3]  (
+	.CLK(CTS_52),
+	.D(n_5816),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[139] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[139][4]  (
+	.CLK(CTS_47),
+	.D(n_5815),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[139] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[139][5]  (
+	.CLK(CTS_47),
+	.D(n_5814),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[139] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[139][6]  (
+	.CLK(CTS_47),
+	.D(n_5813),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[139] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[139][7]  (
+	.CLK(CTS_52),
+	.D(n_5812),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[139] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[139][8]  (
+	.CLK(CTS_52),
+	.D(n_5811),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[139] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[140][1]  (
+	.CLK(CTS_35),
+	.D(n_5810),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[140] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[140][2]  (
+	.CLK(CTS_35),
+	.D(n_5808),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[140] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[140][3]  (
+	.CLK(CTS_56),
+	.D(n_5807),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[140] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[140][4]  (
+	.CLK(CTS_32),
+	.D(n_5806),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[140] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[140][5]  (
+	.CLK(CTS_38),
+	.D(n_5805),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[140] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[140][6]  (
+	.CLK(CTS_42),
+	.D(n_5804),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[140] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[140][7]  (
+	.CLK(CTS_42),
+	.D(n_5803),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[140] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[140][8]  (
+	.CLK(CTS_35),
+	.D(n_5802),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[140] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[141][1]  (
+	.CLK(CTS_35),
+	.D(n_5801),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[141] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[141][2]  (
+	.CLK(CTS_39),
+	.D(n_5800),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[141] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[141][3]  (
+	.CLK(CTS_39),
+	.D(n_5799),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[141] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[141][4]  (
+	.CLK(CTS_39),
+	.D(n_5798),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[141] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[141][5]  (
+	.CLK(CTS_39),
+	.D(n_5797),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[141] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[141][6]  (
+	.CLK(CTS_35),
+	.D(n_5796),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[141] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[141][7]  (
+	.CLK(CTS_39),
+	.D(n_5795),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[141] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[141][8]  (
+	.CLK(CTS_35),
+	.D(n_5794),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[141] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[142][1]  (
+	.CLK(CTS_40),
+	.D(n_5793),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[142] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[142][2]  (
+	.CLK(CTS_40),
+	.D(n_5792),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[142] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[142][3]  (
+	.CLK(CTS_40),
+	.D(n_5790),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[142] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[142][4]  (
+	.CLK(CTS_50),
+	.D(n_5789),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[142] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[142][5]  (
+	.CLK(CTS_40),
+	.D(n_5788),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[142] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[142][6]  (
+	.CLK(CTS_40),
+	.D(n_5787),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[142] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[142][7]  (
+	.CLK(CTS_40),
+	.D(n_5786),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[142] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[142][8]  (
+	.CLK(CTS_40),
+	.D(n_5785),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[142] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[143][1]  (
+	.CLK(CTS_52),
+	.D(n_5098),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[143] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[143][2]  (
+	.CLK(CTS_31),
+	.D(n_5784),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[143] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[143][3]  (
+	.CLK(CTS_31),
+	.D(n_5783),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[143] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[143][4]  (
+	.CLK(CTS_36),
+	.D(n_5782),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[143] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[143][5]  (
+	.CLK(CTS_31),
+	.D(n_5781),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[143] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[143][6]  (
+	.CLK(CTS_31),
+	.D(n_5780),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[143] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[143][7]  (
+	.CLK(CTS_36),
+	.D(n_5779),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[143] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[143][8]  (
+	.CLK(CTS_31),
+	.D(n_5778),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[143] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[144][1]  (
+	.CLK(CTS_42),
+	.D(n_5777),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[144] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[144][2]  (
+	.CLK(CTS_42),
+	.D(n_5776),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[144] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[144][3]  (
+	.CLK(CTS_42),
+	.D(n_5775),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[144] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[144][4]  (
+	.CLK(CTS_42),
+	.D(n_5774),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[144] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[144][5]  (
+	.CLK(CTS_56),
+	.D(n_5773),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[144] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[144][6]  (
+	.CLK(CTS_56),
+	.D(n_5772),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[144] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[144][7]  (
+	.CLK(CTS_42),
+	.D(n_5771),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[144] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[144][8]  (
+	.CLK(CTS_42),
+	.D(n_5770),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[144] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[145][1]  (
+	.CLK(CTS_122),
+	.D(n_5769),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[145] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[145][2]  (
+	.CLK(CTS_122),
+	.D(n_5768),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[145] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[145][3]  (
+	.CLK(CTS_45),
+	.D(n_5767),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[145] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[145][4]  (
+	.CLK(CTS_122),
+	.D(n_5766),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[145] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[145][5]  (
+	.CLK(CTS_122),
+	.D(n_5765),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[145] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[145][6]  (
+	.CLK(CTS_122),
+	.D(n_5764),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[145] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[145][7]  (
+	.CLK(CTS_122),
+	.D(n_5763),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[145] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[145][8]  (
+	.CLK(CTS_122),
+	.D(n_5762),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[145] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[146][1]  (
+	.CLK(CTS_117),
+	.D(n_5761),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[146] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[146][2]  (
+	.CLK(CTS_130),
+	.D(n_5760),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[146] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[146][3]  (
+	.CLK(CTS_48),
+	.D(n_5759),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[146] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[146][4]  (
+	.CLK(CTS_117),
+	.D(n_5758),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[146] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[146][5]  (
+	.CLK(CTS_117),
+	.D(n_5757),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[146] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[146][6]  (
+	.CLK(CTS_130),
+	.D(n_5756),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[146] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[146][7]  (
+	.CLK(CTS_117),
+	.D(n_5755),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[146] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[146][8]  (
+	.CLK(CTS_130),
+	.D(n_5754),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[146] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[147][1]  (
+	.CLK(CTS_37),
+	.D(n_5753),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[147] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[147][2]  (
+	.CLK(CTS_130),
+	.D(n_5752),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[147] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[147][3]  (
+	.CLK(CTS_37),
+	.D(n_5751),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[147] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[147][4]  (
+	.CLK(CTS_48),
+	.D(n_5750),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[147] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[147][5]  (
+	.CLK(CTS_130),
+	.D(n_5749),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[147] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[147][6]  (
+	.CLK(CTS_130),
+	.D(n_5748),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[147] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[147][7]  (
+	.CLK(CTS_130),
+	.D(n_5747),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[147] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[147][8]  (
+	.CLK(CTS_128),
+	.D(n_5746),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[147] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[148][1]  (
+	.CLK(CTS_38),
+	.D(n_5745),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[148] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[148][2]  (
+	.CLK(CTS_38),
+	.D(n_5743),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[148] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[148][3]  (
+	.CLK(CTS_38),
+	.D(n_5742),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[148] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[148][4]  (
+	.CLK(CTS_38),
+	.D(n_5741),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[148] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[148][5]  (
+	.CLK(CTS_38),
+	.D(n_5740),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[148] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[148][6]  (
+	.CLK(CTS_38),
+	.D(n_5739),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[148] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[148][7]  (
+	.CLK(CTS_38),
+	.D(n_5738),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[148] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[148][8]  (
+	.CLK(CTS_38),
+	.D(n_5737),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[148] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[149][1]  (
+	.CLK(CTS_34),
+	.D(n_5736),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[149] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[149][2]  (
+	.CLK(CTS_34),
+	.D(n_5735),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[149] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[149][3]  (
+	.CLK(CTS_50),
+	.D(n_5734),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[149] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[149][4]  (
+	.CLK(CTS_32),
+	.D(n_4786),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[149] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[149][5]  (
+	.CLK(CTS_34),
+	.D(n_4787),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[149] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[149][6]  (
+	.CLK(CTS_34),
+	.D(n_5733),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[149] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[149][7]  (
+	.CLK(CTS_34),
+	.D(n_5732),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[149] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[149][8]  (
+	.CLK(CTS_34),
+	.D(n_5731),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[149] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[150][1]  (
+	.CLK(CTS_41),
+	.D(n_4791),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[150] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[150][2]  (
+	.CLK(CTS_40),
+	.D(n_4790),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[150] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[150][3]  (
+	.CLK(CTS_40),
+	.D(n_5730),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[150] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[150][4]  (
+	.CLK(CTS_44),
+	.D(n_4794),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[150] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[150][5]  (
+	.CLK(CTS_41),
+	.D(n_5729),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[150] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[150][6]  (
+	.CLK(CTS_41),
+	.D(n_4796),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[150] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[150][7]  (
+	.CLK(CTS_44),
+	.D(n_5728),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[150] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[150][8]  (
+	.CLK(CTS_41),
+	.D(n_5727),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[150] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[151][1]  (
+	.CLK(CTS_41),
+	.D(n_5726),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[151] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[151][2]  (
+	.CLK(CTS_53),
+	.D(n_4799),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[151] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[151][3]  (
+	.CLK(CTS_53),
+	.D(n_5725),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[151] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[151][4]  (
+	.CLK(CTS_53),
+	.D(n_5724),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[151] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[151][5]  (
+	.CLK(CTS_53),
+	.D(n_5723),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[151] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[151][6]  (
+	.CLK(CTS_41),
+	.D(n_4817),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[151] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[151][7]  (
+	.CLK(CTS_53),
+	.D(n_5722),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[151] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[151][8]  (
+	.CLK(CTS_41),
+	.D(n_4821),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[151] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[152][1]  (
+	.CLK(CTS_45),
+	.D(n_5721),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[152] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[152][2]  (
+	.CLK(CTS_45),
+	.D(n_5720),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[152] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[152][3]  (
+	.CLK(CTS_45),
+	.D(n_5719),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[152] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[152][4]  (
+	.CLK(CTS_33),
+	.D(n_5718),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[152] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[152][5]  (
+	.CLK(CTS_33),
+	.D(n_5717),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[152] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[152][6]  (
+	.CLK(CTS_33),
+	.D(n_5716),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[152] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[152][7]  (
+	.CLK(CTS_43),
+	.D(n_5715),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[152] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[152][8]  (
+	.CLK(CTS_33),
+	.D(n_5714),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[152] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[153][1]  (
+	.CLK(CTS_119),
+	.D(n_5713),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[153] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[153][2]  (
+	.CLK(CTS_119),
+	.D(n_5712),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[153] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[153][3]  (
+	.CLK(CTS_45),
+	.D(n_4830),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[153] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[153][4]  (
+	.CLK(CTS_119),
+	.D(n_4831),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[153] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[153][5]  (
+	.CLK(CTS_119),
+	.D(n_4832),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[153] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[153][6]  (
+	.CLK(CTS_119),
+	.D(n_5711),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[153] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[153][7]  (
+	.CLK(CTS_119),
+	.D(n_5710),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[153] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[153][8]  (
+	.CLK(CTS_119),
+	.D(n_5709),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[153] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[154][1]  (
+	.CLK(CTS_38),
+	.D(n_5708),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[154] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[154][2]  (
+	.CLK(CTS_46),
+	.D(n_5706),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[154] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[154][3]  (
+	.CLK(CTS_38),
+	.D(n_5705),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[154] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[154][4]  (
+	.CLK(CTS_38),
+	.D(n_5704),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[154] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[154][5]  (
+	.CLK(CTS_46),
+	.D(n_4837),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[154] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[154][6]  (
+	.CLK(CTS_38),
+	.D(n_5703),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[154] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[154][7]  (
+	.CLK(CTS_38),
+	.D(n_4839),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[154] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[154][8]  (
+	.CLK(CTS_38),
+	.D(n_5702),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[154] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[155][1]  (
+	.CLK(CTS_46),
+	.D(n_5701),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[155] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[155][2]  (
+	.CLK(CTS_52),
+	.D(n_4843),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[155] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[155][3]  (
+	.CLK(CTS_36),
+	.D(n_4845),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[155] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[155][4]  (
+	.CLK(CTS_46),
+	.D(n_4855),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[155] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[155][5]  (
+	.CLK(CTS_46),
+	.D(n_5699),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[155] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[155][6]  (
+	.CLK(CTS_52),
+	.D(n_5698),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[155] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[155][7]  (
+	.CLK(CTS_36),
+	.D(n_4860),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[155] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[155][8]  (
+	.CLK(CTS_52),
+	.D(n_5697),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[155] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[156][1]  (
+	.CLK(CTS_42),
+	.D(n_4861),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[156] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[156][2]  (
+	.CLK(CTS_56),
+	.D(n_5696),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[156] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[156][3]  (
+	.CLK(CTS_42),
+	.D(n_5695),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[156] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[156][4]  (
+	.CLK(CTS_42),
+	.D(n_5694),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[156] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[156][5]  (
+	.CLK(CTS_42),
+	.D(n_5693),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[156] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[156][6]  (
+	.CLK(CTS_42),
+	.D(n_5692),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[156] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[156][7]  (
+	.CLK(CTS_56),
+	.D(n_5691),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[156] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[156][8]  (
+	.CLK(CTS_35),
+	.D(n_5690),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[156] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[157][1]  (
+	.CLK(CTS_39),
+	.D(n_5689),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[157] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[157][2]  (
+	.CLK(CTS_32),
+	.D(n_5688),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[157] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[157][3]  (
+	.CLK(CTS_32),
+	.D(n_4866),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[157] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[157][4]  (
+	.CLK(CTS_39),
+	.D(n_5687),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[157] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[157][5]  (
+	.CLK(CTS_39),
+	.D(n_5686),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[157] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[157][6]  (
+	.CLK(CTS_39),
+	.D(n_4870),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[157] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[157][7]  (
+	.CLK(CTS_34),
+	.D(n_5685),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[157] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[157][8]  (
+	.CLK(CTS_34),
+	.D(n_4872),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[157] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[158][1]  (
+	.CLK(CTS_44),
+	.D(n_5684),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[158] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[158][2]  (
+	.CLK(CTS_44),
+	.D(n_5683),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[158] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[158][3]  (
+	.CLK(CTS_50),
+	.D(n_5682),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[158] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[158][4]  (
+	.CLK(CTS_44),
+	.D(n_4875),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[158] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[158][5]  (
+	.CLK(CTS_44),
+	.D(n_5681),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[158] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[158][6]  (
+	.CLK(CTS_44),
+	.D(n_4876),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[158] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[158][7]  (
+	.CLK(CTS_44),
+	.D(n_5680),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[158] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[158][8]  (
+	.CLK(CTS_44),
+	.D(n_4877),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[158] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[159][1]  (
+	.CLK(CTS_31),
+	.D(n_5679),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[159] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[159][2]  (
+	.CLK(CTS_31),
+	.D(n_4879),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[159] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[159][3]  (
+	.CLK(CTS_31),
+	.D(n_4884),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[159] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[159][4]  (
+	.CLK(CTS_36),
+	.D(n_4905),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[159] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[159][5]  (
+	.CLK(CTS_31),
+	.D(n_5677),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[159] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[159][6]  (
+	.CLK(CTS_31),
+	.D(n_4893),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[159] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[159][7]  (
+	.CLK(CTS_31),
+	.D(n_5676),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[159] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[159][8]  (
+	.CLK(CTS_31),
+	.D(n_4894),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[159] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[160][1]  (
+	.CLK(CTS_33),
+	.D(n_5675),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[160] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[160][2]  (
+	.CLK(CTS_42),
+	.D(n_5674),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[160] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[160][3]  (
+	.CLK(CTS_33),
+	.D(n_5673),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[160] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[160][4]  (
+	.CLK(CTS_43),
+	.D(n_5671),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[160] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[160][5]  (
+	.CLK(CTS_43),
+	.D(n_5670),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[160] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[160][6]  (
+	.CLK(CTS_42),
+	.D(n_5669),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[160] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[160][7]  (
+	.CLK(CTS_33),
+	.D(n_5668),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[160] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[160][8]  (
+	.CLK(CTS_42),
+	.D(n_5667),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[160] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[161][1]  (
+	.CLK(CTS_122),
+	.D(n_5666),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[161] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[161][2]  (
+	.CLK(CTS_122),
+	.D(n_5665),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[161] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[161][3]  (
+	.CLK(CTS_45),
+	.D(n_4899),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[161] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[161][4]  (
+	.CLK(CTS_45),
+	.D(n_5664),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[161] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[161][5]  (
+	.CLK(CTS_122),
+	.D(n_5663),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[161] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[161][6]  (
+	.CLK(CTS_122),
+	.D(n_5091),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[161] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[161][7]  (
+	.CLK(CTS_122),
+	.D(n_4900),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[161] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[161][8]  (
+	.CLK(CTS_45),
+	.D(n_5661),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[161] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[162][1]  (
+	.CLK(CTS_117),
+	.D(n_5660),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[162] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[162][2]  (
+	.CLK(CTS_49),
+	.D(n_4915),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[162] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[162][3]  (
+	.CLK(CTS_49),
+	.D(n_5658),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[162] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[162][4]  (
+	.CLK(CTS_49),
+	.D(n_5657),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[162] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[162][5]  (
+	.CLK(CTS_49),
+	.D(n_5656),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[162] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[162][6]  (
+	.CLK(CTS_49),
+	.D(n_4916),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[162] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[162][7]  (
+	.CLK(CTS_117),
+	.D(n_5655),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[162] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[162][8]  (
+	.CLK(CTS_49),
+	.D(n_5654),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[162] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[163][1]  (
+	.CLK(CTS_37),
+	.D(n_5653),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[163] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[163][2]  (
+	.CLK(CTS_37),
+	.D(n_4917),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[163] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[163][3]  (
+	.CLK(CTS_37),
+	.D(n_5652),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[163] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[163][4]  (
+	.CLK(CTS_37),
+	.D(n_4918),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[163] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[163][5]  (
+	.CLK(CTS_37),
+	.D(n_5651),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[163] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[163][6]  (
+	.CLK(CTS_37),
+	.D(n_4920),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[163] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[163][7]  (
+	.CLK(CTS_37),
+	.D(n_5650),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[163] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[163][8]  (
+	.CLK(CTS_37),
+	.D(n_4923),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[163] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[164][1]  (
+	.CLK(CTS_38),
+	.D(n_5649),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[164] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[164][2]  (
+	.CLK(CTS_36),
+	.D(n_5648),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[164] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[164][3]  (
+	.CLK(CTS_36),
+	.D(n_5647),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[164] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[164][4]  (
+	.CLK(CTS_36),
+	.D(n_5646),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[164] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[164][5]  (
+	.CLK(CTS_38),
+	.D(n_5645),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[164] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[164][6]  (
+	.CLK(CTS_50),
+	.D(n_5644),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[164] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[164][7]  (
+	.CLK(CTS_36),
+	.D(n_5643),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[164] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[164][8]  (
+	.CLK(CTS_36),
+	.D(n_5641),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[164] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[165][1]  (
+	.CLK(CTS_34),
+	.D(n_5640),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[165] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[165][2]  (
+	.CLK(CTS_34),
+	.D(n_5639),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[165] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[165][3]  (
+	.CLK(CTS_34),
+	.D(n_5638),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[165] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[165][4]  (
+	.CLK(CTS_44),
+	.D(n_5637),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[165] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[165][5]  (
+	.CLK(CTS_34),
+	.D(n_4932),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[165] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[165][6]  (
+	.CLK(CTS_34),
+	.D(n_5636),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[165] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[165][7]  (
+	.CLK(CTS_34),
+	.D(n_4940),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[165] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[165][8]  (
+	.CLK(CTS_34),
+	.D(n_4936),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[165] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[166][1]  (
+	.CLK(CTS_44),
+	.D(n_4958),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[166] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[166][2]  (
+	.CLK(CTS_44),
+	.D(n_5635),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[166] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[166][3]  (
+	.CLK(CTS_44),
+	.D(n_5634),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[166] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[166][4]  (
+	.CLK(CTS_44),
+	.D(n_5633),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[166] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[166][5]  (
+	.CLK(CTS_44),
+	.D(n_4959),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[166] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[166][6]  (
+	.CLK(CTS_44),
+	.D(n_5632),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[166] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[166][7]  (
+	.CLK(CTS_44),
+	.D(n_5631),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[166] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[166][8]  (
+	.CLK(CTS_41),
+	.D(n_5630),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[166] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[167][1]  (
+	.CLK(CTS_41),
+	.D(n_5629),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[167] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[167][2]  (
+	.CLK(CTS_41),
+	.D(n_5628),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[167] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[167][3]  (
+	.CLK(CTS_41),
+	.D(n_5627),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[167] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[167][4]  (
+	.CLK(CTS_41),
+	.D(n_5626),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[167] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[167][5]  (
+	.CLK(CTS_41),
+	.D(n_4961),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[167] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[167][6]  (
+	.CLK(CTS_41),
+	.D(n_5625),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[167] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[167][7]  (
+	.CLK(CTS_41),
+	.D(n_5624),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[167] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[167][8]  (
+	.CLK(CTS_41),
+	.D(n_5623),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[167] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[168][1]  (
+	.CLK(CTS_45),
+	.D(n_4964),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[168] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[168][2]  (
+	.CLK(CTS_45),
+	.D(n_5622),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[168] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[168][3]  (
+	.CLK(CTS_43),
+	.D(n_5621),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[168] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[168][4]  (
+	.CLK(CTS_45),
+	.D(n_5620),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[168] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[168][5]  (
+	.CLK(CTS_43),
+	.D(n_5619),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[168] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[168][6]  (
+	.CLK(CTS_45),
+	.D(n_4970),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[168] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[168][7]  (
+	.CLK(CTS_45),
+	.D(n_5618),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[168] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[168][8]  (
+	.CLK(CTS_45),
+	.D(n_5617),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[168] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[169][1]  (
+	.CLK(CTS_117),
+	.D(n_5616),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[169] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[169][2]  (
+	.CLK(CTS_49),
+	.D(n_4973),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[169] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[169][3]  (
+	.CLK(CTS_49),
+	.D(n_4974),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[169] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[169][4]  (
+	.CLK(CTS_33),
+	.D(n_5615),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[169] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[169][5]  (
+	.CLK(CTS_117),
+	.D(n_5614),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[169] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[169][6]  (
+	.CLK(CTS_117),
+	.D(n_5613),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[169] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[169][7]  (
+	.CLK(CTS_117),
+	.D(n_5612),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[169] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[169][8]  (
+	.CLK(CTS_49),
+	.D(n_5611),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[169] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[170][1]  (
+	.CLK(CTS_46),
+	.D(n_5610),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[170] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[170][2]  (
+	.CLK(CTS_46),
+	.D(n_5609),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[170] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[170][3]  (
+	.CLK(CTS_46),
+	.D(n_5608),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[170] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[170][4]  (
+	.CLK(CTS_49),
+	.D(n_5607),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[170] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[170][5]  (
+	.CLK(CTS_46),
+	.D(n_5606),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[170] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[170][6]  (
+	.CLK(CTS_46),
+	.D(n_5605),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[170] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[170][7]  (
+	.CLK(CTS_49),
+	.D(n_5604),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[170] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[170][8]  (
+	.CLK(CTS_46),
+	.D(n_5603),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[170] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[171][1]  (
+	.CLK(CTS_47),
+	.D(n_4996),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[171] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[171][2]  (
+	.CLK(CTS_47),
+	.D(n_5602),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[171] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[171][3]  (
+	.CLK(CTS_52),
+	.D(n_5601),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[171] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[171][4]  (
+	.CLK(CTS_47),
+	.D(n_5600),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[171] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[171][5]  (
+	.CLK(CTS_47),
+	.D(n_4999),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[171] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[171][6]  (
+	.CLK(CTS_47),
+	.D(n_5000),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[171] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[171][7]  (
+	.CLK(CTS_52),
+	.D(n_5003),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[171] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[171][8]  (
+	.CLK(CTS_52),
+	.D(n_5599),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[171] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[172][1]  (
+	.CLK(CTS_39),
+	.D(n_5598),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[172] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[172][2]  (
+	.CLK(CTS_35),
+	.D(n_5597),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[172] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[172][3]  (
+	.CLK(CTS_35),
+	.D(n_5596),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[172] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[172][4]  (
+	.CLK(CTS_35),
+	.D(n_5595),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[172] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[172][5]  (
+	.CLK(CTS_35),
+	.D(n_5594),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[172] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[172][6]  (
+	.CLK(CTS_39),
+	.D(n_5593),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[172] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[172][7]  (
+	.CLK(CTS_35),
+	.D(n_5592),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[172] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[172][8]  (
+	.CLK(CTS_39),
+	.D(n_5591),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[172] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[173][1]  (
+	.CLK(CTS_39),
+	.D(n_5590),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[173] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[173][2]  (
+	.CLK(CTS_39),
+	.D(n_5589),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[173] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[173][3]  (
+	.CLK(CTS_39),
+	.D(n_5588),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[173] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[173][4]  (
+	.CLK(CTS_39),
+	.D(n_5587),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[173] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[173][5]  (
+	.CLK(CTS_39),
+	.D(n_5586),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[173] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[173][6]  (
+	.CLK(CTS_39),
+	.D(n_5585),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[173] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[173][7]  (
+	.CLK(CTS_39),
+	.D(n_5584),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[173] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[173][8]  (
+	.CLK(CTS_39),
+	.D(n_5043),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[173] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[174][1]  (
+	.CLK(CTS_44),
+	.D(n_5044),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[174] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[174][2]  (
+	.CLK(CTS_34),
+	.D(n_5583),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[174] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[174][3]  (
+	.CLK(CTS_44),
+	.D(n_5582),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[174] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[174][4]  (
+	.CLK(CTS_44),
+	.D(n_5581),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[174] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[174][5]  (
+	.CLK(CTS_44),
+	.D(n_5580),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[174] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[174][6]  (
+	.CLK(CTS_44),
+	.D(n_5051),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[174] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[174][7]  (
+	.CLK(CTS_50),
+	.D(n_5579),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[174] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[174][8]  (
+	.CLK(CTS_44),
+	.D(n_5578),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[174] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[175][1]  (
+	.CLK(CTS_31),
+	.D(n_5577),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[175] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[175][2]  (
+	.CLK(CTS_36),
+	.D(n_5062),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[175] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[175][3]  (
+	.CLK(CTS_31),
+	.D(n_5576),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[175] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[175][4]  (
+	.CLK(CTS_36),
+	.D(n_5575),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[175] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[175][5]  (
+	.CLK(CTS_36),
+	.D(n_5574),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[175] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[175][6]  (
+	.CLK(CTS_31),
+	.D(n_5573),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[175] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[175][7]  (
+	.CLK(CTS_36),
+	.D(n_5572),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[175] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[175][8]  (
+	.CLK(CTS_36),
+	.D(n_5070),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[175] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[176][1]  (
+	.CLK(CTS_56),
+	.D(n_5571),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[176] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[176][2]  (
+	.CLK(CTS_56),
+	.D(n_5570),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[176] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[176][3]  (
+	.CLK(CTS_56),
+	.D(n_5569),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[176] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[176][4]  (
+	.CLK(CTS_56),
+	.D(n_5568),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[176] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[176][5]  (
+	.CLK(CTS_56),
+	.D(n_5567),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[176] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[176][6]  (
+	.CLK(CTS_56),
+	.D(n_5566),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[176] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[176][7]  (
+	.CLK(CTS_56),
+	.D(n_5565),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[176] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[176][8]  (
+	.CLK(CTS_56),
+	.D(n_5564),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[176] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[177][1]  (
+	.CLK(CTS_122),
+	.D(n_5563),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[177] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[177][2]  (
+	.CLK(CTS_122),
+	.D(n_5561),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[177] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[177][3]  (
+	.CLK(CTS_45),
+	.D(n_5560),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[177] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[177][4]  (
+	.CLK(CTS_122),
+	.D(n_5559),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[177] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[177][5]  (
+	.CLK(CTS_122),
+	.D(n_6571),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[177] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[177][6]  (
+	.CLK(CTS_122),
+	.D(n_5558),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[177] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[177][7]  (
+	.CLK(CTS_122),
+	.D(n_5557),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[177] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[177][8]  (
+	.CLK(CTS_119),
+	.D(n_5556),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[177] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[178][1]  (
+	.CLK(CTS_117),
+	.D(n_5555),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[178] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[178][2]  (
+	.CLK(CTS_130),
+	.D(n_6581),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[178] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[178][3]  (
+	.CLK(CTS_48),
+	.D(n_5554),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[178] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[178][4]  (
+	.CLK(CTS_48),
+	.D(n_5553),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[178] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[178][5]  (
+	.CLK(CTS_130),
+	.D(n_5552),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[178] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[178][6]  (
+	.CLK(CTS_48),
+	.D(n_5551),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[178] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[178][7]  (
+	.CLK(CTS_117),
+	.D(n_5550),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[178] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[178][8]  (
+	.CLK(CTS_130),
+	.D(n_5549),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[178] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[179][1]  (
+	.CLK(CTS_37),
+	.D(n_5548),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[179] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[179][2]  (
+	.CLK(CTS_37),
+	.D(n_5547),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[179] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[179][3]  (
+	.CLK(CTS_37),
+	.D(n_5546),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[179] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[179][4]  (
+	.CLK(CTS_48),
+	.D(n_6627),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[179] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[179][5]  (
+	.CLK(CTS_37),
+	.D(n_6625),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[179] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[179][6]  (
+	.CLK(CTS_37),
+	.D(n_5545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[179] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[179][7]  (
+	.CLK(CTS_37),
+	.D(n_5544),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[179] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[179][8]  (
+	.CLK(CTS_37),
+	.D(n_5543),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[179] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[180][1]  (
+	.CLK(CTS_38),
+	.D(n_5542),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[180] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[180][2]  (
+	.CLK(CTS_50),
+	.D(n_5540),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[180] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[180][3]  (
+	.CLK(CTS_50),
+	.D(n_5539),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[180] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[180][4]  (
+	.CLK(CTS_38),
+	.D(n_5538),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[180] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[180][5]  (
+	.CLK(CTS_38),
+	.D(n_5536),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[180] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[180][6]  (
+	.CLK(CTS_56),
+	.D(n_5535),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[180] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[180][7]  (
+	.CLK(CTS_56),
+	.D(n_5534),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[180] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[180][8]  (
+	.CLK(CTS_56),
+	.D(n_5533),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[180] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[181][1]  (
+	.CLK(CTS_32),
+	.D(n_5532),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[181] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[181][2]  (
+	.CLK(CTS_34),
+	.D(n_5531),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[181] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[181][3]  (
+	.CLK(CTS_32),
+	.D(n_5530),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[181] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[181][4]  (
+	.CLK(CTS_32),
+	.D(n_5529),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[181] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[181][5]  (
+	.CLK(CTS_32),
+	.D(n_5528),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[181] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[181][6]  (
+	.CLK(CTS_32),
+	.D(n_5527),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[181] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[181][7]  (
+	.CLK(CTS_34),
+	.D(n_5526),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[181] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[181][8]  (
+	.CLK(CTS_32),
+	.D(n_5525),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[181] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[182][1]  (
+	.CLK(CTS_53),
+	.D(n_5524),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[182] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[182][2]  (
+	.CLK(CTS_36),
+	.D(n_5523),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[182] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[182][3]  (
+	.CLK(CTS_53),
+	.D(n_5522),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[182] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[182][4]  (
+	.CLK(CTS_53),
+	.D(n_5521),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[182] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[182][5]  (
+	.CLK(CTS_40),
+	.D(n_5520),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[182] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[182][6]  (
+	.CLK(CTS_41),
+	.D(n_5519),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[182] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[182][7]  (
+	.CLK(CTS_40),
+	.D(n_5518),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[182] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[182][8]  (
+	.CLK(CTS_53),
+	.D(n_5517),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[182] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[183][1]  (
+	.CLK(CTS_53),
+	.D(n_5516),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[183] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[183][2]  (
+	.CLK(CTS_53),
+	.D(n_5515),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[183] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[183][3]  (
+	.CLK(CTS_53),
+	.D(n_5514),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[183] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[183][4]  (
+	.CLK(CTS_53),
+	.D(n_5513),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[183] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[183][5]  (
+	.CLK(CTS_53),
+	.D(n_5512),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[183] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[183][6]  (
+	.CLK(CTS_53),
+	.D(n_5511),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[183] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[183][7]  (
+	.CLK(CTS_53),
+	.D(n_5510),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[183] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[183][8]  (
+	.CLK(CTS_53),
+	.D(n_5509),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[183] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[184][1]  (
+	.CLK(CTS_43),
+	.D(n_5508),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[184] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[184][2]  (
+	.CLK(CTS_43),
+	.D(n_5507),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[184] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[184][3]  (
+	.CLK(CTS_43),
+	.D(n_5506),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[184] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[184][4]  (
+	.CLK(CTS_33),
+	.D(n_5505),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[184] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[184][5]  (
+	.CLK(CTS_33),
+	.D(n_5504),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[184] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[184][6]  (
+	.CLK(CTS_33),
+	.D(n_5503),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[184] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[184][7]  (
+	.CLK(CTS_33),
+	.D(n_5502),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[184] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[184][8]  (
+	.CLK(CTS_33),
+	.D(n_5501),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[184] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[185][1]  (
+	.CLK(CTS_119),
+	.D(n_5500),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[185] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[185][2]  (
+	.CLK(CTS_119),
+	.D(n_5499),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[185] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[185][3]  (
+	.CLK(CTS_45),
+	.D(n_5498),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[185] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[185][4]  (
+	.CLK(CTS_45),
+	.D(n_5497),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[185] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[185][5]  (
+	.CLK(CTS_119),
+	.D(n_5496),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[185] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[185][6]  (
+	.CLK(CTS_119),
+	.D(n_6496),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[185] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[185][7]  (
+	.CLK(CTS_119),
+	.D(n_6483),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[185] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[185][8]  (
+	.CLK(CTS_119),
+	.D(n_5495),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[185] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[186][1]  (
+	.CLK(CTS_46),
+	.D(n_6492),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[186] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[186][2]  (
+	.CLK(CTS_46),
+	.D(n_5493),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[186] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[186][3]  (
+	.CLK(CTS_36),
+	.D(n_6610),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[186] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[186][4]  (
+	.CLK(CTS_36),
+	.D(n_5492),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[186] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[186][5]  (
+	.CLK(CTS_46),
+	.D(n_6657),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[186] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[186][6]  (
+	.CLK(CTS_46),
+	.D(n_5491),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[186] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[186][7]  (
+	.CLK(CTS_36),
+	.D(n_6526),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[186] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[186][8]  (
+	.CLK(CTS_46),
+	.D(n_5490),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[186] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[187][1]  (
+	.CLK(CTS_47),
+	.D(n_4891),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[187] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[187][2]  (
+	.CLK(CTS_47),
+	.D(n_5489),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[187] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[187][3]  (
+	.CLK(CTS_52),
+	.D(n_6601),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[187] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[187][4]  (
+	.CLK(CTS_36),
+	.D(n_5488),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[187] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[187][5]  (
+	.CLK(CTS_36),
+	.D(n_5487),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[187] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[187][6]  (
+	.CLK(CTS_52),
+	.D(n_5486),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[187] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[187][7]  (
+	.CLK(CTS_52),
+	.D(n_6608),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[187] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[187][8]  (
+	.CLK(CTS_52),
+	.D(n_5485),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[187] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[188][1]  (
+	.CLK(CTS_42),
+	.D(n_6544),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[188] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[188][2]  (
+	.CLK(CTS_35),
+	.D(n_5484),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[188] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[188][3]  (
+	.CLK(CTS_42),
+	.D(n_5483),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[188] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[188][4]  (
+	.CLK(CTS_35),
+	.D(n_5482),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[188] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[188][5]  (
+	.CLK(CTS_42),
+	.D(n_5481),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[188] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[188][6]  (
+	.CLK(CTS_56),
+	.D(n_5480),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[188] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[188][7]  (
+	.CLK(CTS_56),
+	.D(n_5479),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[188] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[188][8]  (
+	.CLK(CTS_56),
+	.D(n_5478),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[188] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[189][1]  (
+	.CLK(CTS_39),
+	.D(n_5477),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[189] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[189][2]  (
+	.CLK(CTS_32),
+	.D(n_5476),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[189] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[189][3]  (
+	.CLK(CTS_32),
+	.D(n_5474),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[189] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[189][4]  (
+	.CLK(CTS_34),
+	.D(n_5473),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[189] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[189][5]  (
+	.CLK(CTS_39),
+	.D(n_5472),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[189] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[189][6]  (
+	.CLK(CTS_39),
+	.D(n_5209),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[189] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[189][7]  (
+	.CLK(CTS_34),
+	.D(n_5471),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[189] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[189][8]  (
+	.CLK(CTS_34),
+	.D(n_5470),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[189] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[190][1]  (
+	.CLK(CTS_44),
+	.D(n_5469),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[190] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[190][2]  (
+	.CLK(CTS_44),
+	.D(n_5185),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[190] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[190][3]  (
+	.CLK(CTS_50),
+	.D(n_5468),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[190] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[190][4]  (
+	.CLK(CTS_50),
+	.D(n_6447),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[190] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[190][5]  (
+	.CLK(CTS_44),
+	.D(n_5467),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[190] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[190][6]  (
+	.CLK(CTS_44),
+	.D(n_5466),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[190] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[190][7]  (
+	.CLK(CTS_50),
+	.D(n_5465),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[190] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[190][8]  (
+	.CLK(CTS_44),
+	.D(n_5464),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[190] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[191][1]  (
+	.CLK(CTS_31),
+	.D(n_5463),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[191] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[191][2]  (
+	.CLK(CTS_31),
+	.D(n_6655),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[191] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[191][3]  (
+	.CLK(CTS_31),
+	.D(n_5462),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[191] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[191][4]  (
+	.CLK(CTS_52),
+	.D(n_5109),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[191] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[191][5]  (
+	.CLK(CTS_52),
+	.D(n_5461),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[191] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[191][6]  (
+	.CLK(CTS_31),
+	.D(n_6501),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[191] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[191][7]  (
+	.CLK(CTS_36),
+	.D(n_5460),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[191] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[191][8]  (
+	.CLK(CTS_52),
+	.D(n_6486),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[191] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[192][1]  (
+	.CLK(CTS_42),
+	.D(n_6491),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[192] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[192][2]  (
+	.CLK(CTS_43),
+	.D(n_5459),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[192] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[192][3]  (
+	.CLK(CTS_43),
+	.D(n_4846),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[192] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[192][4]  (
+	.CLK(CTS_43),
+	.D(n_5458),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[192] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[192][5]  (
+	.CLK(CTS_43),
+	.D(n_5457),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[192] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[192][6]  (
+	.CLK(CTS_43),
+	.D(n_6445),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[192] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[192][7]  (
+	.CLK(CTS_43),
+	.D(n_6451),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[192] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[192][8]  (
+	.CLK(CTS_43),
+	.D(n_5456),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[192] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[193][1]  (
+	.CLK(CTS_45),
+	.D(n_6473),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[193] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[193][2]  (
+	.CLK(CTS_122),
+	.D(n_5455),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[193] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[193][3]  (
+	.CLK(CTS_45),
+	.D(n_5454),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[193] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[193][4]  (
+	.CLK(CTS_45),
+	.D(n_5453),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[193] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[193][5]  (
+	.CLK(CTS_122),
+	.D(n_5452),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[193] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[193][6]  (
+	.CLK(CTS_122),
+	.D(n_5451),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[193] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[193][7]  (
+	.CLK(CTS_122),
+	.D(n_4957),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[193] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[193][8]  (
+	.CLK(CTS_45),
+	.D(n_5450),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[193] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[194][1]  (
+	.CLK(CTS_48),
+	.D(n_6494),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[194] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[194][2]  (
+	.CLK(CTS_37),
+	.D(n_5449),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[194] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[194][3]  (
+	.CLK(CTS_48),
+	.D(n_5448),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[194] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[194][4]  (
+	.CLK(CTS_48),
+	.D(n_5447),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[194] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[194][5]  (
+	.CLK(CTS_48),
+	.D(n_5446),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[194] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[194][6]  (
+	.CLK(CTS_48),
+	.D(n_5445),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[194] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[194][7]  (
+	.CLK(CTS_48),
+	.D(n_5444),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[194] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[194][8]  (
+	.CLK(CTS_48),
+	.D(n_6614),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[194] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[195][1]  (
+	.CLK(CTS_47),
+	.D(n_6622),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[195] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[195][2]  (
+	.CLK(CTS_47),
+	.D(n_5443),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[195] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[195][3]  (
+	.CLK(CTS_47),
+	.D(n_5442),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[195] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[195][4]  (
+	.CLK(CTS_47),
+	.D(n_5441),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[195] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[195][5]  (
+	.CLK(CTS_47),
+	.D(n_5440),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[195] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[195][6]  (
+	.CLK(CTS_47),
+	.D(n_5439),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[195] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[195][7]  (
+	.CLK(CTS_47),
+	.D(n_5438),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[195] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[195][8]  (
+	.CLK(CTS_47),
+	.D(n_5437),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[195] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[196][1]  (
+	.CLK(CTS_50),
+	.D(n_5436),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[196] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[196][2]  (
+	.CLK(CTS_32),
+	.D(n_5435),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[196] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[196][3]  (
+	.CLK(CTS_50),
+	.D(n_5434),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[196] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[196][4]  (
+	.CLK(CTS_38),
+	.D(n_5432),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[196] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[196][5]  (
+	.CLK(CTS_32),
+	.D(n_5431),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[196] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[196][6]  (
+	.CLK(CTS_50),
+	.D(n_6515),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[196] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[196][7]  (
+	.CLK(CTS_50),
+	.D(n_5430),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[196] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[196][8]  (
+	.CLK(CTS_50),
+	.D(n_5429),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[196] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[197][1]  (
+	.CLK(CTS_32),
+	.D(n_5428),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[197] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[197][2]  (
+	.CLK(CTS_32),
+	.D(n_5427),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[197] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[197][3]  (
+	.CLK(CTS_32),
+	.D(n_5426),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[197] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[197][4]  (
+	.CLK(CTS_32),
+	.D(n_4873),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[197] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[197][5]  (
+	.CLK(CTS_32),
+	.D(n_5425),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[197] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[197][6]  (
+	.CLK(CTS_32),
+	.D(n_5089),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[197] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[197][7]  (
+	.CLK(CTS_32),
+	.D(n_5424),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[197] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[197][8]  (
+	.CLK(CTS_32),
+	.D(n_5423),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[197] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[198][1]  (
+	.CLK(CTS_40),
+	.D(n_5093),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[198] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[198][2]  (
+	.CLK(CTS_40),
+	.D(n_5421),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[198] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[198][3]  (
+	.CLK(CTS_44),
+	.D(n_5420),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[198] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[198][4]  (
+	.CLK(CTS_40),
+	.D(n_5419),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[198] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[198][5]  (
+	.CLK(CTS_40),
+	.D(n_5418),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[198] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[198][6]  (
+	.CLK(CTS_40),
+	.D(n_5417),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[198] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[198][7]  (
+	.CLK(CTS_40),
+	.D(n_5416),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[198] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[198][8]  (
+	.CLK(CTS_40),
+	.D(n_5414),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[198] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[199][1]  (
+	.CLK(CTS_31),
+	.D(n_5413),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[199] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[199][2]  (
+	.CLK(CTS_53),
+	.D(n_5412),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[199] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[199][3]  (
+	.CLK(CTS_31),
+	.D(n_5411),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[199] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[199][4]  (
+	.CLK(CTS_31),
+	.D(n_5410),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[199] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[199][5]  (
+	.CLK(CTS_53),
+	.D(n_5409),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[199] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[199][6]  (
+	.CLK(CTS_53),
+	.D(n_5408),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[199] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[199][7]  (
+	.CLK(CTS_31),
+	.D(n_5407),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[199] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[199][8]  (
+	.CLK(CTS_53),
+	.D(n_5406),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[199] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[200][1]  (
+	.CLK(CTS_43),
+	.D(n_6407),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[200] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[200][2]  (
+	.CLK(CTS_43),
+	.D(n_5405),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[200] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[200][3]  (
+	.CLK(CTS_43),
+	.D(n_6508),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[200] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[200][4]  (
+	.CLK(CTS_43),
+	.D(n_5404),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[200] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[200][5]  (
+	.CLK(CTS_43),
+	.D(n_6606),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[200] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[200][6]  (
+	.CLK(CTS_43),
+	.D(n_5403),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[200] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[200][7]  (
+	.CLK(CTS_43),
+	.D(n_6607),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[200] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[200][8]  (
+	.CLK(CTS_43),
+	.D(n_5402),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[200] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[201][1]  (
+	.CLK(CTS_49),
+	.D(n_5401),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[201] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[201][2]  (
+	.CLK(CTS_49),
+	.D(n_4886),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[201] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[201][3]  (
+	.CLK(CTS_49),
+	.D(n_6615),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[201] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[201][4]  (
+	.CLK(CTS_49),
+	.D(n_5400),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[201] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[201][5]  (
+	.CLK(CTS_45),
+	.D(n_4803),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[201] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[201][6]  (
+	.CLK(CTS_119),
+	.D(n_5399),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[201] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[201][7]  (
+	.CLK(CTS_119),
+	.D(n_6018),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[201] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[201][8]  (
+	.CLK(CTS_45),
+	.D(n_5397),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[201] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[202][1]  (
+	.CLK(CTS_48),
+	.D(n_6636),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[202] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[202][2]  (
+	.CLK(CTS_46),
+	.D(n_5396),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[202] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[202][3]  (
+	.CLK(CTS_48),
+	.D(n_5395),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[202] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[202][4]  (
+	.CLK(CTS_46),
+	.D(n_5394),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[202] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[202][5]  (
+	.CLK(CTS_46),
+	.D(n_5393),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[202] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[202][6]  (
+	.CLK(CTS_48),
+	.D(n_5392),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[202] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[202][7]  (
+	.CLK(CTS_48),
+	.D(n_5391),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[202] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[202][8]  (
+	.CLK(CTS_46),
+	.D(n_5390),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[202] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[203][1]  (
+	.CLK(CTS_52),
+	.D(n_5389),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[203] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[203][2]  (
+	.CLK(CTS_47),
+	.D(n_5388),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[203] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[203][3]  (
+	.CLK(CTS_52),
+	.D(n_5387),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[203] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[203][4]  (
+	.CLK(CTS_47),
+	.D(n_6527),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[203] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[203][5]  (
+	.CLK(CTS_47),
+	.D(n_5386),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[203] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[203][6]  (
+	.CLK(CTS_47),
+	.D(n_5385),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[203] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[203][7]  (
+	.CLK(CTS_52),
+	.D(n_5384),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[203] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[203][8]  (
+	.CLK(CTS_52),
+	.D(n_5383),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[203] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[204][1]  (
+	.CLK(CTS_42),
+	.D(n_6429),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[204] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[204][2]  (
+	.CLK(CTS_56),
+	.D(n_6221),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[204] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[204][3]  (
+	.CLK(CTS_56),
+	.D(n_5382),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[204] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[204][4]  (
+	.CLK(CTS_35),
+	.D(n_5381),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[204] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[204][5]  (
+	.CLK(CTS_35),
+	.D(n_5380),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[204] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[204][6]  (
+	.CLK(CTS_42),
+	.D(n_5379),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[204] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[204][7]  (
+	.CLK(CTS_35),
+	.D(n_5377),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[204] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[204][8]  (
+	.CLK(CTS_35),
+	.D(n_5376),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[204] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[205][1]  (
+	.CLK(CTS_35),
+	.D(n_5375),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[205] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[205][2]  (
+	.CLK(CTS_35),
+	.D(n_4944),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[205] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[205][3]  (
+	.CLK(CTS_39),
+	.D(n_5374),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[205] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[205][4]  (
+	.CLK(CTS_35),
+	.D(n_4949),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[205] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[205][5]  (
+	.CLK(CTS_35),
+	.D(n_5373),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[205] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[205][6]  (
+	.CLK(CTS_35),
+	.D(n_4968),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[205] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[205][7]  (
+	.CLK(CTS_35),
+	.D(n_5372),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[205] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[205][8]  (
+	.CLK(CTS_32),
+	.D(n_4965),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[205] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[206][1]  (
+	.CLK(CTS_40),
+	.D(n_5371),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[206] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[206][2]  (
+	.CLK(CTS_40),
+	.D(n_5370),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[206] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[206][3]  (
+	.CLK(CTS_40),
+	.D(n_5369),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[206] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[206][4]  (
+	.CLK(CTS_50),
+	.D(n_5368),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[206] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[206][5]  (
+	.CLK(CTS_40),
+	.D(n_5367),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[206] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[206][6]  (
+	.CLK(CTS_40),
+	.D(n_5366),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[206] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[206][7]  (
+	.CLK(CTS_40),
+	.D(n_5365),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[206] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[206][8]  (
+	.CLK(CTS_40),
+	.D(n_5364),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[206] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[207][1]  (
+	.CLK(CTS_52),
+	.D(n_5363),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[207] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[207][2]  (
+	.CLK(CTS_31),
+	.D(n_5361),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[207] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[207][3]  (
+	.CLK(CTS_52),
+	.D(n_5360),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[207] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[207][4]  (
+	.CLK(CTS_36),
+	.D(n_5359),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[207] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[207][5]  (
+	.CLK(CTS_31),
+	.D(n_5358),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[207] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[207][6]  (
+	.CLK(CTS_31),
+	.D(n_5356),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[207] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[207][7]  (
+	.CLK(CTS_36),
+	.D(n_4962),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[207] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[207][8]  (
+	.CLK(CTS_31),
+	.D(n_5355),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[207] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[208][1]  (
+	.CLK(CTS_42),
+	.D(n_6453),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[208] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[208][2]  (
+	.CLK(CTS_42),
+	.D(n_5354),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[208] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[208][3]  (
+	.CLK(CTS_42),
+	.D(n_6521),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[208] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[208][4]  (
+	.CLK(CTS_42),
+	.D(n_5353),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[208] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[208][5]  (
+	.CLK(CTS_56),
+	.D(n_6126),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[208] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[208][6]  (
+	.CLK(CTS_56),
+	.D(n_5352),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[208] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[208][7]  (
+	.CLK(CTS_42),
+	.D(n_6503),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[208] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[208][8]  (
+	.CLK(CTS_42),
+	.D(n_5351),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[208] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[209][1]  (
+	.CLK(CTS_122),
+	.D(n_5350),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[209] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[209][2]  (
+	.CLK(CTS_122),
+	.D(n_5349),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[209] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[209][3]  (
+	.CLK(CTS_45),
+	.D(n_5348),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[209] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[209][4]  (
+	.CLK(CTS_122),
+	.D(n_5347),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[209] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[209][5]  (
+	.CLK(CTS_119),
+	.D(n_5346),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[209] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[209][6]  (
+	.CLK(CTS_119),
+	.D(n_5345),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[209] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[209][7]  (
+	.CLK(CTS_122),
+	.D(n_5344),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[209] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[209][8]  (
+	.CLK(CTS_122),
+	.D(n_5343),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[209] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[210][1]  (
+	.CLK(CTS_49),
+	.D(n_5342),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[210] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[210][2]  (
+	.CLK(CTS_130),
+	.D(n_6650),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[210] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[210][3]  (
+	.CLK(CTS_48),
+	.D(n_6642),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[210] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[210][4]  (
+	.CLK(CTS_48),
+	.D(n_5340),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[210] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[210][5]  (
+	.CLK(CTS_49),
+	.D(n_5339),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[210] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[210][6]  (
+	.CLK(CTS_117),
+	.D(n_5338),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[210] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[210][7]  (
+	.CLK(CTS_117),
+	.D(n_5337),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[210] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[210][8]  (
+	.CLK(CTS_130),
+	.D(n_6647),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[210] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[211][1]  (
+	.CLK(CTS_37),
+	.D(n_5336),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[211] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[211][2]  (
+	.CLK(CTS_128),
+	.D(n_5335),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[211] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[211][3]  (
+	.CLK(CTS_130),
+	.D(n_5334),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[211] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[211][4]  (
+	.CLK(CTS_37),
+	.D(n_5333),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[211] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[211][5]  (
+	.CLK(CTS_48),
+	.D(n_5332),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[211] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[211][6]  (
+	.CLK(CTS_128),
+	.D(n_5331),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[211] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[211][7]  (
+	.CLK(CTS_37),
+	.D(n_5330),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[211] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[211][8]  (
+	.CLK(CTS_37),
+	.D(n_6654),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[211] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[212][1]  (
+	.CLK(CTS_38),
+	.D(n_5329),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[212] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[212][2]  (
+	.CLK(CTS_38),
+	.D(n_5328),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[212] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[212][3]  (
+	.CLK(CTS_38),
+	.D(n_5327),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[212] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[212][4]  (
+	.CLK(CTS_36),
+	.D(n_5326),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[212] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[212][5]  (
+	.CLK(CTS_38),
+	.D(n_5325),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[212] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[212][6]  (
+	.CLK(CTS_38),
+	.D(n_5324),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[212] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[212][7]  (
+	.CLK(CTS_38),
+	.D(n_5323),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[212] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[212][8]  (
+	.CLK(CTS_38),
+	.D(n_5322),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[212] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[213][1]  (
+	.CLK(CTS_34),
+	.D(n_5321),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[213] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[213][2]  (
+	.CLK(CTS_34),
+	.D(n_6659),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[213] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[213][3]  (
+	.CLK(CTS_50),
+	.D(n_5320),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[213] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[213][4]  (
+	.CLK(CTS_34),
+	.D(n_5319),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[213] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[213][5]  (
+	.CLK(CTS_34),
+	.D(n_6664),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[213] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[213][6]  (
+	.CLK(CTS_34),
+	.D(n_6661),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[213] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[213][7]  (
+	.CLK(CTS_34),
+	.D(n_6663),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[213] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[213][8]  (
+	.CLK(CTS_34),
+	.D(n_5318),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[213] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[214][1]  (
+	.CLK(CTS_41),
+	.D(n_5134),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[214] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[214][2]  (
+	.CLK(CTS_53),
+	.D(n_5317),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[214] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[214][3]  (
+	.CLK(CTS_40),
+	.D(n_6984),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[214] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[214][4]  (
+	.CLK(CTS_44),
+	.D(n_5316),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[214] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[214][5]  (
+	.CLK(CTS_41),
+	.D(n_5868),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[214] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[214][6]  (
+	.CLK(CTS_41),
+	.D(n_5314),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[214] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[214][7]  (
+	.CLK(CTS_44),
+	.D(n_5188),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[214] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[214][8]  (
+	.CLK(CTS_44),
+	.D(n_5313),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[214] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[215][1]  (
+	.CLK(CTS_53),
+	.D(n_5184),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[215] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[215][2]  (
+	.CLK(CTS_53),
+	.D(n_6546),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[215] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[215][3]  (
+	.CLK(CTS_53),
+	.D(n_6708),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[215] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[215][4]  (
+	.CLK(CTS_53),
+	.D(n_5312),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[215] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[215][5]  (
+	.CLK(CTS_53),
+	.D(n_6531),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[215] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[215][6]  (
+	.CLK(CTS_41),
+	.D(n_6477),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[215] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[215][7]  (
+	.CLK(CTS_41),
+	.D(n_6455),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[215] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[215][8]  (
+	.CLK(CTS_41),
+	.D(n_5311),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[215] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[216][1]  (
+	.CLK(CTS_43),
+	.D(n_6605),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[216] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[216][2]  (
+	.CLK(CTS_33),
+	.D(n_5310),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[216] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[216][3]  (
+	.CLK(CTS_43),
+	.D(n_5309),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[216] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[216][4]  (
+	.CLK(CTS_33),
+	.D(n_5308),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[216] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[216][5]  (
+	.CLK(CTS_43),
+	.D(n_5307),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[216] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[216][6]  (
+	.CLK(CTS_33),
+	.D(n_5306),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[216] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[216][7]  (
+	.CLK(CTS_33),
+	.D(n_5304),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[216] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[216][8]  (
+	.CLK(CTS_33),
+	.D(n_5303),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[216] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[217][1]  (
+	.CLK(CTS_119),
+	.D(n_5302),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[217] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[217][2]  (
+	.CLK(CTS_119),
+	.D(n_5301),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[217] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[217][3]  (
+	.CLK(CTS_45),
+	.D(n_5300),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[217] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[217][4]  (
+	.CLK(CTS_119),
+	.D(n_5299),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[217] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[217][5]  (
+	.CLK(CTS_122),
+	.D(n_5298),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[217] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[217][6]  (
+	.CLK(CTS_119),
+	.D(n_5297),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[217] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[217][7]  (
+	.CLK(CTS_119),
+	.D(n_5296),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[217] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[217][8]  (
+	.CLK(CTS_119),
+	.D(n_5295),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[217] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[218][1]  (
+	.CLK(CTS_46),
+	.D(n_4801),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[218] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[218][2]  (
+	.CLK(CTS_38),
+	.D(n_6275),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[218] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[218][3]  (
+	.CLK(CTS_38),
+	.D(n_5294),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[218] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[218][4]  (
+	.CLK(CTS_38),
+	.D(n_5293),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[218] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[218][5]  (
+	.CLK(CTS_46),
+	.D(n_5292),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[218] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[218][6]  (
+	.CLK(CTS_46),
+	.D(n_6536),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[218] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[218][7]  (
+	.CLK(CTS_38),
+	.D(n_5291),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[218] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[218][8]  (
+	.CLK(CTS_38),
+	.D(n_4853),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[218] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[219][1]  (
+	.CLK(CTS_47),
+	.D(n_5290),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[219] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[219][2]  (
+	.CLK(CTS_52),
+	.D(n_6569),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[219] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[219][3]  (
+	.CLK(CTS_52),
+	.D(n_5289),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[219] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[219][4]  (
+	.CLK(CTS_36),
+	.D(n_6613),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[219] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[219][5]  (
+	.CLK(CTS_46),
+	.D(n_5288),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[219] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[219][6]  (
+	.CLK(CTS_52),
+	.D(n_6620),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[219] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[219][7]  (
+	.CLK(CTS_52),
+	.D(n_5287),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[219] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[219][8]  (
+	.CLK(CTS_52),
+	.D(n_5286),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[219] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[220][1]  (
+	.CLK(CTS_42),
+	.D(n_5285),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[220] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[220][2]  (
+	.CLK(CTS_38),
+	.D(n_5284),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[220] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[220][3]  (
+	.CLK(CTS_42),
+	.D(n_5283),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[220] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[220][4]  (
+	.CLK(CTS_35),
+	.D(n_5282),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[220] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[220][5]  (
+	.CLK(CTS_42),
+	.D(n_5281),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[220] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[220][6]  (
+	.CLK(CTS_56),
+	.D(n_5280),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[220] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[220][7]  (
+	.CLK(CTS_56),
+	.D(n_5278),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[220] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[220][8]  (
+	.CLK(CTS_56),
+	.D(n_5277),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[220] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[221][1]  (
+	.CLK(CTS_39),
+	.D(n_5276),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[221] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[221][2]  (
+	.CLK(CTS_39),
+	.D(n_5275),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[221] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[221][3]  (
+	.CLK(CTS_32),
+	.D(n_6178),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[221] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[221][4]  (
+	.CLK(CTS_39),
+	.D(n_5274),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[221] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[221][5]  (
+	.CLK(CTS_39),
+	.D(n_6444),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[221] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[221][6]  (
+	.CLK(CTS_39),
+	.D(n_5273),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[221] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[221][7]  (
+	.CLK(CTS_34),
+	.D(n_5272),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[221] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[221][8]  (
+	.CLK(CTS_34),
+	.D(n_5271),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[221] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[222][1]  (
+	.CLK(CTS_44),
+	.D(n_5270),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[222] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[222][2]  (
+	.CLK(CTS_50),
+	.D(n_5269),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[222] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[222][3]  (
+	.CLK(CTS_50),
+	.D(n_5268),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[222] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[222][4]  (
+	.CLK(CTS_44),
+	.D(n_5266),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[222] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[222][5]  (
+	.CLK(CTS_44),
+	.D(n_5265),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[222] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[222][6]  (
+	.CLK(CTS_44),
+	.D(n_5264),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[222] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[222][7]  (
+	.CLK(CTS_50),
+	.D(n_5263),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[222] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[222][8]  (
+	.CLK(CTS_44),
+	.D(n_5262),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[222] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[223][1]  (
+	.CLK(CTS_31),
+	.D(n_5261),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[223] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[223][2]  (
+	.CLK(CTS_31),
+	.D(n_5260),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[223] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[223][3]  (
+	.CLK(CTS_31),
+	.D(n_5259),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[223] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[223][4]  (
+	.CLK(CTS_52),
+	.D(n_5258),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[223] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[223][5]  (
+	.CLK(CTS_31),
+	.D(n_5257),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[223] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[223][6]  (
+	.CLK(CTS_31),
+	.D(n_5256),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[223] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[223][7]  (
+	.CLK(CTS_52),
+	.D(n_5255),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[223] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[223][8]  (
+	.CLK(CTS_31),
+	.D(n_5254),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[223] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[224][1]  (
+	.CLK(CTS_56),
+	.D(n_5253),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[224] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[224][2]  (
+	.CLK(CTS_42),
+	.D(n_5252),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[224] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[224][3]  (
+	.CLK(CTS_56),
+	.D(n_5251),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[224] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[224][4]  (
+	.CLK(CTS_42),
+	.D(n_5250),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[224] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[224][5]  (
+	.CLK(CTS_43),
+	.D(n_5249),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[224] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[224][6]  (
+	.CLK(CTS_42),
+	.D(n_5248),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[224] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[224][7]  (
+	.CLK(CTS_56),
+	.D(n_5247),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[224] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[224][8]  (
+	.CLK(CTS_42),
+	.D(n_5246),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[224] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[225][1]  (
+	.CLK(CTS_122),
+	.D(n_5245),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[225] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[225][2]  (
+	.CLK(CTS_122),
+	.D(n_6216),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[225] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[225][3]  (
+	.CLK(CTS_45),
+	.D(n_5244),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[225] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[225][4]  (
+	.CLK(CTS_45),
+	.D(n_5243),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[225] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[225][5]  (
+	.CLK(CTS_122),
+	.D(n_5242),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[225] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[225][6]  (
+	.CLK(CTS_122),
+	.D(n_6511),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[225] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[225][7]  (
+	.CLK(CTS_122),
+	.D(n_5241),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[225] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[225][8]  (
+	.CLK(CTS_45),
+	.D(n_5240),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[225] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[226][1]  (
+	.CLK(CTS_117),
+	.D(n_5239),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[226] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[226][2]  (
+	.CLK(CTS_49),
+	.D(n_5238),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[226] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[226][3]  (
+	.CLK(CTS_49),
+	.D(n_5237),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[226] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[226][4]  (
+	.CLK(CTS_49),
+	.D(n_5236),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[226] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[226][5]  (
+	.CLK(CTS_117),
+	.D(n_5235),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[226] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[226][6]  (
+	.CLK(CTS_117),
+	.D(n_5234),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[226] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[226][7]  (
+	.CLK(CTS_117),
+	.D(n_5233),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[226] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[226][8]  (
+	.CLK(CTS_117),
+	.D(n_5092),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[226] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[227][1]  (
+	.CLK(CTS_37),
+	.D(n_5232),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[227] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[227][2]  (
+	.CLK(CTS_37),
+	.D(n_5231),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[227] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[227][3]  (
+	.CLK(CTS_37),
+	.D(n_5230),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[227] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[227][4]  (
+	.CLK(CTS_37),
+	.D(n_6585),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[227] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[227][5]  (
+	.CLK(CTS_37),
+	.D(n_5229),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[227] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[227][6]  (
+	.CLK(CTS_37),
+	.D(n_6634),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[227] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[227][7]  (
+	.CLK(CTS_37),
+	.D(n_6446),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[227] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[227][8]  (
+	.CLK(CTS_37),
+	.D(n_6609),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[227] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[228][1]  (
+	.CLK(CTS_38),
+	.D(n_5228),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[228] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[228][2]  (
+	.CLK(CTS_38),
+	.D(n_5227),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[228] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[228][3]  (
+	.CLK(CTS_36),
+	.D(n_5226),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[228] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[228][4]  (
+	.CLK(CTS_36),
+	.D(n_5225),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[228] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[228][5]  (
+	.CLK(CTS_36),
+	.D(n_5224),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[228] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[228][6]  (
+	.CLK(CTS_38),
+	.D(n_5223),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[228] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[228][7]  (
+	.CLK(CTS_36),
+	.D(n_5222),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[228] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[228][8]  (
+	.CLK(CTS_38),
+	.D(n_5221),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[228] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[229][1]  (
+	.CLK(CTS_34),
+	.D(n_5220),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[229] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[229][2]  (
+	.CLK(CTS_34),
+	.D(n_6646),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[229] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[229][3]  (
+	.CLK(CTS_50),
+	.D(n_6645),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[229] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[229][4]  (
+	.CLK(CTS_34),
+	.D(n_5219),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[229] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[229][5]  (
+	.CLK(CTS_34),
+	.D(n_6644),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[229] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[229][6]  (
+	.CLK(CTS_34),
+	.D(n_5218),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[229] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[229][7]  (
+	.CLK(CTS_34),
+	.D(n_5217),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[229] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[229][8]  (
+	.CLK(CTS_34),
+	.D(n_5216),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[229] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[230][1]  (
+	.CLK(CTS_41),
+	.D(n_5215),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[230] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[230][2]  (
+	.CLK(CTS_41),
+	.D(n_5214),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[230] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[230][3]  (
+	.CLK(CTS_41),
+	.D(n_5213),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[230] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[230][4]  (
+	.CLK(CTS_41),
+	.D(n_5212),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[230] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[230][5]  (
+	.CLK(CTS_41),
+	.D(n_5211),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[230] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[230][6]  (
+	.CLK(CTS_41),
+	.D(n_5210),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[230] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[230][7]  (
+	.CLK(CTS_41),
+	.D(n_6461),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[230] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[230][8]  (
+	.CLK(CTS_41),
+	.D(n_5208),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[230] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[231][1]  (
+	.CLK(CTS_41),
+	.D(n_6514),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[231] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[231][2]  (
+	.CLK(CTS_41),
+	.D(n_6389),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[231] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[231][3]  (
+	.CLK(CTS_41),
+	.D(n_6532),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[231] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[231][4]  (
+	.CLK(CTS_41),
+	.D(n_5206),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[231] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[231][5]  (
+	.CLK(CTS_41),
+	.D(n_6520),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[231] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[231][6]  (
+	.CLK(CTS_41),
+	.D(n_5205),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[231] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[231][7]  (
+	.CLK(CTS_41),
+	.D(n_5204),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[231] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[231][8]  (
+	.CLK(CTS_41),
+	.D(n_5203),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[231] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[232][1]  (
+	.CLK(CTS_43),
+	.D(n_5007),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[232] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[232][2]  (
+	.CLK(CTS_43),
+	.D(n_5201),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[232] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[232][3]  (
+	.CLK(CTS_45),
+	.D(n_5200),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[232] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[232][4]  (
+	.CLK(CTS_43),
+	.D(n_5199),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[232] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[232][5]  (
+	.CLK(CTS_33),
+	.D(n_5198),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[232] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[232][6]  (
+	.CLK(CTS_43),
+	.D(n_5197),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[232] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[232][7]  (
+	.CLK(CTS_45),
+	.D(n_5196),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[232] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[232][8]  (
+	.CLK(CTS_45),
+	.D(n_5195),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[232] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[233][1]  (
+	.CLK(CTS_119),
+	.D(n_5194),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[233] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[233][2]  (
+	.CLK(CTS_119),
+	.D(n_5541),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[233] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[233][3]  (
+	.CLK(CTS_49),
+	.D(n_5193),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[233] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[233][4]  (
+	.CLK(CTS_49),
+	.D(n_6267),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[233] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[233][5]  (
+	.CLK(CTS_117),
+	.D(n_6109),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[233] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[233][6]  (
+	.CLK(CTS_117),
+	.D(n_5537),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[233] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[233][7]  (
+	.CLK(CTS_117),
+	.D(n_5192),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[233] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[233][8]  (
+	.CLK(CTS_49),
+	.D(n_5315),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[233] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[234][1]  (
+	.CLK(CTS_46),
+	.D(n_5357),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[234] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[234][2]  (
+	.CLK(CTS_46),
+	.D(n_6185),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[234] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[234][3]  (
+	.CLK(CTS_46),
+	.D(n_5190),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[234] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[234][4]  (
+	.CLK(CTS_46),
+	.D(n_6867),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[234] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[234][5]  (
+	.CLK(CTS_46),
+	.D(n_5189),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[234] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[234][6]  (
+	.CLK(CTS_46),
+	.D(n_5433),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[234] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[234][7]  (
+	.CLK(CTS_46),
+	.D(n_5187),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[234] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[234][8]  (
+	.CLK(CTS_56),
+	.D(n_6551),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[234] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[235][1]  (
+	.CLK(CTS_47),
+	.D(n_5186),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[235] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[235][2]  (
+	.CLK(CTS_47),
+	.D(n_5659),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[235] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[235][3]  (
+	.CLK(CTS_52),
+	.D(n_6003),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[235] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[235][4]  (
+	.CLK(CTS_46),
+	.D(n_7029),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[235] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[235][5]  (
+	.CLK(CTS_47),
+	.D(n_5960),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[235] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[235][6]  (
+	.CLK(CTS_47),
+	.D(n_6015),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[235] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[235][7]  (
+	.CLK(CTS_52),
+	.D(n_5183),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[235] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[235][8]  (
+	.CLK(CTS_52),
+	.D(n_5182),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[235] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[236][1]  (
+	.CLK(CTS_35),
+	.D(n_5950),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[236] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[236][2]  (
+	.CLK(CTS_35),
+	.D(n_5181),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[236] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[236][3]  (
+	.CLK(CTS_35),
+	.D(n_5180),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[236] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[236][4]  (
+	.CLK(CTS_35),
+	.D(n_5179),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[236] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[236][5]  (
+	.CLK(CTS_35),
+	.D(n_5178),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[236] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[236][6]  (
+	.CLK(CTS_35),
+	.D(n_5177),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[236] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[236][7]  (
+	.CLK(CTS_35),
+	.D(n_5176),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[236] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[236][8]  (
+	.CLK(CTS_39),
+	.D(n_5175),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[236] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[237][1]  (
+	.CLK(CTS_39),
+	.D(n_5174),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[237] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[237][2]  (
+	.CLK(CTS_39),
+	.D(n_5173),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[237] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[237][3]  (
+	.CLK(CTS_39),
+	.D(n_6530),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[237] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[237][4]  (
+	.CLK(CTS_39),
+	.D(n_6535),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[237] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[237][5]  (
+	.CLK(CTS_39),
+	.D(n_6604),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[237] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[237][6]  (
+	.CLK(CTS_39),
+	.D(n_5171),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[237] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[237][7]  (
+	.CLK(CTS_39),
+	.D(n_6498),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[237] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[237][8]  (
+	.CLK(CTS_39),
+	.D(n_5170),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[237] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[238][1]  (
+	.CLK(CTS_44),
+	.D(n_6588),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[238] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[238][2]  (
+	.CLK(CTS_34),
+	.D(n_5169),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[238] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[238][3]  (
+	.CLK(CTS_44),
+	.D(n_6597),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[238] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[238][4]  (
+	.CLK(CTS_44),
+	.D(n_5168),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[238] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[238][5]  (
+	.CLK(CTS_44),
+	.D(n_5167),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[238] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[238][6]  (
+	.CLK(CTS_44),
+	.D(n_5166),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[238] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[238][7]  (
+	.CLK(CTS_50),
+	.D(n_5165),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[238] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[238][8]  (
+	.CLK(CTS_44),
+	.D(n_5164),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[238] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[239][1]  (
+	.CLK(CTS_36),
+	.D(n_4811),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[239] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[239][2]  (
+	.CLK(CTS_31),
+	.D(n_4800),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[239] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[239][3]  (
+	.CLK(CTS_52),
+	.D(n_4835),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[239] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[239][4]  (
+	.CLK(CTS_36),
+	.D(n_6619),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[239] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[239][5]  (
+	.CLK(CTS_36),
+	.D(n_6658),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[239] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[239][6]  (
+	.CLK(CTS_31),
+	.D(n_5163),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[239] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[239][7]  (
+	.CLK(CTS_36),
+	.D(n_4819),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[239] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[239][8]  (
+	.CLK(CTS_36),
+	.D(n_4804),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[239] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[240][1]  (
+	.CLK(CTS_56),
+	.D(n_6525),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[240] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[240][2]  (
+	.CLK(CTS_42),
+	.D(n_5162),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[240] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[240][3]  (
+	.CLK(CTS_33),
+	.D(n_5161),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[240] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[240][4]  (
+	.CLK(CTS_33),
+	.D(n_5160),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[240] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[240][5]  (
+	.CLK(CTS_56),
+	.D(n_5159),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[240] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[240][6]  (
+	.CLK(CTS_56),
+	.D(n_5158),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[240] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[240][7]  (
+	.CLK(CTS_56),
+	.D(n_5157),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[240] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[240][8]  (
+	.CLK(CTS_33),
+	.D(n_5156),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[240] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[241][1]  (
+	.CLK(CTS_122),
+	.D(n_5155),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[241] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[241][2]  (
+	.CLK(CTS_119),
+	.D(n_6586),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[241] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[241][3]  (
+	.CLK(CTS_45),
+	.D(n_6592),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[241] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[241][4]  (
+	.CLK(CTS_119),
+	.D(n_6600),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[241] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[241][5]  (
+	.CLK(CTS_119),
+	.D(n_5154),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[241] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[241][6]  (
+	.CLK(CTS_119),
+	.D(n_6594),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[241] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[241][7]  (
+	.CLK(CTS_45),
+	.D(n_6635),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[241] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[241][8]  (
+	.CLK(CTS_119),
+	.D(n_6596),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[241] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[242][1]  (
+	.CLK(CTS_48),
+	.D(n_5153),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[242] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[242][2]  (
+	.CLK(CTS_130),
+	.D(n_6602),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[242] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[242][3]  (
+	.CLK(CTS_48),
+	.D(n_5152),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[242] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[242][4]  (
+	.CLK(CTS_48),
+	.D(n_6621),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[242] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[242][5]  (
+	.CLK(CTS_130),
+	.D(n_5150),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[242] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[242][6]  (
+	.CLK(CTS_48),
+	.D(n_6637),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[242] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[242][7]  (
+	.CLK(CTS_48),
+	.D(n_5149),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[242] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[242][8]  (
+	.CLK(CTS_130),
+	.D(n_4943),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[242] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[243][1]  (
+	.CLK(CTS_47),
+	.D(n_5148),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[243] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[243][2]  (
+	.CLK(CTS_47),
+	.D(n_4946),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[243] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[243][3]  (
+	.CLK(CTS_47),
+	.D(n_4954),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[243] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[243][4]  (
+	.CLK(CTS_48),
+	.D(n_4953),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[243] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[243][5]  (
+	.CLK(CTS_48),
+	.D(n_5147),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[243] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[243][6]  (
+	.CLK(CTS_47),
+	.D(n_4960),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[243] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[243][7]  (
+	.CLK(CTS_47),
+	.D(n_6632),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[243] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[243][8]  (
+	.CLK(CTS_47),
+	.D(n_5095),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[243] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[244][1]  (
+	.CLK(CTS_38),
+	.D(n_5146),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[244] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[244][2]  (
+	.CLK(CTS_38),
+	.D(n_5145),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[244] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[244][3]  (
+	.CLK(CTS_56),
+	.D(n_5143),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[244] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[244][4]  (
+	.CLK(CTS_38),
+	.D(n_5142),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[244] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[244][5]  (
+	.CLK(CTS_38),
+	.D(n_5140),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[244] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[244][6]  (
+	.CLK(CTS_38),
+	.D(n_5139),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[244] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[244][7]  (
+	.CLK(CTS_38),
+	.D(n_5137),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[244] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[244][8]  (
+	.CLK(CTS_38),
+	.D(n_5136),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[244] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[245][1]  (
+	.CLK(CTS_32),
+	.D(n_5135),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[245] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[245][2]  (
+	.CLK(CTS_32),
+	.D(n_5672),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[245] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[245][3]  (
+	.CLK(CTS_50),
+	.D(n_5662),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[245] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[245][4]  (
+	.CLK(CTS_32),
+	.D(n_5133),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[245] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[245][5]  (
+	.CLK(CTS_32),
+	.D(n_5494),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[245] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[245][6]  (
+	.CLK(CTS_32),
+	.D(n_5707),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[245] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[245][7]  (
+	.CLK(CTS_32),
+	.D(n_5678),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[245] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[245][8]  (
+	.CLK(CTS_32),
+	.D(n_5132),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[245] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[246][1]  (
+	.CLK(CTS_36),
+	.D(n_5305),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[246] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[246][2]  (
+	.CLK(CTS_40),
+	.D(n_5131),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[246] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[246][3]  (
+	.CLK(CTS_40),
+	.D(n_5938),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[246] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[246][4]  (
+	.CLK(CTS_53),
+	.D(n_5130),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[246] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[246][5]  (
+	.CLK(CTS_40),
+	.D(n_5968),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[246] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[246][6]  (
+	.CLK(CTS_53),
+	.D(n_5128),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[246] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[246][7]  (
+	.CLK(CTS_36),
+	.D(n_7031),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[246] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[246][8]  (
+	.CLK(CTS_53),
+	.D(n_5127),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[246] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[247][1]  (
+	.CLK(CTS_53),
+	.D(n_6972),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[247] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[247][2]  (
+	.CLK(CTS_53),
+	.D(n_6949),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[247] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[247][3]  (
+	.CLK(CTS_53),
+	.D(n_6945),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[247] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[247][4]  (
+	.CLK(CTS_53),
+	.D(n_5125),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[247] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[247][5]  (
+	.CLK(CTS_53),
+	.D(n_6854),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[247] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[247][6]  (
+	.CLK(CTS_53),
+	.D(n_6560),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[247] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[247][7]  (
+	.CLK(CTS_53),
+	.D(n_6500),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[247] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[247][8]  (
+	.CLK(CTS_53),
+	.D(n_6505),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[247] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[248][1]  (
+	.CLK(CTS_33),
+	.D(n_6591),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[248] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[248][2]  (
+	.CLK(CTS_43),
+	.D(n_5123),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[248] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[248][3]  (
+	.CLK(CTS_33),
+	.D(n_5122),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[248] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[248][4]  (
+	.CLK(CTS_33),
+	.D(n_5121),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[248] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[248][5]  (
+	.CLK(CTS_33),
+	.D(n_5119),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[248] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[248][6]  (
+	.CLK(CTS_33),
+	.D(n_5118),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[248] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[248][7]  (
+	.CLK(CTS_33),
+	.D(n_5117),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[248] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[248][8]  (
+	.CLK(CTS_33),
+	.D(n_5115),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[248] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[249][1]  (
+	.CLK(CTS_33),
+	.D(n_5114),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[249] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[249][2]  (
+	.CLK(CTS_33),
+	.D(n_6639),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[249] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[249][3]  (
+	.CLK(CTS_45),
+	.D(n_5113),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[249] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[249][4]  (
+	.CLK(CTS_45),
+	.D(n_6593),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[249] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[249][5]  (
+	.CLK(CTS_45),
+	.D(n_6595),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[249] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[249][6]  (
+	.CLK(CTS_33),
+	.D(n_6598),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[249] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[249][7]  (
+	.CLK(CTS_45),
+	.D(n_6603),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[249] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[249][8]  (
+	.CLK(CTS_33),
+	.D(n_6599),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[249] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[250][1]  (
+	.CLK(CTS_46),
+	.D(n_6540),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[250] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[250][2]  (
+	.CLK(CTS_46),
+	.D(n_5944),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[250] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[250][3]  (
+	.CLK(CTS_36),
+	.D(n_5110),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[250] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[250][4]  (
+	.CLK(CTS_36),
+	.D(n_5341),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[250] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[250][5]  (
+	.CLK(CTS_46),
+	.D(n_6502),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[250] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[250][6]  (
+	.CLK(CTS_46),
+	.D(n_5378),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[250] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[250][7]  (
+	.CLK(CTS_46),
+	.D(n_5940),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[250] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[250][8]  (
+	.CLK(CTS_46),
+	.D(n_5562),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[250] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[251][1]  (
+	.CLK(CTS_52),
+	.D(n_5108),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[251] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[251][2]  (
+	.CLK(CTS_47),
+	.D(n_5744),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[251] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[251][3]  (
+	.CLK(CTS_52),
+	.D(n_5858),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[251] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[251][4]  (
+	.CLK(CTS_46),
+	.D(n_6522),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[251] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[251][5]  (
+	.CLK(CTS_46),
+	.D(n_5831),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[251] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[251][6]  (
+	.CLK(CTS_47),
+	.D(n_5809),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[251] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[251][7]  (
+	.CLK(CTS_52),
+	.D(n_6488),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[251] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[251][8]  (
+	.CLK(CTS_52),
+	.D(n_6495),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[251] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[252][1]  (
+	.CLK(CTS_56),
+	.D(n_5107),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[252] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[252][2]  (
+	.CLK(CTS_35),
+	.D(n_5106),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[252] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[252][3]  (
+	.CLK(CTS_42),
+	.D(n_5105),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[252] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[252][4]  (
+	.CLK(CTS_35),
+	.D(n_5104),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[252] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[252][5]  (
+	.CLK(CTS_35),
+	.D(n_4814),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[252] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[252][6]  (
+	.CLK(CTS_42),
+	.D(n_5103),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[252] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[252][7]  (
+	.CLK(CTS_56),
+	.D(n_5102),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[252] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[252][8]  (
+	.CLK(CTS_35),
+	.D(n_5101),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[252] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[253][1]  (
+	.CLK(CTS_39),
+	.D(n_6633),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[253] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[253][2]  (
+	.CLK(CTS_39),
+	.D(n_6529),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[253] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[253][3]  (
+	.CLK(CTS_32),
+	.D(n_6331),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[253] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[253][4]  (
+	.CLK(CTS_32),
+	.D(n_5267),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[253] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[253][5]  (
+	.CLK(CTS_32),
+	.D(n_6653),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[253] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[253][6]  (
+	.CLK(CTS_32),
+	.D(n_5362),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[253] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[253][7]  (
+	.CLK(CTS_32),
+	.D(n_6167),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[253] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[253][8]  (
+	.CLK(CTS_32),
+	.D(n_6030),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[253] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[254][1]  (
+	.CLK(CTS_50),
+	.D(n_5642),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[254] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[254][2]  (
+	.CLK(CTS_36),
+	.D(n_5099),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[254] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[254][3]  (
+	.CLK(CTS_40),
+	.D(n_6815),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[254] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[254][4]  (
+	.CLK(CTS_50),
+	.D(n_5415),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[254] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[254][5]  (
+	.CLK(CTS_36),
+	.D(n_4823),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[254] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[254][6]  (
+	.CLK(CTS_50),
+	.D(n_5700),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[254] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[254][7]  (
+	.CLK(CTS_50),
+	.D(n_6832),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[254] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[254][8]  (
+	.CLK(CTS_50),
+	.D(n_6007),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[254] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[255][1]  (
+	.CLK(CTS_52),
+	.D(n_5961),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[255] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[255][2]  (
+	.CLK(CTS_31),
+	.D(n_6912),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[255] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[255][3]  (
+	.CLK(CTS_52),
+	.D(n_5422),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[255] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[255][4]  (
+	.CLK(CTS_36),
+	.D(n_5791),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[255] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[255][5]  (
+	.CLK(CTS_52),
+	.D(n_5010),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[255] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[255][6]  (
+	.CLK(CTS_31),
+	.D(n_4834),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[255] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[255][7]  (
+	.CLK(CTS_36),
+	.D(n_4815),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[255] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_buffer_reg[255][8]  (
+	.CLK(CTS_31),
+	.D(n_6487),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_buffer[255] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_raddr_reg[2]  (
+	.CLK(CTS_49),
+	.D(n_4009),
+	.Q(soc_top_u_uart_u_uart_core_read_fifo_raddr[2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_raddr_reg[3]  (
+	.CLK(CTS_49),
+	.D(n_7270),
+	.Q(soc_top_u_uart_u_uart_core_read_fifo_raddr[3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_raddr_reg[6]  (
+	.CLK(CTS_49),
+	.D(n_9850),
+	.Q(soc_top_u_uart_u_uart_core_read_fifo_raddr[6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_raddr_reg[7]  (
+	.CLK(CTS_49),
+	.D(n_10517),
+	.Q(soc_top_u_uart_u_uart_core_read_fifo_raddr[7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_raddr_reg[8]  (
+	.CLK(CTS_49),
+	.D(n_10921),
+	.Q(soc_top_u_uart_u_uart_core_read_fifo_raddr[8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_waddr_reg[0]  (
+	.CLK(CTS_49),
+	.D(n_3415),
+	.Q(soc_top_u_uart_u_uart_core_rx_buffer_size[0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_waddr_reg[2]  (
+	.CLK(CTS_49),
+	.D(n_4078),
+	.Q(soc_top_u_uart_u_uart_core_rx_buffer_size[2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_waddr_reg[3]  (
+	.CLK(CTS_49),
+	.D(n_4644),
+	.Q(soc_top_u_uart_u_uart_core_rx_buffer_size[3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_waddr_reg[4]  (
+	.CLK(CTS_49),
+	.D(n_8421),
+	.Q(soc_top_u_uart_u_uart_core_rx_buffer_size[4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_waddr_reg[5]  (
+	.CLK(CTS_49),
+	.D(n_9381),
+	.Q(soc_top_u_uart_u_uart_core_rx_buffer_size[5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_waddr_reg[6]  (
+	.CLK(CTS_49),
+	.D(n_6828),
+	.Q(soc_top_u_uart_u_uart_core_rx_buffer_size[6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_waddr_reg[8]  (
+	.CLK(CTS_49),
+	.D(n_8953),
+	.Q(soc_top_u_uart_u_uart_core_rx_buffer_size[8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_1 soc_top_u_uart_u_uart_core_rx_clr_reg (
+	.CLK(CTS_132),
+	.D(n_3800),
+	.Q(soc_top_u_uart_u_uart_core_rx_clr),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 soc_top_u_uart_u_uart_core_rx_en_reg (
+	.CLK(CTS_132),
+	.D(n_3798),
+	.Q(soc_top_u_uart_u_uart_core_rx_en),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 soc_top_u_uart_u_uart_core_rx_status_reg (
+	.CLK(CTS_132),
+	.D(soc_top_u_uart_u_uart_core_rx_status),
+	.Q(soc_top_u_uart_u_uart_core_rx_status),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_intr_u_rx),
+	.SCE(n_1138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[0]  (
+	.CLK(CTS_125),
+	.D(n_1231),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[0]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.SCE(soc_top_u_uart_u_uart_core_rx_time_rx_time[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[1]  (
+	.CLK(CTS_125),
+	.D(n_2519),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[2]  (
+	.CLK(CTS_127),
+	.D(n_2339),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[5]  (
+	.CLK(CTS_125),
+	.D(n_2338),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[7]  (
+	.CLK(CTS_125),
+	.D(n_2336),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[9]  (
+	.CLK(CTS_125),
+	.D(n_2503),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[9]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[12]  (
+	.CLK(CTS_125),
+	.D(n_2340),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[12]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[14]  (
+	.CLK(CTS_125),
+	.D(n_2329),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[14]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[16]  (
+	.CLK(CTS_125),
+	.D(n_2518),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[16]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[23]  (
+	.CLK(CTS_120),
+	.D(n_2544),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[23]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[24]  (
+	.CLK(CTS_120),
+	.D(n_2517),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[24]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[25]  (
+	.CLK(CTS_120),
+	.D(n_2521),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[25]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[26]  (
+	.CLK(CTS_120),
+	.D(n_2337),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[26]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[27]  (
+	.CLK(CTS_120),
+	.D(n_2549),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[27]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[28]  (
+	.CLK(CTS_120),
+	.D(n_2388),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[28]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[29]  (
+	.CLK(CTS_120),
+	.D(n_2538),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[29]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[30]  (
+	.CLK(CTS_124),
+	.D(n_2542),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[30]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[31]  (
+	.CLK(CTS_124),
+	.D(n_2416),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[31]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[0]  (
+	.CLK(CTS_125),
+	.D(n_2713),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[0]),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[1]  (
+	.CLK(CTS_125),
+	.D(n_2732),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[1]),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[2]  (
+	.CLK(CTS_127),
+	.D(n_2791),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[2]),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[3]  (
+	.CLK(CTS_127),
+	.D(n_2772),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[3]),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[4]  (
+	.CLK(CTS_127),
+	.D(n_2714),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[5]  (
+	.CLK(CTS_125),
+	.D(n_2762),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[6]  (
+	.CLK(CTS_125),
+	.D(n_2788),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[7]  (
+	.CLK(CTS_125),
+	.D(n_2786),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[8]  (
+	.CLK(CTS_125),
+	.D(n_2726),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[9]  (
+	.CLK(CTS_125),
+	.D(n_2747),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[9]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[10]  (
+	.CLK(CTS_125),
+	.D(n_2716),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[10]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[11]  (
+	.CLK(CTS_125),
+	.D(n_2717),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[11]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[12]  (
+	.CLK(CTS_125),
+	.D(n_2718),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[12]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[13]  (
+	.CLK(CTS_125),
+	.D(n_2760),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[13]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[14]  (
+	.CLK(CTS_125),
+	.D(n_2792),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[14]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[15]  (
+	.CLK(CTS_125),
+	.D(n_2780),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[15]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[16]  (
+	.CLK(CTS_124),
+	.D(n_2720),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[16]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[17]  (
+	.CLK(CTS_124),
+	.D(n_2767),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[17]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[18]  (
+	.CLK(CTS_124),
+	.D(n_2753),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[18]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[19]  (
+	.CLK(CTS_124),
+	.D(n_2737),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[19]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[20]  (
+	.CLK(CTS_124),
+	.D(n_2723),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[20]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[21]  (
+	.CLK(CTS_124),
+	.D(n_2727),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[21]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[22]  (
+	.CLK(CTS_124),
+	.D(n_2734),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[22]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_state_reg_reg[0]  (
+	.CLK(CTS_124),
+	.D(n_3410),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_state_reg[0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_uart_u_uart_core_rx_time_state_reg_reg[1]  (
+	.CLK(CTS_124),
+	.D(n_35371),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(io_oeb[26]),
+	.SCE(io_oeb[26]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[0]  (
+	.CLK(CTS_125),
+	.D(n_84419),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[0]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[0]),
+	.SCE(n_3291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[1]  (
+	.CLK(CTS_125),
+	.D(n_75549),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[1]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[1]),
+	.SCE(n_3291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[2]  (
+	.CLK(CTS_127),
+	.D(n_87578),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[2]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[2]),
+	.SCE(n_3291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[3]  (
+	.CLK(CTS_127),
+	.D(n_84427),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[3]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[3]),
+	.SCE(n_3291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[4]  (
+	.CLK(CTS_127),
+	.D(n_70008),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[4]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[4]),
+	.SCE(n_3291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[5]  (
+	.CLK(CTS_125),
+	.D(n_84455),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[5]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[5]),
+	.SCE(n_3291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[6]  (
+	.CLK(CTS_125),
+	.D(n_84431),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[6]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[6]),
+	.SCE(n_3291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[7]  (
+	.CLK(CTS_125),
+	.D(n_70004),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[7]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[7]),
+	.SCE(n_3291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[8]  (
+	.CLK(CTS_125),
+	.D(n_93122),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[8]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[8]),
+	.SCE(n_3291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[9]  (
+	.CLK(CTS_125),
+	.D(n_72528),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[9]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[9]),
+	.SCE(n_3291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[10]  (
+	.CLK(CTS_125),
+	.D(n_70064),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[10]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[10]),
+	.SCE(n_3291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[11]  (
+	.CLK(CTS_125),
+	.D(n_69280),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[11]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[11]),
+	.SCE(n_3291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[12]  (
+	.CLK(CTS_125),
+	.D(n_74612),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[12]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[12]),
+	.SCE(n_3291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[13]  (
+	.CLK(CTS_125),
+	.D(n_72176),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[13]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[13]),
+	.SCE(n_3291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[14]  (
+	.CLK(CTS_125),
+	.D(\soc_top_xbar_to_timer[a_data] [14]),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[14]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[14]),
+	.SCE(n_3291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[15]  (
+	.CLK(CTS_125),
+	.D(n_70012),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[15]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[15]),
+	.SCE(n_3291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[16]  (
+	.CLK(CTS_124),
+	.D(n_93141),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[16]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[16]),
+	.SCE(n_3291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[17]  (
+	.CLK(CTS_124),
+	.D(n_72527),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[17]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[17]),
+	.SCE(n_3291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[18]  (
+	.CLK(CTS_124),
+	.D(n_72578),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[18]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[18]),
+	.SCE(n_3291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[19]  (
+	.CLK(CTS_124),
+	.D(n_84182),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[19]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[19]),
+	.SCE(n_3291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[20]  (
+	.CLK(CTS_124),
+	.D(\soc_top_xbar_to_timer[a_data] [20]),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[20]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[20]),
+	.SCE(n_3291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[21]  (
+	.CLK(CTS_124),
+	.D(\soc_top_xbar_to_timer[a_data] [21]),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[21]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[21]),
+	.SCE(n_3291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[22]  (
+	.CLK(CTS_124),
+	.D(n_72887),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[22]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[22]),
+	.SCE(n_3291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[23]  (
+	.CLK(CTS_120),
+	.D(n_74743),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[23]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[23]),
+	.SCE(n_3291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[24]  (
+	.CLK(CTS_120),
+	.D(n_68334),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[24]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[24]),
+	.SCE(n_3291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[25]  (
+	.CLK(CTS_120),
+	.D(n_68259),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[25]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[25]),
+	.SCE(n_3291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[26]  (
+	.CLK(CTS_120),
+	.D(n_68466),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[26]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[26]),
+	.SCE(n_3291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[27]  (
+	.CLK(CTS_120),
+	.D(\soc_top_xbar_to_timer[a_data] [27]),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[27]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[27]),
+	.SCE(n_3291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[28]  (
+	.CLK(CTS_120),
+	.D(\soc_top_xbar_to_timer[a_data] [28]),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[28]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[28]),
+	.SCE(n_3291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[29]  (
+	.CLK(CTS_124),
+	.D(\soc_top_xbar_to_timer[a_data] [29]),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[29]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[29]),
+	.SCE(n_3291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[30]  (
+	.CLK(CTS_124),
+	.D(\soc_top_xbar_to_timer[a_data] [30]),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[30]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[30]),
+	.SCE(n_3291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[31]  (
+	.CLK(CTS_124),
+	.D(n_68268),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[31]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[31]),
+	.SCE(n_3291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 soc_top_u_uart_u_uart_core_tx_en_reg (
+	.CLK(CTS_132),
+	.D(n_3799),
+	.Q(soc_top_u_uart_u_uart_core_tx_en),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 soc_top_u_uart_u_uart_core_tx_fifo_init_reg (
+	.CLK(CTS_132),
+	.D(n_4041),
+	.Q(soc_top_u_uart_u_uart_core_tx_fifo_init),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 soc_top_u_uart_u_uart_core_write_fifo_buffer_empty_reg (
+	.CLK(CTS_120),
+	.D(n_601),
+	.Q(soc_top_u_uart_u_uart_core_write_fifo_buffer_empty),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(soc_top_u_uart_u_uart_core_write_fifo_buffer_empty),
+	.SCE(n_4295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[0][1]  (
+	.CLK(CTS_127),
+	.D(n_8028),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[0] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[0][2]  (
+	.CLK(CTS_147),
+	.D(n_7994),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[0] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[0][3]  (
+	.CLK(CTS_127),
+	.D(n_7993),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[0] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[0][4]  (
+	.CLK(CTS_147),
+	.D(n_7992),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[0] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[0][5]  (
+	.CLK(CTS_134),
+	.D(n_7991),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[0] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[0][6]  (
+	.CLK(CTS_147),
+	.D(n_7990),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[0] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[0][7]  (
+	.CLK(CTS_147),
+	.D(n_7989),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[0] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[0][8]  (
+	.CLK(CTS_147),
+	.D(n_7988),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[0] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[1][1]  (
+	.CLK(CTS_146),
+	.D(n_7987),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[1] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[1][2]  (
+	.CLK(CTS_146),
+	.D(n_7986),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[1] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[1][3]  (
+	.CLK(CTS_127),
+	.D(n_7985),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[1] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[1][4]  (
+	.CLK(CTS_147),
+	.D(n_7984),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[1] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[1][5]  (
+	.CLK(CTS_146),
+	.D(n_7983),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[1] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[1][6]  (
+	.CLK(CTS_146),
+	.D(n_7982),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[1] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[1][7]  (
+	.CLK(CTS_146),
+	.D(n_7981),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[1] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[1][8]  (
+	.CLK(CTS_146),
+	.D(n_7980),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[1] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[2][1]  (
+	.CLK(CTS_153),
+	.D(n_7979),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[2] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[2][2]  (
+	.CLK(CTS_153),
+	.D(n_7978),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[2] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[2][3]  (
+	.CLK(CTS_120),
+	.D(n_7977),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[2] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[2][4]  (
+	.CLK(CTS_145),
+	.D(n_7976),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[2] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[2][5]  (
+	.CLK(CTS_157),
+	.D(n_7975),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[2] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[2][6]  (
+	.CLK(CTS_123),
+	.D(n_7974),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[2] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[2][7]  (
+	.CLK(CTS_123),
+	.D(n_7973),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[2] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[2][8]  (
+	.CLK(CTS_153),
+	.D(n_7972),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[2] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[3][1]  (
+	.CLK(CTS_153),
+	.D(n_7971),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[3] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[3][2]  (
+	.CLK(CTS_153),
+	.D(n_7970),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[3] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[3][3]  (
+	.CLK(CTS_123),
+	.D(n_7969),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[3] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[3][4]  (
+	.CLK(CTS_153),
+	.D(n_7968),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[3] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[3][5]  (
+	.CLK(CTS_153),
+	.D(n_7967),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[3] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[3][6]  (
+	.CLK(CTS_123),
+	.D(n_7966),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[3] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[3][7]  (
+	.CLK(CTS_123),
+	.D(n_7965),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[3] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[3][8]  (
+	.CLK(CTS_123),
+	.D(n_7964),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[3] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[4][0]  (
+	.CLK(CTS_127),
+	.D(n_7338),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[4] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[4][1]  (
+	.CLK(CTS_127),
+	.D(n_7963),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[4] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[4][2]  (
+	.CLK(CTS_147),
+	.D(n_8110),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[4] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[4][3]  (
+	.CLK(CTS_127),
+	.D(n_8115),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[4] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[4][4]  (
+	.CLK(CTS_147),
+	.D(n_7962),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[4] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[4][5]  (
+	.CLK(CTS_147),
+	.D(n_8179),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[4] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[4][6]  (
+	.CLK(CTS_147),
+	.D(n_8108),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[4] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[4][7]  (
+	.CLK(CTS_147),
+	.D(n_8040),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[4] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[4][8]  (
+	.CLK(CTS_147),
+	.D(n_8189),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[4] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[5][1]  (
+	.CLK(CTS_127),
+	.D(n_8147),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[5] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[5][2]  (
+	.CLK(CTS_147),
+	.D(n_8058),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[5] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[5][3]  (
+	.CLK(CTS_127),
+	.D(n_8054),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[5] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[5][4]  (
+	.CLK(CTS_147),
+	.D(n_7961),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[5] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[5][5]  (
+	.CLK(CTS_147),
+	.D(n_8111),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[5] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[5][6]  (
+	.CLK(CTS_146),
+	.D(n_8095),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[5] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[5][7]  (
+	.CLK(CTS_147),
+	.D(n_8081),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[5] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[5][8]  (
+	.CLK(CTS_147),
+	.D(n_7960),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[5] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[6][1]  (
+	.CLK(CTS_145),
+	.D(n_8056),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[6] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[6][2]  (
+	.CLK(CTS_156),
+	.D(n_8185),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[6] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[6][3]  (
+	.CLK(CTS_123),
+	.D(n_8166),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[6] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[6][4]  (
+	.CLK(CTS_145),
+	.D(n_7959),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[6] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[6][5]  (
+	.CLK(CTS_156),
+	.D(n_7741),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[6] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[6][6]  (
+	.CLK(CTS_123),
+	.D(n_8114),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[6] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[6][7]  (
+	.CLK(CTS_123),
+	.D(n_7958),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[6] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[6][8]  (
+	.CLK(CTS_123),
+	.D(n_8171),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[6] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[7][1]  (
+	.CLK(CTS_121),
+	.D(n_8269),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[7] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[7][2]  (
+	.CLK(CTS_121),
+	.D(n_8167),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[7] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[7][3]  (
+	.CLK(CTS_120),
+	.D(n_8272),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[7] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[7][4]  (
+	.CLK(CTS_121),
+	.D(n_7957),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[7] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[7][5]  (
+	.CLK(CTS_121),
+	.D(n_8178),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[7] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[7][6]  (
+	.CLK(CTS_120),
+	.D(n_8009),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[7] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[7][7]  (
+	.CLK(CTS_121),
+	.D(n_8112),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[7] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[7][8]  (
+	.CLK(CTS_121),
+	.D(n_7956),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[7] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[8][0]  (
+	.CLK(CTS_127),
+	.D(n_7337),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[8] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[8][1]  (
+	.CLK(CTS_127),
+	.D(n_7955),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[8] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[8][2]  (
+	.CLK(CTS_147),
+	.D(n_7954),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[8] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[8][3]  (
+	.CLK(CTS_127),
+	.D(n_7953),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[8] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[8][4]  (
+	.CLK(CTS_147),
+	.D(n_7952),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[8] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[8][5]  (
+	.CLK(CTS_134),
+	.D(n_8027),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[8] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[8][6]  (
+	.CLK(CTS_147),
+	.D(n_7951),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[8] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[8][7]  (
+	.CLK(CTS_147),
+	.D(n_8047),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[8] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[8][8]  (
+	.CLK(CTS_147),
+	.D(n_7950),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[8] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[9][1]  (
+	.CLK(CTS_127),
+	.D(n_8069),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[9] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[9][2]  (
+	.CLK(CTS_146),
+	.D(n_7949),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[9] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[9][3]  (
+	.CLK(CTS_146),
+	.D(n_8174),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[9] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[9][4]  (
+	.CLK(CTS_146),
+	.D(n_7948),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[9] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[9][5]  (
+	.CLK(CTS_146),
+	.D(n_8182),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[9] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[9][6]  (
+	.CLK(CTS_146),
+	.D(n_7947),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[9] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[9][7]  (
+	.CLK(CTS_146),
+	.D(n_7739),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[9] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[9][8]  (
+	.CLK(CTS_146),
+	.D(n_7946),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[9] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[10][1]  (
+	.CLK(CTS_153),
+	.D(n_8127),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[10] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[10][2]  (
+	.CLK(CTS_153),
+	.D(n_7945),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[10] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[10][3]  (
+	.CLK(CTS_120),
+	.D(n_8020),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[10] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[10][4]  (
+	.CLK(CTS_153),
+	.D(n_7944),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[10] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[10][5]  (
+	.CLK(CTS_153),
+	.D(n_8030),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[10] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[10][6]  (
+	.CLK(CTS_123),
+	.D(n_7943),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[10] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[10][7]  (
+	.CLK(CTS_123),
+	.D(n_8041),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[10] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[10][8]  (
+	.CLK(CTS_153),
+	.D(n_7942),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[10] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[11][1]  (
+	.CLK(CTS_153),
+	.D(n_8107),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[11] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[11][2]  (
+	.CLK(CTS_153),
+	.D(n_7941),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[11] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[11][3]  (
+	.CLK(CTS_120),
+	.D(n_8034),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[11] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[11][4]  (
+	.CLK(CTS_153),
+	.D(n_7940),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[11] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[11][5]  (
+	.CLK(CTS_153),
+	.D(n_8188),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[11] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[11][6]  (
+	.CLK(CTS_123),
+	.D(n_7939),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[11] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[11][7]  (
+	.CLK(CTS_123),
+	.D(n_8003),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[11] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[11][8]  (
+	.CLK(CTS_123),
+	.D(n_7938),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[11] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[12][0]  (
+	.CLK(CTS_127),
+	.D(n_7328),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[12] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[12][1]  (
+	.CLK(CTS_127),
+	.D(n_8201),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[12] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[12][2]  (
+	.CLK(CTS_147),
+	.D(n_8064),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[12] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[12][3]  (
+	.CLK(CTS_127),
+	.D(n_8087),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[12] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[12][4]  (
+	.CLK(CTS_147),
+	.D(n_8018),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[12] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[12][5]  (
+	.CLK(CTS_147),
+	.D(n_8057),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[12] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[12][6]  (
+	.CLK(CTS_147),
+	.D(n_8100),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[12] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[12][7]  (
+	.CLK(CTS_147),
+	.D(n_8076),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[12] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[12][8]  (
+	.CLK(CTS_147),
+	.D(n_7937),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[12] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[13][1]  (
+	.CLK(CTS_146),
+	.D(n_8060),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[13] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[13][2]  (
+	.CLK(CTS_147),
+	.D(n_8065),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[13] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[13][3]  (
+	.CLK(CTS_127),
+	.D(n_8099),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[13] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[13][4]  (
+	.CLK(CTS_147),
+	.D(n_7936),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[13] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[13][5]  (
+	.CLK(CTS_147),
+	.D(n_8192),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[13] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[13][6]  (
+	.CLK(CTS_147),
+	.D(n_8196),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[13] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[13][7]  (
+	.CLK(CTS_147),
+	.D(n_8191),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[13] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[13][8]  (
+	.CLK(CTS_147),
+	.D(n_7935),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[13] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[14][1]  (
+	.CLK(CTS_156),
+	.D(n_8193),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[14] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[14][2]  (
+	.CLK(CTS_156),
+	.D(n_8194),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[14] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[14][3]  (
+	.CLK(CTS_123),
+	.D(n_8199),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[14] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[14][4]  (
+	.CLK(CTS_145),
+	.D(n_7934),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[14] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[14][5]  (
+	.CLK(CTS_156),
+	.D(n_8198),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[14] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[14][6]  (
+	.CLK(CTS_123),
+	.D(n_8200),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[14] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[14][7]  (
+	.CLK(CTS_123),
+	.D(n_8211),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[14] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[14][8]  (
+	.CLK(CTS_123),
+	.D(n_7933),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[14] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[15][1]  (
+	.CLK(CTS_134),
+	.D(n_8208),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[15] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[15][2]  (
+	.CLK(CTS_121),
+	.D(n_7740),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[15] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[15][3]  (
+	.CLK(CTS_121),
+	.D(n_8067),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[15] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[15][4]  (
+	.CLK(CTS_145),
+	.D(n_7932),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[15] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[15][5]  (
+	.CLK(CTS_145),
+	.D(n_8015),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[15] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[15][6]  (
+	.CLK(CTS_121),
+	.D(n_8033),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[15] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[15][7]  (
+	.CLK(CTS_120),
+	.D(n_8029),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[15] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[15][8]  (
+	.CLK(CTS_121),
+	.D(n_7931),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[15] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[16][0]  (
+	.CLK(CTS_127),
+	.D(n_7334),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[16] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[16][1]  (
+	.CLK(CTS_127),
+	.D(n_8014),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[16] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[16][2]  (
+	.CLK(CTS_134),
+	.D(n_7930),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[16] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[16][3]  (
+	.CLK(CTS_127),
+	.D(n_8063),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[16] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[16][4]  (
+	.CLK(CTS_134),
+	.D(n_8077),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[16] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[16][5]  (
+	.CLK(CTS_134),
+	.D(n_8097),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[16] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[16][6]  (
+	.CLK(CTS_134),
+	.D(n_7929),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[16] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[16][7]  (
+	.CLK(CTS_134),
+	.D(n_8123),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[16] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[16][8]  (
+	.CLK(CTS_134),
+	.D(n_8142),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[16] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[17][1]  (
+	.CLK(CTS_134),
+	.D(n_93130),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[17] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[17][2]  (
+	.CLK(CTS_134),
+	.D(n_7928),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[17] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[17][3]  (
+	.CLK(CTS_127),
+	.D(n_8187),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[17] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[17][4]  (
+	.CLK(CTS_134),
+	.D(n_8024),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[17] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[17][5]  (
+	.CLK(CTS_134),
+	.D(n_8035),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[17] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[17][6]  (
+	.CLK(CTS_134),
+	.D(n_7927),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[17] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[17][7]  (
+	.CLK(CTS_134),
+	.D(n_8089),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[17] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[17][8]  (
+	.CLK(CTS_134),
+	.D(n_8106),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[17] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[18][1]  (
+	.CLK(CTS_121),
+	.D(n_93150),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[18] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[18][2]  (
+	.CLK(CTS_121),
+	.D(n_8059),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[18] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[18][3]  (
+	.CLK(CTS_120),
+	.D(n_8152),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[18] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[18][4]  (
+	.CLK(CTS_121),
+	.D(n_8135),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[18] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[18][5]  (
+	.CLK(CTS_121),
+	.D(n_7738),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[18] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[18][6]  (
+	.CLK(CTS_120),
+	.D(n_7926),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[18] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[18][7]  (
+	.CLK(CTS_120),
+	.D(n_8180),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[18] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[18][8]  (
+	.CLK(CTS_153),
+	.D(n_8165),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[18] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[19][1]  (
+	.CLK(CTS_153),
+	.D(n_8267),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[19] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[19][2]  (
+	.CLK(CTS_153),
+	.D(n_7925),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[19] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[19][3]  (
+	.CLK(CTS_120),
+	.D(n_68470),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[19] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[19][4]  (
+	.CLK(CTS_121),
+	.D(n_8268),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[19] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[19][5]  (
+	.CLK(CTS_153),
+	.D(n_8053),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[19] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[19][6]  (
+	.CLK(CTS_120),
+	.D(n_7924),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[19] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[19][7]  (
+	.CLK(CTS_123),
+	.D(n_8103),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[19] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[19][8]  (
+	.CLK(CTS_153),
+	.D(n_8173),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[19] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[20][0]  (
+	.CLK(CTS_127),
+	.D(n_7336),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[20] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[20][1]  (
+	.CLK(CTS_127),
+	.D(n_8019),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[20] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[20][2]  (
+	.CLK(CTS_147),
+	.D(n_8008),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[20] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[20][3]  (
+	.CLK(CTS_127),
+	.D(n_8109),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[20] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[20][4]  (
+	.CLK(CTS_147),
+	.D(n_7923),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[20] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[20][5]  (
+	.CLK(CTS_147),
+	.D(n_8096),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[20] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[20][6]  (
+	.CLK(CTS_147),
+	.D(n_8017),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[20] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[20][7]  (
+	.CLK(CTS_147),
+	.D(n_8051),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[20] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[20][8]  (
+	.CLK(CTS_147),
+	.D(n_7922),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[20] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[21][1]  (
+	.CLK(CTS_134),
+	.D(n_93129),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[21] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[21][2]  (
+	.CLK(CTS_134),
+	.D(n_8257),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[21] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[21][3]  (
+	.CLK(CTS_127),
+	.D(n_8243),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[21] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[21][4]  (
+	.CLK(CTS_134),
+	.D(n_7921),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[21] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[21][5]  (
+	.CLK(CTS_147),
+	.D(n_7727),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[21] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[21][6]  (
+	.CLK(CTS_134),
+	.D(n_7736),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[21] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[21][7]  (
+	.CLK(CTS_134),
+	.D(n_7770),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[21] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[21][8]  (
+	.CLK(CTS_134),
+	.D(n_8177),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[21] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[22][1]  (
+	.CLK(CTS_157),
+	.D(n_93146),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[22] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[22][2]  (
+	.CLK(CTS_157),
+	.D(n_8244),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[22] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[22][3]  (
+	.CLK(CTS_123),
+	.D(n_8253),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[22] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[22][4]  (
+	.CLK(CTS_157),
+	.D(n_7920),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[22] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[22][5]  (
+	.CLK(CTS_157),
+	.D(n_8026),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[22] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[22][6]  (
+	.CLK(CTS_121),
+	.D(n_8045),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[22] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[22][7]  (
+	.CLK(CTS_121),
+	.D(n_72899),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[22] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[22][8]  (
+	.CLK(CTS_123),
+	.D(n_7919),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[22] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[23][1]  (
+	.CLK(CTS_121),
+	.D(n_8046),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[23] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[23][2]  (
+	.CLK(CTS_121),
+	.D(n_8039),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[23] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[23][3]  (
+	.CLK(CTS_120),
+	.D(n_68472),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[23] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[23][4]  (
+	.CLK(CTS_145),
+	.D(n_7918),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[23] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[23][5]  (
+	.CLK(CTS_121),
+	.D(n_7737),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[23] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[23][6]  (
+	.CLK(CTS_121),
+	.D(n_8273),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[23] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[23][7]  (
+	.CLK(CTS_121),
+	.D(n_8013),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[23] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[23][8]  (
+	.CLK(CTS_121),
+	.D(n_7917),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[23] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[24][0]  (
+	.CLK(CTS_127),
+	.D(n_7335),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[24] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[24][1]  (
+	.CLK(CTS_127),
+	.D(n_8080),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[24] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[24][2]  (
+	.CLK(CTS_134),
+	.D(n_7916),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[24] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[24][3]  (
+	.CLK(CTS_127),
+	.D(n_8105),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[24] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[24][4]  (
+	.CLK(CTS_134),
+	.D(n_8098),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[24] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[24][5]  (
+	.CLK(CTS_134),
+	.D(n_8092),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[24] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[24][6]  (
+	.CLK(CTS_134),
+	.D(n_7915),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[24] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[24][7]  (
+	.CLK(CTS_134),
+	.D(n_8023),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[24] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[24][8]  (
+	.CLK(CTS_134),
+	.D(n_8049),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[24] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[25][1]  (
+	.CLK(CTS_127),
+	.D(n_93128),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[25] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[25][2]  (
+	.CLK(CTS_134),
+	.D(n_7914),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[25] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[25][3]  (
+	.CLK(CTS_127),
+	.D(n_7769),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[25] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[25][4]  (
+	.CLK(CTS_134),
+	.D(n_8032),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[25] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[25][5]  (
+	.CLK(CTS_134),
+	.D(n_8010),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[25] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[25][6]  (
+	.CLK(CTS_134),
+	.D(n_8068),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[25] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[25][7]  (
+	.CLK(CTS_134),
+	.D(n_8066),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[25] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[25][8]  (
+	.CLK(CTS_134),
+	.D(n_8078),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[25] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[26][1]  (
+	.CLK(CTS_121),
+	.D(n_93148),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[26] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[26][2]  (
+	.CLK(CTS_121),
+	.D(n_7913),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[26] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[26][3]  (
+	.CLK(CTS_120),
+	.D(n_8266),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[26] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[26][4]  (
+	.CLK(CTS_121),
+	.D(n_8176),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[26] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[26][5]  (
+	.CLK(CTS_121),
+	.D(n_8050),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[26] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[26][6]  (
+	.CLK(CTS_120),
+	.D(n_7912),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[26] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[26][7]  (
+	.CLK(CTS_120),
+	.D(n_8156),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[26] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[26][8]  (
+	.CLK(CTS_153),
+	.D(n_8038),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[26] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[27][1]  (
+	.CLK(CTS_121),
+	.D(n_8265),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[27] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[27][2]  (
+	.CLK(CTS_153),
+	.D(n_7911),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[27] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[27][3]  (
+	.CLK(CTS_120),
+	.D(n_68469),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[27] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[27][4]  (
+	.CLK(CTS_153),
+	.D(n_8094),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[27] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[27][5]  (
+	.CLK(CTS_153),
+	.D(n_8101),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[27] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[27][6]  (
+	.CLK(CTS_123),
+	.D(n_8093),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[27] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[27][7]  (
+	.CLK(CTS_123),
+	.D(n_8062),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[27] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[27][8]  (
+	.CLK(CTS_153),
+	.D(n_8140),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[27] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[28][1]  (
+	.CLK(CTS_127),
+	.D(n_8263),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[28] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[28][2]  (
+	.CLK(CTS_147),
+	.D(n_8262),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[28] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[28][3]  (
+	.CLK(CTS_127),
+	.D(n_8170),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[28] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[28][4]  (
+	.CLK(CTS_147),
+	.D(n_7910),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[28] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[28][5]  (
+	.CLK(CTS_147),
+	.D(n_8264),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[28] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[28][6]  (
+	.CLK(CTS_147),
+	.D(n_8259),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[28] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[28][7]  (
+	.CLK(CTS_147),
+	.D(n_8258),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[28] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[28][8]  (
+	.CLK(CTS_147),
+	.D(n_7909),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[28] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[29][1]  (
+	.CLK(CTS_146),
+	.D(n_8005),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[29] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[29][2]  (
+	.CLK(CTS_147),
+	.D(n_8021),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[29] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[29][3]  (
+	.CLK(CTS_121),
+	.D(n_8061),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[29] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[29][4]  (
+	.CLK(CTS_134),
+	.D(n_8214),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[29] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[29][5]  (
+	.CLK(CTS_147),
+	.D(n_8197),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[29] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[29][6]  (
+	.CLK(CTS_146),
+	.D(n_7908),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[29] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[29][7]  (
+	.CLK(CTS_147),
+	.D(n_8260),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[29] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[29][8]  (
+	.CLK(CTS_146),
+	.D(n_7907),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[29] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[30][1]  (
+	.CLK(CTS_156),
+	.D(n_7906),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[30] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[30][2]  (
+	.CLK(CTS_156),
+	.D(n_8240),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[30] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[30][3]  (
+	.CLK(CTS_121),
+	.D(n_8232),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[30] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[30][4]  (
+	.CLK(CTS_157),
+	.D(n_7905),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[30] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[30][5]  (
+	.CLK(CTS_156),
+	.D(n_8031),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[30] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[30][6]  (
+	.CLK(CTS_123),
+	.D(n_8025),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[30] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[30][7]  (
+	.CLK(CTS_123),
+	.D(n_8042),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[30] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[30][8]  (
+	.CLK(CTS_123),
+	.D(n_7904),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[30] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[31][1]  (
+	.CLK(CTS_121),
+	.D(n_8072),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[31] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[31][2]  (
+	.CLK(CTS_121),
+	.D(n_8190),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[31] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[31][3]  (
+	.CLK(CTS_120),
+	.D(n_8055),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[31] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[31][4]  (
+	.CLK(CTS_157),
+	.D(n_7903),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[31] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[31][5]  (
+	.CLK(CTS_121),
+	.D(n_8183),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[31] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[31][6]  (
+	.CLK(CTS_121),
+	.D(n_8184),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[31] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[31][7]  (
+	.CLK(CTS_121),
+	.D(n_8004),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[31] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[31][8]  (
+	.CLK(CTS_121),
+	.D(n_7902),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[31] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[32][0]  (
+	.CLK(CTS_125),
+	.D(n_7329),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[32] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[32][1]  (
+	.CLK(CTS_127),
+	.D(n_8270),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[32] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[32][2]  (
+	.CLK(CTS_147),
+	.D(n_8037),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[32] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[32][3]  (
+	.CLK(CTS_127),
+	.D(n_8088),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[32] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[32][4]  (
+	.CLK(CTS_147),
+	.D(n_8075),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[32] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[32][5]  (
+	.CLK(CTS_145),
+	.D(n_7901),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[32] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[32][6]  (
+	.CLK(CTS_134),
+	.D(n_8079),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[32] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[32][7]  (
+	.CLK(CTS_134),
+	.D(n_8036),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[32] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[32][8]  (
+	.CLK(CTS_147),
+	.D(n_8048),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[32] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[33][1]  (
+	.CLK(CTS_127),
+	.D(n_8102),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[33] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[33][2]  (
+	.CLK(CTS_147),
+	.D(n_8186),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[33] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[33][3]  (
+	.CLK(CTS_127),
+	.D(n_7734),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[33] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[33][4]  (
+	.CLK(CTS_145),
+	.D(n_8012),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[33] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[33][5]  (
+	.CLK(CTS_145),
+	.D(n_7900),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[33] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[33][6]  (
+	.CLK(CTS_134),
+	.D(n_8091),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[33] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[33][7]  (
+	.CLK(CTS_134),
+	.D(n_8073),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[33] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[33][8]  (
+	.CLK(CTS_147),
+	.D(n_8086),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[33] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[34][1]  (
+	.CLK(CTS_145),
+	.D(n_7899),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[34] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[34][2]  (
+	.CLK(CTS_145),
+	.D(n_8236),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[34] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[34][3]  (
+	.CLK(CTS_120),
+	.D(n_8239),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[34] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[34][4]  (
+	.CLK(CTS_145),
+	.D(n_8252),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[34] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[34][5]  (
+	.CLK(CTS_145),
+	.D(n_7898),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[34] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[34][6]  (
+	.CLK(CTS_123),
+	.D(n_8116),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[34] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[34][7]  (
+	.CLK(CTS_123),
+	.D(n_7735),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[34] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[34][8]  (
+	.CLK(CTS_123),
+	.D(n_8250),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[34] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[35][1]  (
+	.CLK(CTS_157),
+	.D(n_8148),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[35] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[35][2]  (
+	.CLK(CTS_157),
+	.D(n_8246),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[35] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[35][3]  (
+	.CLK(CTS_120),
+	.D(n_8256),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[35] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[35][4]  (
+	.CLK(CTS_157),
+	.D(n_8248),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[35] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[35][5]  (
+	.CLK(CTS_157),
+	.D(n_7897),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[35] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[35][6]  (
+	.CLK(CTS_123),
+	.D(n_8016),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[35] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[35][7]  (
+	.CLK(CTS_123),
+	.D(n_8223),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[35] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[35][8]  (
+	.CLK(CTS_153),
+	.D(n_8247),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[35] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[36][0]  (
+	.CLK(CTS_125),
+	.D(n_7339),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[36] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[36][1]  (
+	.CLK(CTS_127),
+	.D(n_7733),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[36] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[36][2]  (
+	.CLK(CTS_147),
+	.D(n_7896),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[36] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[36][3]  (
+	.CLK(CTS_127),
+	.D(n_7895),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[36] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[36][4]  (
+	.CLK(CTS_145),
+	.D(n_7894),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[36] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[36][5]  (
+	.CLK(CTS_145),
+	.D(n_7893),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[36] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[36][6]  (
+	.CLK(CTS_147),
+	.D(n_7892),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[36] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[36][7]  (
+	.CLK(CTS_147),
+	.D(n_7891),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[36] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[36][8]  (
+	.CLK(CTS_147),
+	.D(n_7890),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[36] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[37][1]  (
+	.CLK(CTS_127),
+	.D(n_7889),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[37] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[37][2]  (
+	.CLK(CTS_134),
+	.D(n_7888),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[37] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[37][3]  (
+	.CLK(CTS_121),
+	.D(n_7887),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[37] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[37][4]  (
+	.CLK(CTS_121),
+	.D(n_7886),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[37] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[37][5]  (
+	.CLK(CTS_134),
+	.D(n_7885),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[37] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[37][6]  (
+	.CLK(CTS_134),
+	.D(n_7884),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[37] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[37][7]  (
+	.CLK(CTS_134),
+	.D(n_7883),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[37] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[37][8]  (
+	.CLK(CTS_134),
+	.D(n_7882),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[37] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[38][1]  (
+	.CLK(CTS_145),
+	.D(n_7881),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[38] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[38][2]  (
+	.CLK(CTS_156),
+	.D(n_7880),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[38] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[38][3]  (
+	.CLK(CTS_120),
+	.D(n_7879),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[38] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[38][4]  (
+	.CLK(CTS_145),
+	.D(n_7878),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[38] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[38][5]  (
+	.CLK(CTS_145),
+	.D(n_7877),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[38] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[38][6]  (
+	.CLK(CTS_153),
+	.D(n_7876),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[38] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[38][7]  (
+	.CLK(CTS_123),
+	.D(n_7875),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[38] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[38][8]  (
+	.CLK(CTS_153),
+	.D(n_7874),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[38] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[39][1]  (
+	.CLK(CTS_145),
+	.D(n_7873),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[39] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[39][2]  (
+	.CLK(CTS_121),
+	.D(n_7872),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[39] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[39][3]  (
+	.CLK(CTS_120),
+	.D(n_7871),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[39] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[39][4]  (
+	.CLK(CTS_134),
+	.D(n_7870),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[39] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[39][5]  (
+	.CLK(CTS_145),
+	.D(n_7869),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[39] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[39][6]  (
+	.CLK(CTS_121),
+	.D(n_7868),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[39] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[39][7]  (
+	.CLK(CTS_121),
+	.D(n_7867),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[39] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[39][8]  (
+	.CLK(CTS_121),
+	.D(n_7866),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[39] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[40][0]  (
+	.CLK(CTS_127),
+	.D(n_7340),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[40] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[40][1]  (
+	.CLK(CTS_127),
+	.D(n_8113),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[40] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[40][2]  (
+	.CLK(CTS_147),
+	.D(n_7865),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[40] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[40][3]  (
+	.CLK(CTS_127),
+	.D(n_8242),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[40] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[40][4]  (
+	.CLK(CTS_147),
+	.D(n_8011),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[40] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[40][5]  (
+	.CLK(CTS_145),
+	.D(n_8249),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[40] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[40][6]  (
+	.CLK(CTS_134),
+	.D(n_7864),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[40] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[40][7]  (
+	.CLK(CTS_134),
+	.D(n_8172),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[40] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[40][8]  (
+	.CLK(CTS_134),
+	.D(n_8052),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[40] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[41][1]  (
+	.CLK(CTS_134),
+	.D(n_8085),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[41] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[41][2]  (
+	.CLK(CTS_147),
+	.D(n_7863),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[41] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[41][3]  (
+	.CLK(CTS_127),
+	.D(n_7731),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[41] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[41][4]  (
+	.CLK(CTS_145),
+	.D(n_8007),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[41] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[41][5]  (
+	.CLK(CTS_145),
+	.D(n_8083),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[41] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[41][6]  (
+	.CLK(CTS_134),
+	.D(n_8074),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[41] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[41][7]  (
+	.CLK(CTS_134),
+	.D(n_8084),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[41] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[41][8]  (
+	.CLK(CTS_147),
+	.D(n_7732),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[41] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[42][1]  (
+	.CLK(CTS_145),
+	.D(n_8195),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[42] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[42][2]  (
+	.CLK(CTS_145),
+	.D(n_8255),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[42] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[42][3]  (
+	.CLK(CTS_120),
+	.D(n_7730),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[42] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[42][4]  (
+	.CLK(CTS_145),
+	.D(n_8022),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[42] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[42][5]  (
+	.CLK(CTS_145),
+	.D(n_8238),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[42] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[42][6]  (
+	.CLK(CTS_123),
+	.D(n_8251),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[42] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[42][7]  (
+	.CLK(CTS_123),
+	.D(n_8237),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[42] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[42][8]  (
+	.CLK(CTS_153),
+	.D(n_8254),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[42] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[43][1]  (
+	.CLK(CTS_157),
+	.D(n_8168),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[43] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[43][2]  (
+	.CLK(CTS_153),
+	.D(n_7862),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[43] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[43][3]  (
+	.CLK(CTS_123),
+	.D(n_8241),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[43] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[43][4]  (
+	.CLK(CTS_157),
+	.D(n_8215),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[43] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[43][5]  (
+	.CLK(CTS_157),
+	.D(n_8234),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[43] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[43][6]  (
+	.CLK(CTS_123),
+	.D(n_7861),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[43] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[43][7]  (
+	.CLK(CTS_123),
+	.D(n_7729),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[43] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[43][8]  (
+	.CLK(CTS_153),
+	.D(n_8082),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[43] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[44][0]  (
+	.CLK(CTS_127),
+	.D(n_7331),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[44] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[44][1]  (
+	.CLK(CTS_127),
+	.D(n_7860),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[44] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[44][2]  (
+	.CLK(CTS_147),
+	.D(n_7859),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[44] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[44][3]  (
+	.CLK(CTS_127),
+	.D(n_7728),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[44] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[44][4]  (
+	.CLK(CTS_147),
+	.D(n_7858),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[44] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[44][5]  (
+	.CLK(CTS_145),
+	.D(n_8120),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[44] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[44][6]  (
+	.CLK(CTS_147),
+	.D(n_7857),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[44] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[44][7]  (
+	.CLK(CTS_147),
+	.D(n_7856),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[44] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[44][8]  (
+	.CLK(CTS_145),
+	.D(n_7855),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[44] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[45][1]  (
+	.CLK(CTS_121),
+	.D(n_7854),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[45] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[45][2]  (
+	.CLK(CTS_121),
+	.D(n_7853),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[45] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[45][3]  (
+	.CLK(CTS_121),
+	.D(n_7852),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[45] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[45][4]  (
+	.CLK(CTS_134),
+	.D(n_7851),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[45] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[45][5]  (
+	.CLK(CTS_134),
+	.D(n_8006),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[45] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[45][6]  (
+	.CLK(CTS_134),
+	.D(n_7850),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[45] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[45][7]  (
+	.CLK(CTS_134),
+	.D(n_8235),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[45] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[45][8]  (
+	.CLK(CTS_134),
+	.D(n_7849),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[45] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[46][1]  (
+	.CLK(CTS_145),
+	.D(n_7848),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[46] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[46][2]  (
+	.CLK(CTS_145),
+	.D(n_7847),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[46] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[46][3]  (
+	.CLK(CTS_120),
+	.D(n_8212),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[46] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[46][4]  (
+	.CLK(CTS_145),
+	.D(n_7846),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[46] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[46][5]  (
+	.CLK(CTS_145),
+	.D(n_8233),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[46] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[46][6]  (
+	.CLK(CTS_121),
+	.D(n_7845),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[46] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[46][7]  (
+	.CLK(CTS_121),
+	.D(n_8117),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[46] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[46][8]  (
+	.CLK(CTS_121),
+	.D(n_7844),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[46] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[47][1]  (
+	.CLK(CTS_145),
+	.D(n_7843),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[47] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[47][2]  (
+	.CLK(CTS_121),
+	.D(n_7842),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[47] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[47][3]  (
+	.CLK(CTS_120),
+	.D(n_8224),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[47] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[47][4]  (
+	.CLK(CTS_134),
+	.D(n_7841),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[47] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[47][5]  (
+	.CLK(CTS_145),
+	.D(n_8175),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[47] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[47][6]  (
+	.CLK(CTS_121),
+	.D(n_7840),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[47] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[47][7]  (
+	.CLK(CTS_121),
+	.D(n_8161),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[47] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[47][8]  (
+	.CLK(CTS_121),
+	.D(n_7839),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[47] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[48][0]  (
+	.CLK(CTS_127),
+	.D(n_7341),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[48] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[48][1]  (
+	.CLK(CTS_134),
+	.D(n_8213),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[48] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[48][2]  (
+	.CLK(CTS_134),
+	.D(n_7838),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[48] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[48][3]  (
+	.CLK(CTS_127),
+	.D(n_8203),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[48] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[48][4]  (
+	.CLK(CTS_121),
+	.D(n_8206),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[48] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[48][5]  (
+	.CLK(CTS_121),
+	.D(n_7726),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[48] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[48][6]  (
+	.CLK(CTS_134),
+	.D(n_7837),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[48] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[48][7]  (
+	.CLK(CTS_121),
+	.D(n_7836),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[48] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[48][8]  (
+	.CLK(CTS_134),
+	.D(n_8210),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[48] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[49][1]  (
+	.CLK(CTS_127),
+	.D(n_93127),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[49] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[49][2]  (
+	.CLK(CTS_134),
+	.D(n_7835),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[49] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[49][3]  (
+	.CLK(CTS_127),
+	.D(n_8207),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[49] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[49][4]  (
+	.CLK(CTS_147),
+	.D(n_8209),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[49] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[49][5]  (
+	.CLK(CTS_134),
+	.D(n_7725),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[49] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[49][6]  (
+	.CLK(CTS_134),
+	.D(n_7834),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[49] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[49][7]  (
+	.CLK(CTS_134),
+	.D(n_8169),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[49] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[49][8]  (
+	.CLK(CTS_147),
+	.D(n_8204),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[49] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[50][1]  (
+	.CLK(CTS_134),
+	.D(n_93147),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[50] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[50][2]  (
+	.CLK(CTS_153),
+	.D(n_7833),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[50] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[50][3]  (
+	.CLK(CTS_120),
+	.D(n_7724),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[50] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[50][4]  (
+	.CLK(CTS_145),
+	.D(n_8218),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[50] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[50][5]  (
+	.CLK(CTS_121),
+	.D(n_8002),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[50] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[50][6]  (
+	.CLK(CTS_121),
+	.D(n_7832),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[50] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[50][7]  (
+	.CLK(CTS_123),
+	.D(n_7831),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[50] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[50][8]  (
+	.CLK(CTS_123),
+	.D(n_8216),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[50] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[51][1]  (
+	.CLK(CTS_157),
+	.D(n_8217),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[51] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[51][2]  (
+	.CLK(CTS_157),
+	.D(n_7830),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[51] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[51][3]  (
+	.CLK(CTS_123),
+	.D(n_68471),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[51] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[51][4]  (
+	.CLK(CTS_153),
+	.D(n_8220),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[51] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[51][5]  (
+	.CLK(CTS_153),
+	.D(n_8219),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[51] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[51][6]  (
+	.CLK(CTS_123),
+	.D(n_7829),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[51] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[51][7]  (
+	.CLK(CTS_123),
+	.D(n_8222),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[51] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[51][8]  (
+	.CLK(CTS_153),
+	.D(n_8202),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[51] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[52][0]  (
+	.CLK(CTS_127),
+	.D(n_7332),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[52] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[52][1]  (
+	.CLK(CTS_127),
+	.D(n_7828),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[52] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[52][2]  (
+	.CLK(CTS_147),
+	.D(n_7827),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[52] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[52][3]  (
+	.CLK(CTS_127),
+	.D(n_7826),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[52] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[52][4]  (
+	.CLK(CTS_147),
+	.D(n_7825),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[52] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[52][5]  (
+	.CLK(CTS_147),
+	.D(n_7824),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[52] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[52][6]  (
+	.CLK(CTS_147),
+	.D(n_7823),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[52] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[52][7]  (
+	.CLK(CTS_147),
+	.D(n_7822),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[52] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[52][8]  (
+	.CLK(CTS_147),
+	.D(n_7821),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[52] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[53][1]  (
+	.CLK(CTS_134),
+	.D(n_93126),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[53] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[53][2]  (
+	.CLK(CTS_134),
+	.D(n_7819),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[53] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[53][3]  (
+	.CLK(CTS_127),
+	.D(n_7818),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[53] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[53][4]  (
+	.CLK(CTS_134),
+	.D(n_7817),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[53] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[53][5]  (
+	.CLK(CTS_121),
+	.D(n_7816),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[53] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[53][6]  (
+	.CLK(CTS_134),
+	.D(n_7815),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[53] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[53][7]  (
+	.CLK(CTS_134),
+	.D(n_7814),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[53] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[53][8]  (
+	.CLK(CTS_134),
+	.D(n_7813),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[53] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[54][1]  (
+	.CLK(CTS_145),
+	.D(n_93151),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[54] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[54][2]  (
+	.CLK(CTS_145),
+	.D(n_7811),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[54] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[54][3]  (
+	.CLK(CTS_120),
+	.D(n_7810),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[54] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[54][4]  (
+	.CLK(CTS_145),
+	.D(n_7809),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[54] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[54][5]  (
+	.CLK(CTS_145),
+	.D(n_7808),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[54] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[54][6]  (
+	.CLK(CTS_121),
+	.D(n_7807),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[54] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[54][7]  (
+	.CLK(CTS_121),
+	.D(n_7806),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[54] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[54][8]  (
+	.CLK(CTS_121),
+	.D(n_7805),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[54] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[55][1]  (
+	.CLK(CTS_121),
+	.D(n_7804),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[55] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[55][2]  (
+	.CLK(CTS_121),
+	.D(n_7803),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[55] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[55][3]  (
+	.CLK(CTS_120),
+	.D(n_68468),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[55] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[55][4]  (
+	.CLK(CTS_121),
+	.D(n_7801),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[55] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[55][5]  (
+	.CLK(CTS_121),
+	.D(n_7800),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[55] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[55][6]  (
+	.CLK(CTS_121),
+	.D(n_7799),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[55] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[55][7]  (
+	.CLK(CTS_121),
+	.D(n_7798),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[55] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[55][8]  (
+	.CLK(CTS_121),
+	.D(n_7797),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[55] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[56][0]  (
+	.CLK(CTS_127),
+	.D(n_7342),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[56] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[56][1]  (
+	.CLK(CTS_134),
+	.D(n_8312),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[56] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[56][2]  (
+	.CLK(CTS_134),
+	.D(n_8311),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[56] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[56][3]  (
+	.CLK(CTS_127),
+	.D(n_7796),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[56] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[56][4]  (
+	.CLK(CTS_134),
+	.D(n_8163),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[56] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[56][5]  (
+	.CLK(CTS_121),
+	.D(n_8164),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[56] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[56][6]  (
+	.CLK(CTS_121),
+	.D(n_8310),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[56] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[56][7]  (
+	.CLK(CTS_134),
+	.D(n_7795),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[56] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[56][8]  (
+	.CLK(CTS_121),
+	.D(n_8138),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[56] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[57][1]  (
+	.CLK(CTS_134),
+	.D(n_93131),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[57] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[57][2]  (
+	.CLK(CTS_147),
+	.D(n_8160),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[57] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[57][3]  (
+	.CLK(CTS_127),
+	.D(n_7794),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[57] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[57][4]  (
+	.CLK(CTS_147),
+	.D(n_8159),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[57] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[57][5]  (
+	.CLK(CTS_134),
+	.D(n_8158),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[57] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[57][6]  (
+	.CLK(CTS_134),
+	.D(n_8157),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[57] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[57][7]  (
+	.CLK(CTS_134),
+	.D(n_8309),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[57] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[57][8]  (
+	.CLK(CTS_147),
+	.D(n_8155),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[57] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[58][1]  (
+	.CLK(CTS_134),
+	.D(n_93149),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[58] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[58][2]  (
+	.CLK(CTS_153),
+	.D(n_8306),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[58] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[58][3]  (
+	.CLK(CTS_120),
+	.D(n_7793),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[58] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[58][4]  (
+	.CLK(CTS_145),
+	.D(n_8141),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[58] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[58][5]  (
+	.CLK(CTS_121),
+	.D(n_8150),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[58] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[58][6]  (
+	.CLK(CTS_121),
+	.D(n_8149),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[58] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[58][7]  (
+	.CLK(CTS_121),
+	.D(n_72898),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[58] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[58][8]  (
+	.CLK(CTS_121),
+	.D(n_8153),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[58] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[59][1]  (
+	.CLK(CTS_157),
+	.D(n_8146),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[59] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[59][2]  (
+	.CLK(CTS_157),
+	.D(n_8145),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[59] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[59][3]  (
+	.CLK(CTS_123),
+	.D(n_68467),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[59] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[59][4]  (
+	.CLK(CTS_157),
+	.D(n_8144),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[59] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[59][5]  (
+	.CLK(CTS_157),
+	.D(n_8143),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[59] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[59][6]  (
+	.CLK(CTS_123),
+	.D(n_8308),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[59] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[59][7]  (
+	.CLK(CTS_123),
+	.D(n_7791),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[59] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[59][8]  (
+	.CLK(CTS_153),
+	.D(n_8139),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[59] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[60][0]  (
+	.CLK(CTS_127),
+	.D(n_7333),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[60] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[60][1]  (
+	.CLK(CTS_127),
+	.D(n_7790),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[60] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[60][2]  (
+	.CLK(CTS_147),
+	.D(n_7789),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[60] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[60][3]  (
+	.CLK(CTS_127),
+	.D(n_7788),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[60] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[60][4]  (
+	.CLK(CTS_145),
+	.D(n_8307),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[60] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[60][5]  (
+	.CLK(CTS_145),
+	.D(n_7787),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[60] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[60][6]  (
+	.CLK(CTS_147),
+	.D(n_8136),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[60] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[60][7]  (
+	.CLK(CTS_147),
+	.D(n_7786),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[60] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[60][8]  (
+	.CLK(CTS_147),
+	.D(n_8137),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[60] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[61][1]  (
+	.CLK(CTS_134),
+	.D(n_7785),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[61] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[61][2]  (
+	.CLK(CTS_121),
+	.D(n_7784),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[61] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[61][3]  (
+	.CLK(CTS_127),
+	.D(n_7783),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[61] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[61][4]  (
+	.CLK(CTS_134),
+	.D(n_8133),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[61] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[61][5]  (
+	.CLK(CTS_121),
+	.D(n_7782),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[61] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[61][6]  (
+	.CLK(CTS_134),
+	.D(n_8134),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[61] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[61][7]  (
+	.CLK(CTS_134),
+	.D(n_7781),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[61] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[61][8]  (
+	.CLK(CTS_134),
+	.D(n_8130),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[61] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[62][1]  (
+	.CLK(CTS_145),
+	.D(n_7780),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[62] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[62][2]  (
+	.CLK(CTS_156),
+	.D(n_7779),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[62] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[62][3]  (
+	.CLK(CTS_120),
+	.D(n_7778),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[62] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[62][4]  (
+	.CLK(CTS_145),
+	.D(n_8131),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[62] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[62][5]  (
+	.CLK(CTS_145),
+	.D(n_7777),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[62] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[62][6]  (
+	.CLK(CTS_121),
+	.D(n_8305),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[62] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[62][7]  (
+	.CLK(CTS_121),
+	.D(n_7776),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[62] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[62][8]  (
+	.CLK(CTS_153),
+	.D(n_8304),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[62] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[63][1]  (
+	.CLK(CTS_121),
+	.D(n_7775),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[63] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[63][2]  (
+	.CLK(CTS_121),
+	.D(n_7774),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[63] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[63][3]  (
+	.CLK(CTS_120),
+	.D(n_7773),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[63] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[63][4]  (
+	.CLK(CTS_121),
+	.D(n_8129),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[63] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[63][5]  (
+	.CLK(CTS_121),
+	.D(n_7772),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[63] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[63][6]  (
+	.CLK(CTS_121),
+	.D(n_8128),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[63] [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[63][7]  (
+	.CLK(CTS_121),
+	.D(n_7771),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[63] [7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[63][8]  (
+	.CLK(CTS_121),
+	.D(n_8126),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[63] [8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_raddr_reg[0]  (
+	.CLK(CTS_120),
+	.D(n_4650),
+	.Q(soc_top_u_uart_u_uart_core_fifo_read_size[0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_raddr_reg[2]  (
+	.CLK(CTS_127),
+	.D(n_9394),
+	.Q(soc_top_u_uart_u_uart_core_fifo_read_size[2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_raddr_reg[4]  (
+	.CLK(CTS_120),
+	.D(n_9399),
+	.Q(soc_top_u_uart_u_uart_core_fifo_read_size[4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_raddr_reg[5]  (
+	.CLK(CTS_120),
+	.D(n_10268),
+	.Q(soc_top_u_uart_u_uart_core_fifo_read_size[5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_raddr_reg[6]  (
+	.CLK(CTS_120),
+	.D(n_10971),
+	.Q(soc_top_u_uart_u_uart_core_fifo_read_size[6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_raddr_reg[7]  (
+	.CLK(CTS_120),
+	.D(n_11492),
+	.Q(soc_top_u_uart_u_uart_core_fifo_read_size[7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_raddr_reg[8]  (
+	.CLK(CTS_120),
+	.D(n_11647),
+	.Q(soc_top_u_uart_u_uart_core_fifo_read_size[8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlxtp_1 \soc_top_u_uart_u_uart_core_write_fifo_rdata_o_reg[0]  (
+	.D(n_8884),
+	.GATE(soc_top_u_uart_u_uart_core_write_fifo_n_1309),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_rdata_o[0]_5752 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_waddr_reg[2]  (
+	.CLK(CTS_127),
+	.D(n_7273),
+	.Q(soc_top_u_uart_u_uart_core_write_fifo_waddr[2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_waddr_reg[3]  (
+	.CLK(CTS_127),
+	.D(n_8943),
+	.Q(soc_top_u_uart_u_uart_core_write_fifo_waddr[3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_waddr_reg[5]  (
+	.CLK(CTS_127),
+	.D(n_8427),
+	.Q(soc_top_u_uart_u_uart_core_write_fifo_waddr[5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_waddr_reg[6]  (
+	.CLK(CTS_127),
+	.D(n_9849),
+	.Q(soc_top_u_uart_u_uart_core_write_fifo_waddr[6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_waddr_reg[7]  (
+	.CLK(CTS_127),
+	.D(n_10515),
+	.Q(soc_top_u_uart_u_uart_core_write_fifo_waddr[7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo_waddr_reg[8]  (
+	.CLK(CTS_127),
+	.D(n_10976),
+	.Q(soc_top_u_uart_u_uart_core_write_fifo_waddr[8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g986884 (
+	.A(n_38198),
+	.B(n_12089),
+	.Y(n_12090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g986885 (
+	.A(n_76791),
+	.B(n_12087),
+	.Y(n_12089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g986886 (
+	.A1(n_10333),
+	.A2(n_1888),
+	.B1(n_59631),
+	.C1(n_9749),
+	.D1(n_12086),
+	.X(n_12088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g986888 (
+	.A1(n_9216),
+	.A2(n_84197),
+	.B1(n_12085),
+	.Y(n_12087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g986889 (
+	.A1(n_12078),
+	.A2(n_7626),
+	.B1(n_8566),
+	.B2(n_2896),
+	.C1(n_10187),
+	.Y(n_12086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g986891 (
+	.A1(n_1889),
+	.A2(n_10326),
+	.B1(n_12082),
+	.Y(n_12085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g986892 (
+	.A(n_38191),
+	.B(n_12083),
+	.Y(n_12084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g986893 (
+	.A(n_76791),
+	.B(n_12081),
+	.Y(n_12083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g986894 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_84 ),
+	.A2(n_2589),
+	.B1(n_12077),
+	.C1(n_9750),
+	.D1(n_10188),
+	.Y(n_12082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g986896 (
+	.A1(n_9216),
+	.A2(n_76995),
+	.B1(n_12080),
+	.Y(n_12081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g986898 (
+	.A1(n_10101),
+	.A2(n_1888),
+	.B1(n_3409),
+	.C1(n_9751),
+	.D1(n_12072),
+	.X(n_12080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g986899 (
+	.A(n_80822),
+	.B(n_12076),
+	.Y(n_12079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g986900 (
+	.A1(n_12075),
+	.A2(n_7626),
+	.B1(n_8566),
+	.B2(n_2877),
+	.Y(n_12077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g986901 (
+	.A(n_10486),
+	.B(n_12074),
+	.Y(n_12078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g986902 (
+	.A(n_12073),
+	.B(n_76791),
+	.Y(n_12076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g986903 (
+	.A(n_10487),
+	.B(n_12067),
+	.COUT(n_12074),
+	.SUM(n_12075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g986906 (
+	.A1(n_9216),
+	.A2(n_76993),
+	.B1(n_12071),
+	.Y(n_12073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g986907 (
+	.A1(n_12068),
+	.A2(n_7626),
+	.B1(n_8566),
+	.B2(n_2894),
+	.C1(n_10189),
+	.Y(n_12072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g986908 (
+	.A1(n_10325),
+	.A2(n_1888),
+	.B1(n_3420),
+	.C1(n_9752),
+	.D1(n_12065),
+	.X(n_12071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g986909 (
+	.A(n_70303),
+	.B(n_12069),
+	.Y(n_12070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g986910 (
+	.A(n_12066),
+	.B(n_76791),
+	.Y(n_12069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g986911 (
+	.A(n_10495),
+	.B(n_12060),
+	.COUT(n_12067),
+	.SUM(n_12068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g986914 (
+	.A1(n_9216),
+	.A2(n_72003),
+	.B1(n_12064),
+	.Y(n_12066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g986915 (
+	.A1(n_7626),
+	.A2(n_12061),
+	.B1(n_8566),
+	.B2(n_2881),
+	.C1(n_10190),
+	.Y(n_12065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g986916 (
+	.A1(n_10100),
+	.A2(n_1888),
+	.B1(n_3422),
+	.C1(n_9754),
+	.D1(n_12058),
+	.X(n_12064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g986917 (
+	.A(n_62952),
+	.B(n_12062),
+	.Y(n_12063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g986918 (
+	.A(n_12059),
+	.B(n_76791),
+	.Y(n_12062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g986919 (
+	.A(n_10493),
+	.B(n_12053),
+	.COUT(n_12060),
+	.SUM(n_12061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g986922 (
+	.A1(n_9216),
+	.A2(n_69705),
+	.B1(n_12057),
+	.Y(n_12059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g986923 (
+	.A1(n_7626),
+	.A2(n_12054),
+	.B1(n_8566),
+	.B2(n_2892),
+	.C1(n_10191),
+	.Y(n_12058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g986924 (
+	.A1(n_10332),
+	.A2(n_1888),
+	.B1(n_3421),
+	.C1(n_9755),
+	.D1(n_12051),
+	.X(n_12057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g986925 (
+	.A(n_70309),
+	.B(n_72435),
+	.Y(n_12056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g986927 (
+	.A(n_10494),
+	.B(n_12045),
+	.COUT(n_12053),
+	.SUM(n_12054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g986931 (
+	.A1(n_7626),
+	.A2(n_12046),
+	.B1(n_8566),
+	.B2(n_2875),
+	.C1(n_10192),
+	.Y(n_12051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g986933 (
+	.A(n_10138),
+	.B(n_12048),
+	.Y(n_12050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g986934 (
+	.A(n_70297),
+	.B(n_12047),
+	.Y(n_12049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g986935 (
+	.A(n_12043),
+	.B(n_11448),
+	.Y(n_12048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g986936 (
+	.A(n_12044),
+	.B(n_11627),
+	.Y(n_12047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g986937 (
+	.A(n_10260),
+	.B(n_12036),
+	.COUT(n_12045),
+	.SUM(n_12046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g986940 (
+	.A(n_9606),
+	.B(n_12039),
+	.Y(n_12044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g986941 (
+	.A1(n_8566),
+	.A2(n_2885),
+	.B1(n_12041),
+	.X(n_12043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g986942 (
+	.A1(n_7626),
+	.A2(n_12037),
+	.B1(n_8566),
+	.B2(n_2900),
+	.C1(n_10193),
+	.Y(n_12042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g986943 (
+	.A1(n_9367),
+	.A2(n_4584),
+	.B1(n_12033),
+	.C1(n_8125),
+	.D1(n_9383),
+	.Y(n_12041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g986944 (
+	.A(n_10137),
+	.B(n_12038),
+	.Y(n_12040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g986945 (
+	.A1(n_8566),
+	.A2(n_2879),
+	.B1(n_2590),
+	.B2(n_26214),
+	.C1(n_12034),
+	.Y(n_12039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g986946 (
+	.A1(n_9875),
+	.A2(n_54309),
+	.B1(n_12035),
+	.Y(n_12038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g986947 (
+	.A(n_10266),
+	.B(n_12026),
+	.COUT(n_12036),
+	.SUM(n_12037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g986950 (
+	.A(n_9874),
+	.B(n_12030),
+	.Y(n_12035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g986951 (
+	.A1(n_10098),
+	.A2(n_1888),
+	.B1(n_12029),
+	.C1(n_9757),
+	.D1(n_10194),
+	.Y(n_12034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g986952 (
+	.A1(n_7625),
+	.A2(n_85991),
+	.B1(n_2590),
+	.B2(n_80788),
+	.C1(n_12032),
+	.Y(n_12033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g986953 (
+	.A1(n_10097),
+	.A2(n_1888),
+	.B1(n_2117),
+	.C1(n_12023),
+	.D1(n_9758),
+	.Y(n_12032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g986955 (
+	.A1(n_10572),
+	.A2(n_58418),
+	.B1(n_31198),
+	.B2(n_9577),
+	.C1(n_12024),
+	.Y(n_12030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g986957 (
+	.A(n_7626),
+	.B(n_12027),
+	.Y(n_12029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g986959 (
+	.A(n_10263),
+	.B(n_12015),
+	.COUT(n_12026),
+	.SUM(n_12027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g986960 (
+	.A(n_9874),
+	.B(n_46212),
+	.Y(n_12025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g986962 (
+	.A(n_10046),
+	.B(n_12020),
+	.C(n_11458),
+	.Y(n_12024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g986963 (
+	.A1(n_7626),
+	.A2(n_12019),
+	.B1(n_7631),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [22]),
+	.Y(n_12023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g986965 (
+	.A(n_10135),
+	.B(n_12018),
+	.Y(n_12022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g986967 (
+	.A1(n_12014),
+	.A2(n_7626),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [21]),
+	.B2(n_7631),
+	.C1(n_7110),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[0] [21]),
+	.Y(n_12020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g986968 (
+	.A1(n_9875),
+	.A2(n_31132),
+	.B1(n_12017),
+	.Y(n_12018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g986969 (
+	.A(n_10948),
+	.B(n_12013),
+	.X(n_12019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g986970 (
+	.A(n_9874),
+	.B(n_12011),
+	.Y(n_12017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g986972 (
+	.A(n_10047),
+	.B(n_12010),
+	.C(n_11691),
+	.Y(n_12016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g986973 (
+	.A(n_12013),
+	.B(n_10948),
+	.C(n_9737),
+	.X(n_12015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g986974 (
+	.A(n_10488),
+	.B(n_12005),
+	.COUT(n_12013),
+	.SUM(n_12014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g986976 (
+	.A(n_58030),
+	.B(n_12009),
+	.Y(n_12012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g986977 (
+	.A1(n_10572),
+	.A2(n_75771),
+	.B1(n_31196),
+	.B2(n_9577),
+	.C1(n_12007),
+	.Y(n_12011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g986978 (
+	.A1(n_12006),
+	.A2(n_7626),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [20]),
+	.B2(n_7631),
+	.C1(n_7110),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[0] [20]),
+	.Y(n_12010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g986979 (
+	.A1(n_9875),
+	.A2(n_31131),
+	.B1(n_12008),
+	.Y(n_12009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g986980 (
+	.A(n_9874),
+	.B(n_85104),
+	.Y(n_12008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g986981 (
+	.A(n_10048),
+	.B(n_12003),
+	.C(n_11301),
+	.Y(n_12007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g986982 (
+	.A(n_10489),
+	.B(n_11998),
+	.COUT(n_12005),
+	.SUM(n_12006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g986986 (
+	.A1(n_11999),
+	.A2(n_7626),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [19]),
+	.B2(n_7631),
+	.C1(n_7110),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[0] [19]),
+	.Y(n_12003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g986987 (
+	.A(n_10132),
+	.B(n_12000),
+	.Y(n_12002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g986989 (
+	.A(n_10049),
+	.B(n_11995),
+	.C(n_11302),
+	.Y(n_12001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g986990 (
+	.A(n_11997),
+	.B(n_76224),
+	.Y(n_12000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g986991 (
+	.A(n_10490),
+	.B(n_11990),
+	.COUT(n_11998),
+	.SUM(n_11999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g986993 (
+	.A(n_9874),
+	.B(n_73329),
+	.Y(n_11997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g986994 (
+	.A(n_58361),
+	.B(n_11994),
+	.Y(n_11996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g986995 (
+	.A1(n_11991),
+	.A2(n_7626),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [18]),
+	.B2(n_7631),
+	.C1(n_7110),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[0] [18]),
+	.Y(n_11995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g986996 (
+	.A1(n_9875),
+	.A2(n_31129),
+	.B1(n_11992),
+	.Y(n_11994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g986999 (
+	.A(n_9874),
+	.B(n_11987),
+	.Y(n_11992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g987000 (
+	.A(n_10491),
+	.B(n_11982),
+	.COUT(n_11990),
+	.SUM(n_11991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987001 (
+	.A1(n_76866),
+	.A2(n_26564),
+	.B1(n_7626),
+	.B2(n_11983),
+	.C1(n_9113),
+	.X(n_11989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g987003 (
+	.A(n_10130),
+	.B(n_11986),
+	.Y(n_11988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g987004 (
+	.A1(n_10572),
+	.A2(\soc_top_u_top_u_core_fp_operands[0] [16]),
+	.B1(n_37626),
+	.B2(n_9577),
+	.C1(n_11984),
+	.Y(n_11987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g987005 (
+	.A1(n_9875),
+	.A2(n_31128),
+	.B1(n_11985),
+	.Y(n_11986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g987007 (
+	.A(n_9874),
+	.B(n_38164),
+	.Y(n_11985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g987008 (
+	.A(n_10050),
+	.B(n_11979),
+	.C(n_11303),
+	.Y(n_11984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g987009 (
+	.A(n_10496),
+	.B(n_11974),
+	.COUT(n_11982),
+	.SUM(n_11983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g987011 (
+	.A(n_10129),
+	.B(n_11978),
+	.Y(n_11981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g987013 (
+	.A1(n_11975),
+	.A2(n_7626),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [16]),
+	.B2(n_7631),
+	.C1(n_7110),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[0] [16]),
+	.Y(n_11979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g987014 (
+	.A1(n_9875),
+	.A2(n_31127),
+	.B1(n_11977),
+	.Y(n_11978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g987016 (
+	.A(n_9874),
+	.B(n_11972),
+	.Y(n_11977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g987017 (
+	.A(n_10051),
+	.B(n_11971),
+	.C(n_11460),
+	.Y(n_11976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g987018 (
+	.A(n_10492),
+	.B(n_11966),
+	.COUT(n_11974),
+	.SUM(n_11975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g987020 (
+	.A(n_10128),
+	.B(n_11970),
+	.Y(n_11973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g987021 (
+	.A1(n_10572),
+	.A2(n_43096),
+	.B1(n_31191),
+	.B2(n_9577),
+	.C1(n_11968),
+	.Y(n_11972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g987022 (
+	.A1(n_11967),
+	.A2(n_7626),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [15]),
+	.B2(n_7631),
+	.C1(n_7110),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[0] [15]),
+	.Y(n_11971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g987023 (
+	.A1(n_9875),
+	.A2(n_42631),
+	.B1(n_11969),
+	.Y(n_11970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g987024 (
+	.A(n_9874),
+	.B(n_11965),
+	.Y(n_11969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g987025 (
+	.A(n_10052),
+	.B(n_11963),
+	.C(n_68921),
+	.Y(n_11968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g987026 (
+	.A(n_10485),
+	.B(n_11959),
+	.COUT(n_11966),
+	.SUM(n_11967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g987028 (
+	.A1(n_10572),
+	.A2(n_61234),
+	.B1(n_31190),
+	.B2(n_9577),
+	.C1(n_11962),
+	.Y(n_11965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g987030 (
+	.A(n_10126),
+	.B(n_11961),
+	.Y(n_11964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g987031 (
+	.A1(n_11960),
+	.A2(n_7626),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [14]),
+	.B2(n_7631),
+	.C1(n_7110),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[0] [14]),
+	.Y(n_11963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g987032 (
+	.A(n_10053),
+	.B(n_11957),
+	.C(n_59616),
+	.Y(n_11962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g987033 (
+	.A(n_11958),
+	.B(n_76222),
+	.Y(n_11961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g987034 (
+	.A(n_10151),
+	.B(n_11952),
+	.COUT(n_11959),
+	.SUM(n_11960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g987036 (
+	.A(n_9874),
+	.B(n_11955),
+	.Y(n_11958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g987038 (
+	.A1(n_11953),
+	.A2(n_7626),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [13]),
+	.B2(n_7631),
+	.C1(n_7110),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[0] [13]),
+	.Y(n_11957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g987039 (
+	.A(n_10124),
+	.B(n_11954),
+	.Y(n_11956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g987040 (
+	.A1(n_10063),
+	.A2(n_41953),
+	.B1(n_76320),
+	.B2(n_9577),
+	.C1(n_11950),
+	.Y(n_11955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g987041 (
+	.A(n_11951),
+	.B(n_76223),
+	.Y(n_11954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g987042 (
+	.A(n_10265),
+	.B(n_11945),
+	.COUT(n_11952),
+	.SUM(n_11953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g987045 (
+	.A(n_9874),
+	.B(n_11948),
+	.Y(n_11951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987046 (
+	.A1(n_76866),
+	.A2(n_61246),
+	.B1(n_7626),
+	.B2(n_11946),
+	.C1(n_9107),
+	.X(n_11950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g987047 (
+	.A(n_10122),
+	.B(n_11947),
+	.Y(n_11949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g987048 (
+	.A1(n_10063),
+	.A2(n_38885),
+	.B1(n_31188),
+	.B2(n_9577),
+	.C1(n_11943),
+	.Y(n_11948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g987049 (
+	.A(n_11944),
+	.B(n_76225),
+	.Y(n_11947), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g987050 (
+	.A(n_10264),
+	.B(n_11937),
+	.COUT(n_11945),
+	.SUM(n_11946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g987053 (
+	.A(n_9874),
+	.B(n_11941),
+	.Y(n_11944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987054 (
+	.A1(n_76866),
+	.A2(n_29042),
+	.B1(n_7626),
+	.B2(n_11938),
+	.C1(n_9105),
+	.X(n_11943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g987056 (
+	.A(n_10120),
+	.B(n_36440),
+	.Y(n_11942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g987057 (
+	.A1(n_10063),
+	.A2(n_75516),
+	.B1(n_31187),
+	.B2(n_9577),
+	.C1(n_59596),
+	.Y(n_11941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g987060 (
+	.A(n_10158),
+	.B(n_11930),
+	.COUT(n_11937),
+	.SUM(n_11938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g987065 (
+	.A1(n_76866),
+	.A2(\soc_top_u_top_u_core_fp_operands[0] [9]),
+	.B1(n_31186),
+	.B2(n_9577),
+	.C1(n_11929),
+	.Y(n_11933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987067 (
+	.A1(n_31185),
+	.A2(n_9577),
+	.B1(n_10572),
+	.B2(n_77843),
+	.C1(n_11926),
+	.X(n_11932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g987068 (
+	.A(n_10152),
+	.B(n_11921),
+	.COUT(n_11930),
+	.SUM(n_11931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987070 (
+	.A1(n_7631),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [9]),
+	.B1(n_7110),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [9]),
+	.C1(n_11925),
+	.X(n_11929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g987071 (
+	.A(n_10116),
+	.B(n_11927),
+	.Y(n_11928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g987072 (
+	.A1(n_9875),
+	.A2(n_31120),
+	.B1(n_11924),
+	.Y(n_11927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g987073 (
+	.A1(n_10063),
+	.A2(n_92783),
+	.B1(n_11923),
+	.X(n_11926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987074 (
+	.A1(n_7626),
+	.A2(n_11922),
+	.B1(n_10063),
+	.B2(n_74529),
+	.C1(n_10755),
+	.X(n_11925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g987075 (
+	.A(n_9874),
+	.B(n_11919),
+	.Y(n_11924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g987076 (
+	.A1(n_2212),
+	.A2(n_10484),
+	.B1(n_11291),
+	.C1(n_9964),
+	.D1(n_11920),
+	.Y(n_11923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g987077 (
+	.A(n_10262),
+	.B(n_11913),
+	.COUT(n_11921),
+	.SUM(n_11922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g987080 (
+	.A1(n_7626),
+	.A2(n_11914),
+	.B1(n_9767),
+	.B2(n_29840),
+	.C1(n_9100),
+	.Y(n_11920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g987081 (
+	.A1(n_31184),
+	.A2(n_9577),
+	.B1(n_11918),
+	.Y(n_11919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g987083 (
+	.A(n_11908),
+	.B(n_40447),
+	.C(n_11747),
+	.D(n_10518),
+	.Y(n_11918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g987084 (
+	.A(n_10114),
+	.B(n_36441),
+	.Y(n_11917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g987086 (
+	.A(n_10113),
+	.B(n_11911),
+	.Y(n_11915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g987087 (
+	.A(n_10261),
+	.B(n_11905),
+	.COUT(n_11913),
+	.SUM(n_11914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g987090 (
+	.A1(n_9875),
+	.A2(n_31118),
+	.B1(n_11910),
+	.Y(n_11911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g987091 (
+	.A(n_9874),
+	.B(n_11907),
+	.Y(n_11910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g987092 (
+	.A1(n_76866),
+	.A2(n_61199),
+	.B1(n_31183),
+	.B2(n_9577),
+	.C1(n_11904),
+	.Y(n_11909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g987093 (
+	.A1(n_7626),
+	.A2(n_11906),
+	.B1(n_7631),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [7]),
+	.Y(n_11908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g987095 (
+	.A1(n_31182),
+	.A2(n_9577),
+	.B1(n_11903),
+	.Y(n_11907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g987096 (
+	.A(n_10156),
+	.B(n_11895),
+	.COUT(n_11905),
+	.SUM(n_11906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987097 (
+	.A1(n_7631),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [6]),
+	.B1(n_7110),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [6]),
+	.C1(n_11900),
+	.X(n_11904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987099 (
+	.A1(n_10572),
+	.A2(n_62568),
+	.B1(n_10063),
+	.B2(n_78034),
+	.C1(n_11898),
+	.X(n_11903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g987100 (
+	.A(n_10112),
+	.B(n_11901),
+	.Y(n_11902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g987102 (
+	.A1(n_9875),
+	.A2(n_31117),
+	.B1(n_11899),
+	.Y(n_11901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987103 (
+	.A1(n_7626),
+	.A2(n_11896),
+	.B1(n_10063),
+	.B2(n_81530),
+	.C1(n_38086),
+	.X(n_11900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g987104 (
+	.A(n_9874),
+	.B(n_11893),
+	.Y(n_11899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g987105 (
+	.A1(n_9905),
+	.A2(n_34990),
+	.B1(n_11449),
+	.C1(n_9966),
+	.D1(n_11894),
+	.Y(n_11898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g987106 (
+	.A(n_10111),
+	.B(n_11892),
+	.Y(n_11897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g987107 (
+	.A(n_10157),
+	.B(n_11887),
+	.COUT(n_11895),
+	.SUM(n_11896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g987108 (
+	.A1(n_7626),
+	.A2(n_11888),
+	.B1(n_9769),
+	.B2(n_29837),
+	.C1(n_9096),
+	.Y(n_11894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g987110 (
+	.A1(n_31181),
+	.A2(n_9577),
+	.B1(n_11890),
+	.Y(n_11893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g987111 (
+	.A1(n_9875),
+	.A2(n_31116),
+	.B1(n_11891),
+	.Y(n_11892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g987112 (
+	.A(n_9874),
+	.B(n_11889),
+	.Y(n_11891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g987113 (
+	.A1(n_54294),
+	.A2(n_10330),
+	.B1(n_11713),
+	.C1(n_10678),
+	.D1(n_11881),
+	.Y(n_11890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g987115 (
+	.A1(n_76866),
+	.A2(n_58897),
+	.B1(n_31180),
+	.B2(n_9577),
+	.C1(n_11884),
+	.Y(n_11889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g987116 (
+	.A(n_10155),
+	.B(n_11876),
+	.COUT(n_11887),
+	.SUM(n_11888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g987119 (
+	.A(n_10110),
+	.B(n_11885),
+	.Y(n_11886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g987121 (
+	.A(n_11880),
+	.B(n_11746),
+	.Y(n_11885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g987122 (
+	.A(n_10417),
+	.B(n_11875),
+	.C(n_11636),
+	.Y(n_11884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g987123 (
+	.A(n_58036),
+	.B(n_11878),
+	.Y(n_11883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g987124 (
+	.A(n_10109),
+	.B(n_11879),
+	.Y(n_11882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g987125 (
+	.A1(n_7626),
+	.A2(n_11877),
+	.B1(n_7631),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [4]),
+	.Y(n_11881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g987126 (
+	.A(n_9874),
+	.B(n_61665),
+	.Y(n_11880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g987127 (
+	.A1(n_9875),
+	.A2(n_31114),
+	.B1(n_11874),
+	.Y(n_11879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g987128 (
+	.A(n_11871),
+	.B(n_9873),
+	.C(n_11835),
+	.Y(n_11878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g987129 (
+	.A(n_10154),
+	.B(n_11862),
+	.COUT(n_11876),
+	.SUM(n_11877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g987133 (
+	.A1(n_11863),
+	.A2(n_7626),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [3]),
+	.B2(n_7631),
+	.C1(n_54294),
+	.C2(n_10329),
+	.Y(n_11875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g987134 (
+	.A(n_9874),
+	.B(n_70604),
+	.Y(n_11874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g987141 (
+	.A1(n_11854),
+	.A2(n_128),
+	.A3(soc_top_u_pwm_pwm_core_period_counter1[12]),
+	.B1(n_11850),
+	.B2(soc_top_u_pwm_pwm_core_period_counter1[13]),
+	.X(n_11872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g987142 (
+	.A1(n_10102),
+	.A2(n_1888),
+	.B1(n_9496),
+	.C1(n_9759),
+	.D1(n_11856),
+	.Y(n_11871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g987143 (
+	.A(n_9578),
+	.B(n_11864),
+	.Y(n_11870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g987150 (
+	.A1(n_11838),
+	.A2(n_687),
+	.A3(soc_top_u_pwm_pwm_core_period_counter1[10]),
+	.B1(n_11833),
+	.B2(soc_top_u_pwm_pwm_core_period_counter1[11]),
+	.X(n_11868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g987151 (
+	.A1(n_11839),
+	.A2(soc_top_u_pwm_pwm_core_period_counter1[2]),
+	.A3(soc_top_u_pwm_pwm_core_period_counter1[1]),
+	.B1(n_11816),
+	.B2(soc_top_u_pwm_pwm_core_period_counter1[3]),
+	.X(n_11867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o311ai_1 g987152 (
+	.A1(n_602),
+	.A2(n_34990),
+	.A3(n_9600),
+	.B1(n_10415),
+	.C1(n_11840),
+	.Y(n_11866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g987153 (
+	.A1(n_11846),
+	.A2(soc_top_u_pwm_pwm_core_period_counter1[15]),
+	.B1(n_11854),
+	.B2(n_2314),
+	.X(n_11865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g987154 (
+	.A(\soc_top_timer0_gen_harts[0].u_core_tick_count [11]),
+	.B(n_11852),
+	.Y(n_11864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g987156 (
+	.A(n_10153),
+	.B(n_11784),
+	.COUT(n_11862),
+	.SUM(n_11863), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g987166 (
+	.A1(n_11826),
+	.A2(n_129),
+	.A3(soc_top_u_pwm_pwm_core_period_counter1[6]),
+	.B1(n_11811),
+	.B2(soc_top_u_pwm_pwm_core_period_counter1[7]),
+	.X(n_11861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 g987167 (
+	.A1(n_132),
+	.A2(soc_top_u_pwm_pwm_core_period_counter1[2]),
+	.A3(n_11824),
+	.B1(n_134),
+	.B2(n_11820),
+	.Y(n_11860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g987168 (
+	.A(n_1285),
+	.B_N(n_11854),
+	.Y(n_11859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g987169 (
+	.A(n_9578),
+	.B_N(n_11853),
+	.Y(n_11858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g987170 (
+	.A1(n_3854),
+	.A2(n_3113),
+	.B1(n_8568),
+	.C1(n_11831),
+	.Y(n_11857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g987171 (
+	.A1(n_7624),
+	.A2(n_29971),
+	.B1(n_7086),
+	.B2(n_29970),
+	.C1(n_11849),
+	.Y(n_11856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g987175 (
+	.A(\soc_top_timer0_gen_harts[0].u_core_tick_count [10]),
+	.B(n_11797),
+	.COUT(n_11852),
+	.SUM(n_11853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g987176 (
+	.A1(n_11822),
+	.A2(soc_top_u_pwm_pwm_core_period_counter2[15]),
+	.B1(n_11827),
+	.B2(soc_top_u_pwm_pwm_core_period_counter2[14]),
+	.X(n_11851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g987177 (
+	.A1(soc_top_u_pwm_pwm_core_period_counter1[12]),
+	.A2(n_11781),
+	.B1_N(n_11837),
+	.Y(n_11850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g987178 (
+	.A(n_1592),
+	.B_N(n_11838),
+	.Y(n_11854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g987185 (
+	.A1(n_34952),
+	.A2(n_8698),
+	.B1(n_11792),
+	.C1(n_9089),
+	.D1(n_9380),
+	.Y(n_11849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g987186 (
+	.A1(n_10063),
+	.A2(n_90504),
+	.B1(n_11829),
+	.Y(n_11848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g987187 (
+	.A1(n_11780),
+	.A2(n_1285),
+	.B1(n_11837),
+	.X(n_11847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g987188 (
+	.A1(n_2314),
+	.A2(n_11781),
+	.B1_N(n_11837),
+	.Y(n_11846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g987189 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [0]),
+	.A2(n_35331),
+	.B1(n_8572),
+	.B2(n_11736),
+	.C1(n_11810),
+	.Y(n_11845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g987190 (
+	.A1(n_3854),
+	.A2(n_3126),
+	.B1(n_6721),
+	.C1(n_8568),
+	.D1(n_11817),
+	.Y(n_11844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g987191 (
+	.A1(n_11800),
+	.A2(n_3580),
+	.A3(n_728),
+	.B1(n_11808),
+	.B2(soc_top_u_pwm_pwm_core_period_counter1[5]),
+	.X(n_11843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 g987192 (
+	.A1(soc_top_u_pwm_pwm_core_period_counter1[4]),
+	.A2(n_3039),
+	.A3(n_11799),
+	.B1(n_710),
+	.B2(n_11802),
+	.Y(n_11842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g987193 (
+	.A1(n_11801),
+	.A2(n_120),
+	.A3(soc_top_u_pwm_pwm_core_period_counter2[12]),
+	.B1(n_11818),
+	.B2(soc_top_u_pwm_pwm_core_period_counter2[13]),
+	.X(n_11841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g987194 (
+	.A1(n_7626),
+	.A2(n_11794),
+	.B1(n_7631),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [2]),
+	.Y(n_11840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g987195 (
+	.A(soc_top_u_pwm_pwm_core_period_counter1[3]),
+	.B(n_11824),
+	.Y(n_11839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g987205 (
+	.A(n_1564),
+	.B_N(n_11826),
+	.Y(n_11836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g987206 (
+	.A(n_9216),
+	.B(n_36306),
+	.Y(n_11835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g987207 (
+	.A1(n_11783),
+	.A2(n_702),
+	.A3(soc_top_u_pwm_pwm_core_period_counter2[6]),
+	.B1(n_11791),
+	.B2(soc_top_u_pwm_pwm_core_period_counter2[7]),
+	.X(n_11834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g987208 (
+	.A1(soc_top_u_pwm_pwm_core_period_counter1[10]),
+	.A2(n_11781),
+	.B1_N(n_11825),
+	.Y(n_11833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g987209 (
+	.A1(n_11782),
+	.A2(n_151),
+	.A3(soc_top_u_pwm_pwm_core_period_counter2[10]),
+	.B1(n_11789),
+	.B2(soc_top_u_pwm_pwm_core_period_counter2[11]),
+	.X(n_11832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g987210 (
+	.A1(n_3854),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [0]),
+	.B1_N(n_11817),
+	.Y(n_11831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g987211 (
+	.A1(n_11785),
+	.A2(n_795),
+	.A3(soc_top_u_pwm_pwm_core_period_counter2[2]),
+	.B1(n_11767),
+	.B2(soc_top_u_pwm_pwm_core_period_counter2[3]),
+	.X(n_11830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g987212 (
+	.A(n_9968),
+	.B(n_10057),
+	.C(n_11787),
+	.D(n_11292),
+	.Y(n_11829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g987213 (
+	.A(n_11828),
+	.B(soc_top_u_pwm_pwm_core_period_counter1[9]),
+	.X(n_11838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g987214 (
+	.A1(n_11780),
+	.A2(n_1592),
+	.B1(n_11825),
+	.X(n_11837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g987215 (
+	.A(n_11824),
+	.Y(n_11823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g987216 (
+	.A_N(n_11793),
+	.B(n_11743),
+	.Y(n_11822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g987217 (
+	.A(n_9578),
+	.B_N(n_11798),
+	.Y(n_11821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g987218 (
+	.A1(n_11780),
+	.A2(n_1607),
+	.B1(n_1254),
+	.Y(n_11820), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g987219 (
+	.A1(soc_top_u_pwm_pwm_core_period_counter1[0]),
+	.A2(n_11781),
+	.B1(n_1253),
+	.Y(n_11819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g987220 (
+	.A1(soc_top_u_pwm_pwm_core_period_counter2[12]),
+	.A2(n_11743),
+	.B1_N(n_11793),
+	.Y(n_11818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g987223 (
+	.A(n_7689),
+	.B(n_11799),
+	.Y(n_11828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g987225 (
+	.A(n_1550),
+	.B_N(n_11801),
+	.Y(n_11827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g987226 (
+	.A(n_4286),
+	.B(n_11799),
+	.Y(n_11826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g987228 (
+	.A1(n_11780),
+	.A2(n_8435),
+	.B1(n_1254),
+	.X(n_11825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g987229 (
+	.A(n_11800),
+	.B(soc_top_u_pwm_pwm_core_period_counter1[0]),
+	.Y(n_11824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g987231 (
+	.A1(n_2315),
+	.A2(n_11781),
+	.B1(n_1253),
+	.Y(n_11816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g987232 (
+	.A1(n_11780),
+	.A2(n_7196),
+	.B1(n_1254),
+	.X(n_11815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g987233 (
+	.A1(n_11742),
+	.A2(n_1550),
+	.B1(n_11793),
+	.X(n_11814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g987234 (
+	.A1(n_11762),
+	.A2(n_859),
+	.A3(soc_top_u_pwm_pwm_core_period_counter2[0]),
+	.B1(n_11774),
+	.B2(soc_top_u_pwm_pwm_core_period_counter2[1]),
+	.X(n_11813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g987235 (
+	.A(n_55490),
+	.B(n_11788),
+	.Y(n_11812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g987236 (
+	.A1(n_4745),
+	.A2(n_11781),
+	.B1(n_1253),
+	.Y(n_11811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g987237 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
+	.A2(n_4415),
+	.B1(n_11760),
+	.B2(n_7614),
+	.C1(n_7194),
+	.C2(n_3035),
+	.Y(n_11810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g987238 (
+	.A1(n_11780),
+	.A2(n_4286),
+	.B1(n_1254),
+	.X(n_11809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g987239 (
+	.A1(n_3580),
+	.A2(n_11781),
+	.B1(n_1253),
+	.Y(n_11808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g987240 (
+	.A1(n_11780),
+	.A2(n_7689),
+	.B1(n_1254),
+	.X(n_11807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g987241 (
+	.A1(n_11762),
+	.A2(n_3584),
+	.A3(n_718),
+	.B1(n_11766),
+	.B2(soc_top_u_pwm_pwm_core_period_counter2[5]),
+	.X(n_11806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g987242 (
+	.A1(n_11762),
+	.A2(n_7184),
+	.A3(n_724),
+	.B1(n_11770),
+	.B2(soc_top_u_pwm_pwm_core_period_counter2[9]),
+	.X(n_11805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 g987244 (
+	.A1(soc_top_u_pwm_pwm_core_period_counter2[4]),
+	.A2(n_3041),
+	.A3(n_11763),
+	.B1(n_727),
+	.B2(n_11775),
+	.Y(n_11803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g987245 (
+	.A1(n_11780),
+	.A2(n_3039),
+	.B1(n_1254),
+	.Y(n_11802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g987246 (
+	.A1_N(n_3035),
+	.A2_N(n_11771),
+	.B1(n_8573),
+	.B2(n_11736),
+	.Y(n_11817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g987247 (
+	.A(n_11799),
+	.Y(n_11800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g987248 (
+	.A(\soc_top_timer0_gen_harts[0].u_core_tick_count [9]),
+	.B(n_11740),
+	.COUT(n_11797),
+	.SUM(n_11798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g987249 (
+	.A1(soc_top_main_swith_host_lsu_num_req_outstanding[16]),
+	.A2(n_11744),
+	.B1(n_3418),
+	.C1(n_11753),
+	.Y(n_11796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g987250 (
+	.A(n_1533),
+	.B_N(n_11783),
+	.Y(n_11795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g987252 (
+	.A(n_1636),
+	.B_N(n_11782),
+	.Y(n_11801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g987256 (
+	.A(n_11780),
+	.B(n_1253),
+	.Y(n_11799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g987257 (
+	.A1(n_7626),
+	.A2(n_11764),
+	.B1(n_1229),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[0]),
+	.Y(n_11792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g987258 (
+	.A1(soc_top_u_pwm_pwm_core_period_counter2[6]),
+	.A2(n_11743),
+	.B1_N(n_11778),
+	.Y(n_11791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g987259 (
+	.A1(n_11742),
+	.A2(n_1533),
+	.B1(n_11778),
+	.X(n_11790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g987260 (
+	.A1(soc_top_u_pwm_pwm_core_period_counter2[10]),
+	.A2(n_11743),
+	.B1_N(n_11779),
+	.Y(n_11789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g987261 (
+	.A(n_11773),
+	.B(n_11574),
+	.Y(n_11788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g987262 (
+	.A1(n_11737),
+	.A2(n_7626),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [1]),
+	.B2(n_7631),
+	.C1(n_7110),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[0] [1]),
+	.Y(n_11787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 g987264 (
+	.A1(n_10258),
+	.A2(n_11708),
+	.B1_N(n_11784),
+	.X(n_11794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g987265 (
+	.A1(n_11742),
+	.A2(n_1636),
+	.B1(n_11779),
+	.X(n_11793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g987266 (
+	.A(n_11781),
+	.Y(n_11780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g987267 (
+	.A(n_1582),
+	.B(n_11763),
+	.Y(n_11785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g987269 (
+	.A(n_11765),
+	.B_N(n_10258),
+	.Y(n_11784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g987270 (
+	.A(n_4278),
+	.B(n_11763),
+	.Y(n_11783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g987271 (
+	.A(n_7710),
+	.B(n_11763),
+	.Y(n_11782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g987279 (
+	.A(n_11757),
+	.B(n_3264),
+	.Y(n_11781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987280 (
+	.A1(n_11730),
+	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[15]),
+	.B1(n_2594),
+	.B2(n_29932),
+	.C1(n_11745),
+	.X(n_11777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g987281 (
+	.A1(n_11742),
+	.A2(n_1582),
+	.B1(n_1259),
+	.X(n_11776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g987282 (
+	.A1(n_11742),
+	.A2(n_3041),
+	.B1(n_1259),
+	.Y(n_11775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g987283 (
+	.A1(soc_top_u_pwm_pwm_core_period_counter2[0]),
+	.A2(n_11743),
+	.B1(n_1258),
+	.Y(n_11774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g987284 (
+	.A1(n_36342),
+	.A2(n_9977),
+	.B1(n_11717),
+	.C1(n_8119),
+	.D1(n_9665),
+	.Y(n_11773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g987286 (
+	.A1(n_7614),
+	.A2(n_11735),
+	.B1(n_7194),
+	.Y(n_11771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g987287 (
+	.A1(n_7184),
+	.A2(n_11743),
+	.B1(n_1258),
+	.Y(n_11770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g987288 (
+	.A1(n_11739),
+	.A2(soc_top_u_pwm_pwm_core_counter_p2[15]),
+	.B1(n_11705),
+	.B2(n_2017),
+	.X(n_11769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g987289 (
+	.A1(n_11705),
+	.A2(n_220),
+	.A3(soc_top_u_pwm_pwm_core_counter_p2[13]),
+	.B1(n_11733),
+	.B2(soc_top_u_pwm_pwm_core_counter_p2[14]),
+	.X(n_11768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g987290 (
+	.A1(n_2313),
+	.A2(n_11743),
+	.B1(n_1258),
+	.Y(n_11767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g987291 (
+	.A1(n_3584),
+	.A2(n_11743),
+	.B1(n_1258),
+	.Y(n_11766), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g987292 (
+	.A1(n_11742),
+	.A2(n_7710),
+	.B1(n_1259),
+	.X(n_11779), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g987293 (
+	.A1(n_11742),
+	.A2(n_4278),
+	.B1(n_1259),
+	.X(n_11778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g987294 (
+	.A(n_11708),
+	.Y(n_11765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g987312 (
+	.A(n_11763),
+	.Y(n_11762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g987313 (
+	.A1(n_11695),
+	.A2(soc_top_u_pwm_pwm_core_counter_p1[15]),
+	.B1(n_11662),
+	.B2(n_2027),
+	.X(n_11761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g987314 (
+	.A_N(n_3035),
+	.B(n_11735),
+	.Y(n_11760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g987315 (
+	.A(n_9578),
+	.B_N(n_11741),
+	.Y(n_11759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987316 (
+	.A1(n_11704),
+	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[14]),
+	.B1(n_2594),
+	.B2(n_29931),
+	.C1(n_35301),
+	.X(n_11758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 g987317 (
+	.A1(n_1947),
+	.A2(n_2461),
+	.A3(n_2035),
+	.B1(n_11670),
+	.B2(n_1947),
+	.Y(n_11757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g987318 (
+	.A1(n_9902),
+	.A2(n_61467),
+	.B1(n_11677),
+	.C1(n_10400),
+	.X(n_11756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g987321 (
+	.A1(n_2002),
+	.A2(n_11704),
+	.B1(soc_top_main_swith_host_lsu_num_req_outstanding[16]),
+	.Y(n_11753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g987322 (
+	.A(n_11711),
+	.B_N(n_3271),
+	.Y(n_11752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g987323 (
+	.A1(n_11601),
+	.A2(n_9417),
+	.A3(soc_top_u_pwm_pwm_core_counter_p2[11]),
+	.B1(n_11690),
+	.B2(soc_top_u_pwm_pwm_core_counter_p2[12]),
+	.X(n_11751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g987325 (
+	.A1(n_11662),
+	.A2(n_858),
+	.A3(soc_top_u_pwm_pwm_core_counter_p1[13]),
+	.B1(n_11693),
+	.B2(soc_top_u_pwm_pwm_core_counter_p1[14]),
+	.X(n_11749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987326 (
+	.A1(n_11585),
+	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[13]),
+	.B1(n_2594),
+	.B2(n_29930),
+	.C1(n_11707),
+	.X(n_11748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g987327 (
+	.A1(n_7110),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [7]),
+	.B1(n_2590),
+	.B2(n_58169),
+	.C1(n_11698),
+	.Y(n_11747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g987328 (
+	.A1(n_75211),
+	.A2(n_9876),
+	.B1(n_61668),
+	.Y(n_11746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g987329 (
+	.A1(n_10256),
+	.A2(n_11694),
+	.B1(n_11663),
+	.X(n_11764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g987330 (
+	.A(n_11742),
+	.B(n_1258),
+	.Y(n_11763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g987331 (
+	.A(n_11744),
+	.Y(n_11745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g987332 (
+	.A(n_11743),
+	.Y(n_11742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g987333 (
+	.A(\soc_top_timer0_gen_harts[0].u_core_tick_count [8]),
+	.B(n_11599),
+	.COUT(n_11740),
+	.SUM(n_11741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g987334 (
+	.A1(n_11482),
+	.A2(n_1355),
+	.B1(n_11703),
+	.X(n_11739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g987338 (
+	.A_N(soc_top_main_swith_host_lsu_num_req_outstanding[15]),
+	.B(n_35301),
+	.Y(n_11744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g987360 (
+	.A(n_11689),
+	.B(n_3265),
+	.Y(n_11743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g987362 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p2[9]),
+	.A2(n_7687),
+	.A3(n_11602),
+	.B1(n_11699),
+	.Y(n_11734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g987363 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p2[13]),
+	.A2(n_11481),
+	.B1_N(n_11703),
+	.Y(n_11733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g987365 (
+	.A1_N(soc_top_u_top_u_core_priv_mode_id[1]),
+	.A2_N(n_2127),
+	.B1(n_2598),
+	.B2(n_11631),
+	.Y(n_11731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g987366 (
+	.A1(n_1500),
+	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[14]),
+	.B1(n_11704),
+	.X(n_11730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g987367 (
+	.A1(n_11601),
+	.A2(n_818),
+	.A3(soc_top_u_pwm_pwm_core_counter_p2[0]),
+	.B1(n_11635),
+	.B2(soc_top_u_pwm_pwm_core_counter_p2[1]),
+	.X(n_11729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 g987368 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p2[2]),
+	.A2(n_1562),
+	.A3(n_11602),
+	.B1(n_300),
+	.B2(n_11642),
+	.Y(n_11728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 g987369 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p2[6]),
+	.A2(n_4283),
+	.A3(n_11602),
+	.B1(n_233),
+	.B2(n_11640),
+	.Y(n_11727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 g987370 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p2[8]),
+	.A2(n_7192),
+	.A3(n_11602),
+	.B1(n_822),
+	.B2(n_11639),
+	.Y(n_11726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 g987371 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p2[10]),
+	.A2(n_8621),
+	.A3(n_11602),
+	.B1(n_282),
+	.B2(n_11638),
+	.Y(n_11725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g987372 (
+	.A1(n_11503),
+	.A2(n_9418),
+	.A3(soc_top_u_pwm_pwm_core_counter_p1[11]),
+	.B1(n_11628),
+	.B2(soc_top_u_pwm_pwm_core_counter_p1[12]),
+	.X(n_11724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g987373 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p2[3]),
+	.A2(n_2267),
+	.A3(n_11602),
+	.B1(n_11692),
+	.Y(n_11723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g987374 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p2[5]),
+	.A2(n_3581),
+	.A3(n_11602),
+	.B1(n_11701),
+	.Y(n_11722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g987375 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p2[7]),
+	.A2(n_4742),
+	.A3(n_11602),
+	.B1(n_11700),
+	.Y(n_11721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g987376 (
+	.A1(n_9789),
+	.A2(soc_top_u_top_u_core_alu_operand_b_ex[12]),
+	.B1(n_9764),
+	.B2(n_29844),
+	.C1(n_11633),
+	.Y(n_11720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g987377 (
+	.A1_N(soc_top_u_top_u_core_priv_mode_id[0]),
+	.A2_N(n_2127),
+	.B1(n_2598),
+	.B2(n_11632),
+	.Y(n_11719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g987379 (
+	.A1(n_7631),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [31]),
+	.B1(n_9569),
+	.B2(n_1469),
+	.C1(n_11621),
+	.Y(n_11717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987380 (
+	.A1(n_11630),
+	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[12]),
+	.B1(n_2594),
+	.B2(n_29929),
+	.C1(n_11595),
+	.X(n_11716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g987381 (
+	.A1(n_11601),
+	.A2(n_9235),
+	.A3(n_149),
+	.B1(n_11660),
+	.B2(soc_top_u_pwm_pwm_core_counter_p2[11]),
+	.X(n_11715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 g987382 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p2[4]),
+	.A2(n_3032),
+	.A3(n_11602),
+	.B1(n_194),
+	.B2(n_11641),
+	.Y(n_11714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g987383 (
+	.A1(n_7110),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [4]),
+	.B1(n_2590),
+	.B2(n_87514),
+	.C1(n_11646),
+	.Y(n_11713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g987385 (
+	.A1(soc_top_u_pwm_pwm_core_DC_1[15]),
+	.A2(n_762),
+	.B1(soc_top_u_pwm_pwm_core_DC_1[14]),
+	.B2(n_717),
+	.C1(n_11634),
+	.Y(n_11711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o311a_1 g987387 (
+	.A1(n_77410),
+	.A2(n_3984),
+	.A3(n_77411),
+	.B1(n_77423),
+	.C1(n_11620),
+	.X(n_11709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g987388 (
+	.A1(n_11663),
+	.A2(n_10257),
+	.B1(n_11708),
+	.Y(n_11737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g987389 (
+	.A(n_35500),
+	.B(n_29626),
+	.C(n_29678),
+	.D(n_11561),
+	.X(n_11736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g987390 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [20]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [21]),
+	.C(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [31]),
+	.D(n_11560),
+	.X(n_11735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g987391 (
+	.A(n_11629),
+	.B(n_1501),
+	.Y(n_11707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g987409 (
+	.A(n_10257),
+	.B(n_11663),
+	.Y(n_11708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g987432 (
+	.A1(n_68385),
+	.A2(n_11537),
+	.B1(n_7694),
+	.B2(soc_top_u_top_u_core_fp_frm_csr[2]),
+	.X(n_11702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g987433 (
+	.A1(n_593),
+	.A2(n_11582),
+	.B1(soc_top_u_pwm_pwm_core_counter_p2[5]),
+	.Y(n_11701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g987434 (
+	.A1(n_593),
+	.A2(n_11581),
+	.B1(soc_top_u_pwm_pwm_core_counter_p2[7]),
+	.Y(n_11700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g987435 (
+	.A1(n_593),
+	.A2(n_11580),
+	.B1(soc_top_u_pwm_pwm_core_counter_p2[9]),
+	.Y(n_11699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g987436 (
+	.A(n_11522),
+	.B(n_9965),
+	.C(n_9098),
+	.Y(n_11698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g987437 (
+	.A1(n_722),
+	.A2(n_7087),
+	.B1(n_9108),
+	.C1(n_11418),
+	.D1(n_9963),
+	.Y(n_11697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g987439 (
+	.A1(n_11374),
+	.A2(n_1388),
+	.B1(n_11661),
+	.X(n_11695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o311a_1 g987440 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_RM_dly_S [1]),
+	.A2(n_10244),
+	.A3(n_11342),
+	.B1(n_2289),
+	.C1(n_11587),
+	.X(n_11694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g987441 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p1[13]),
+	.A2(n_11373),
+	.B1_N(n_11661),
+	.Y(n_11693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g987442 (
+	.A1(n_593),
+	.A2(n_11596),
+	.B1(soc_top_u_pwm_pwm_core_counter_p2[3]),
+	.Y(n_11692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g987443 (
+	.A1(n_10063),
+	.A2(n_63780),
+	.B1(n_11645),
+	.Y(n_11691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g987444 (
+	.A1(n_11482),
+	.A2(n_149),
+	.B1(n_11660),
+	.X(n_11690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 g987445 (
+	.A1(n_1956),
+	.A2(n_2445),
+	.A3(n_2033),
+	.B1(n_11516),
+	.B2(n_1956),
+	.Y(n_11689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g987446 (
+	.A1(n_11503),
+	.A2(n_228),
+	.A3(soc_top_u_pwm_pwm_core_counter_p1[0]),
+	.B1(n_11568),
+	.B2(soc_top_u_pwm_pwm_core_counter_p1[1]),
+	.X(n_11688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 g987447 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p1[4]),
+	.A2(n_3040),
+	.A3(n_11502),
+	.B1(n_831),
+	.B2(n_11572),
+	.Y(n_11687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 g987448 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p1[6]),
+	.A2(n_4282),
+	.A3(n_11502),
+	.B1(n_283),
+	.B2(n_11571),
+	.Y(n_11686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 g987449 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p1[2]),
+	.A2(n_1581),
+	.A3(n_11502),
+	.B1(n_875),
+	.B2(n_11573),
+	.Y(n_11685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 g987450 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p1[10]),
+	.A2(n_8622),
+	.A3(n_11502),
+	.B1(n_811),
+	.B2(n_11569),
+	.Y(n_11684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 g987451 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p1[8]),
+	.A2(n_7185),
+	.A3(n_11502),
+	.B1(n_851),
+	.B2(n_11570),
+	.Y(n_11683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g987452 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p1[3]),
+	.A2(n_2281),
+	.A3(n_11502),
+	.B1(n_11651),
+	.Y(n_11682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g987453 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p1[5]),
+	.A2(n_3579),
+	.A3(n_11502),
+	.B1(n_11650),
+	.Y(n_11681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g987454 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p1[7]),
+	.A2(n_4737),
+	.A3(n_11502),
+	.B1(n_11649),
+	.Y(n_11680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g987455 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p1[9]),
+	.A2(n_7690),
+	.A3(n_11502),
+	.B1(n_11648),
+	.Y(n_11679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g987456 (
+	.A1(n_2598),
+	.A2(n_11563),
+	.B1(n_3161),
+	.Y(n_11678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987457 (
+	.A1(n_11464),
+	.A2(soc_top_u_top_u_core_fp_frm_fpnew[0]),
+	.B1(n_10824),
+	.B2(n_4288),
+	.C1(n_11567),
+	.X(n_11677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g987459 (
+	.A1(n_9793),
+	.A2(soc_top_u_top_u_core_alu_operand_b_ex[6]),
+	.B1(n_2590),
+	.B2(n_61199),
+	.C1(n_11564),
+	.Y(n_11675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987460 (
+	.A1(n_7041),
+	.A2(n_31492),
+	.B1(n_4089),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [13]),
+	.C1(n_11576),
+	.X(n_11674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987461 (
+	.A1(n_7044),
+	.A2(n_31427),
+	.B1(n_4087),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [13]),
+	.C1(n_11577),
+	.X(n_11673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987462 (
+	.A1(n_7041),
+	.A2(n_31524),
+	.B1(n_4544),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [45]),
+	.C1(n_11579),
+	.X(n_11672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987463 (
+	.A1(n_7044),
+	.A2(n_31459),
+	.B1(n_4546),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [45]),
+	.C1(n_11578),
+	.X(n_11671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g987464 (
+	.A1(n_2471),
+	.A2(n_11450),
+	.B1(n_121),
+	.B2(soc_top_u_pwm_pwm_core_period[12]),
+	.C1(soc_top_u_pwm_pwm_core_period[13]),
+	.C2(n_128),
+	.Y(n_11670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987466 (
+	.A1(n_11585),
+	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[11]),
+	.B1(n_2594),
+	.B2(n_29928),
+	.C1(n_11598),
+	.X(n_11668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g987467 (
+	.A1(n_11503),
+	.A2(n_9236),
+	.A3(n_141),
+	.B1(n_11586),
+	.B2(soc_top_u_pwm_pwm_core_counter_p1[11]),
+	.X(n_11667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g987468 (
+	.A1(n_9790),
+	.A2(soc_top_u_top_u_core_alu_operand_b_ex[11]),
+	.B1(n_2590),
+	.B2(n_29042),
+	.C1(n_11566),
+	.Y(n_11666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g987471 (
+	.A(n_1354),
+	.B(n_11602),
+	.C_N(n_9235),
+	.Y(n_11705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g987472 (
+	.A1(n_11584),
+	.A2(n_1501),
+	.B1(n_3313),
+	.Y(n_11704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g987473 (
+	.A1(n_11482),
+	.A2(n_1354),
+	.B1(n_11660),
+	.X(n_11703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g987541 (
+	.A(n_11623),
+	.Y(n_11664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g987542 (
+	.A1(n_10817),
+	.A2(n_4726),
+	.B1(n_7412),
+	.B2(n_10477),
+	.C1(n_11455),
+	.Y(n_11659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g987543 (
+	.A(soc_top_u_spi_host_spi_host_clgen_cnt[15]),
+	.B(n_11256),
+	.X(n_11658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987544 (
+	.A1(n_11453),
+	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[10]),
+	.B1(n_2594),
+	.B2(n_29927),
+	.C1(n_11504),
+	.X(n_11657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g987545 (
+	.A1(n_35317),
+	.A2(n_11483),
+	.B1(n_7620),
+	.B2(soc_top_u_top_u_core_csr_mtvec[13]),
+	.X(n_11656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g987546 (
+	.A1(n_68385),
+	.A2(n_11399),
+	.B1(n_7694),
+	.B2(soc_top_u_top_u_core_fp_frm_csr[1]),
+	.X(n_11655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g987547 (
+	.A1(n_68385),
+	.A2(n_11392),
+	.B1(n_7694),
+	.B2(soc_top_u_top_u_core_fp_frm_csr[0]),
+	.X(n_11654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g987549 (
+	.A(n_9578),
+	.B_N(n_11600),
+	.Y(n_11652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g987550 (
+	.A1(n_594),
+	.A2(n_11495),
+	.B1(soc_top_u_pwm_pwm_core_counter_p1[3]),
+	.Y(n_11651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g987551 (
+	.A1(n_594),
+	.A2(n_11496),
+	.B1(soc_top_u_pwm_pwm_core_counter_p1[5]),
+	.Y(n_11650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g987552 (
+	.A1(n_594),
+	.A2(n_11497),
+	.B1(soc_top_u_pwm_pwm_core_counter_p1[7]),
+	.Y(n_11649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g987553 (
+	.A1(n_594),
+	.A2(n_11498),
+	.B1(soc_top_u_pwm_pwm_core_counter_p1[9]),
+	.Y(n_11648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g987554 (
+	.A(soc_top_u_uart_u_uart_core_tx_fifo_reset),
+	.B(n_11575),
+	.Y(n_11647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g987555 (
+	.A(n_11405),
+	.B(n_9967),
+	.C(n_9093),
+	.Y(n_11646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g987556 (
+	.A1(n_111),
+	.A2(n_7087),
+	.B1(n_9116),
+	.C1(n_11348),
+	.D1(n_9957),
+	.Y(n_11645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g987557 (
+	.A1(n_11385),
+	.A2(n_77415),
+	.B1(n_7165),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_fflags_q[NX] ),
+	.C1(n_10823),
+	.Y(n_11644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g987559 (
+	.A1(n_11482),
+	.A2(n_1562),
+	.B1(n_593),
+	.Y(n_11642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g987560 (
+	.A1(n_11482),
+	.A2(n_3032),
+	.B1(n_593),
+	.Y(n_11641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g987561 (
+	.A1(n_11482),
+	.A2(n_4283),
+	.B1(n_593),
+	.Y(n_11640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g987562 (
+	.A1(n_11482),
+	.A2(n_7192),
+	.B1(n_593),
+	.Y(n_11639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g987563 (
+	.A1(n_11482),
+	.A2(n_8621),
+	.B1(n_593),
+	.Y(n_11638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g987564 (
+	.A1(n_9788),
+	.A2(soc_top_u_top_u_core_alu_operand_b_ex[17]),
+	.B1(n_2590),
+	.B2(n_26564),
+	.C1(n_11459),
+	.Y(n_11637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g987565 (
+	.A1(n_9794),
+	.A2(soc_top_u_top_u_core_alu_operand_b_ex[3]),
+	.B1(n_2590),
+	.B2(n_58897),
+	.C1(n_11461),
+	.Y(n_11636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g987566 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p2[0]),
+	.A2(n_11481),
+	.B1(soc_top_u_pwm_pwm_core_ctrl_2[1]),
+	.Y(n_11635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g987567 (
+	.A1(n_2032),
+	.A2(n_2440),
+	.B1(n_11518),
+	.Y(n_11634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987568 (
+	.A1(n_7625),
+	.A2(\soc_top_lsu_to_xbar[a_address] [12]),
+	.B1(n_7086),
+	.B2(\soc_top_lsu_to_xbar[a_address] [11]),
+	.C1(n_11521),
+	.X(n_11633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g987569 (
+	.A1(n_3855),
+	.A2(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[11]),
+	.B1(n_11588),
+	.Y(n_11632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g987570 (
+	.A1(n_3855),
+	.A2(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[12]),
+	.B1(n_11588),
+	.Y(n_11631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g987571 (
+	.A1(n_1500),
+	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[11]),
+	.B1(n_11585),
+	.X(n_11630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g987572 (
+	.A1(n_29550),
+	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[13]),
+	.B1(n_11584),
+	.Y(n_11629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g987573 (
+	.A1(n_11374),
+	.A2(n_141),
+	.B1(n_11586),
+	.X(n_11628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g987574 (
+	.A1(n_11402),
+	.A2(n_10324),
+	.B1(n_9216),
+	.Y(n_11627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g987575 (
+	.A1(n_10477),
+	.A2(n_3120),
+	.B1(n_10074),
+	.C1(n_11408),
+	.Y(n_11626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987576 (
+	.A1(n_11151),
+	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[9]),
+	.B1(n_2594),
+	.B2(n_29926),
+	.C1(n_11493),
+	.X(n_11625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g987577 (
+	.A1(n_74746),
+	.A2(n_7087),
+	.B1(n_9091),
+	.C1(n_11345),
+	.D1(n_10056),
+	.Y(n_11624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g987578 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_mtval_q[13]),
+	.A2(n_7618),
+	.B1(soc_top_u_top_u_core_csr_mtval[13]),
+	.B2(n_2127),
+	.C1(n_35318),
+	.C2(n_11483),
+	.Y(n_11623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g987579 (
+	.A1(n_11484),
+	.A2(n_8578),
+	.B1(n_4336),
+	.C1(n_8400),
+	.Y(n_11622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g987580 (
+	.A1(n_602),
+	.A2(n_2589),
+	.B1(n_9989),
+	.C1(n_11295),
+	.D1(n_8697),
+	.Y(n_11621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g987581 (
+	.A1(n_7166),
+	.A2(n_11371),
+	.B1(n_7165),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_fflags_q[OF] ),
+	.C1(n_10679),
+	.Y(n_11620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987582 (
+	.A1(n_7041),
+	.A2(n_31481),
+	.B1(n_4089),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [2]),
+	.C1(n_11470),
+	.X(n_11619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987583 (
+	.A1(n_7044),
+	.A2(n_31416),
+	.B1(n_4087),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [2]),
+	.C1(n_11471),
+	.X(n_11618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987584 (
+	.A1(n_7041),
+	.A2(n_31513),
+	.B1(n_4544),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [34]),
+	.C1(n_11473),
+	.X(n_11617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987585 (
+	.A1(n_7044),
+	.A2(n_31448),
+	.B1(n_4546),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [34]),
+	.C1(n_11472),
+	.X(n_11616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987586 (
+	.A1(n_7041),
+	.A2(n_31486),
+	.B1(n_4089),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [7]),
+	.C1(n_11474),
+	.X(n_11615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987587 (
+	.A1(n_7044),
+	.A2(n_31421),
+	.B1(n_4087),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [7]),
+	.C1(n_11475),
+	.X(n_11614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987588 (
+	.A1(n_7041),
+	.A2(n_31518),
+	.B1(n_4544),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [39]),
+	.C1(n_11477),
+	.X(n_11613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987589 (
+	.A1(n_7044),
+	.A2(n_31453),
+	.B1(n_4546),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [39]),
+	.C1(n_11476),
+	.X(n_11612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987590 (
+	.A1(n_7041),
+	.A2(n_31491),
+	.B1(n_4089),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [12]),
+	.C1(n_11478),
+	.X(n_11611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987591 (
+	.A1(n_7044),
+	.A2(n_31426),
+	.B1(n_4087),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [12]),
+	.C1(n_11479),
+	.X(n_11610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987592 (
+	.A1(n_7041),
+	.A2(n_31523),
+	.B1(n_4544),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [44]),
+	.C1(n_11491),
+	.X(n_11609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987593 (
+	.A1(n_7044),
+	.A2(n_31458),
+	.B1(n_4546),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [44]),
+	.C1(n_11465),
+	.X(n_11608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987594 (
+	.A1(n_7041),
+	.A2(n_31499),
+	.B1(n_4089),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [20]),
+	.C1(n_11494),
+	.X(n_11607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987595 (
+	.A1(n_7044),
+	.A2(n_31434),
+	.B1(n_4087),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [20]),
+	.C1(n_11501),
+	.X(n_11606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987596 (
+	.A1(n_7041),
+	.A2(n_31531),
+	.B1(n_4544),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [52]),
+	.C1(n_11499),
+	.X(n_11605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987597 (
+	.A1(n_7044),
+	.A2(n_31466),
+	.B1(n_4546),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [52]),
+	.C1(n_11500),
+	.X(n_11604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g987598 (
+	.A1(n_11484),
+	.A2(n_4092),
+	.B1(n_3734),
+	.C1(n_4026),
+	.Y(n_11603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g987605 (
+	.A(n_11587),
+	.B(n_10526),
+	.C(n_2289),
+	.D(n_10256),
+	.Y(n_11663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g987606 (
+	.A_N(n_1623),
+	.B(n_11503),
+	.C(n_9236),
+	.X(n_11662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g987608 (
+	.A1(n_11374),
+	.A2(n_1623),
+	.B1(n_11586),
+	.X(n_11661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g987609 (
+	.A1(n_9235),
+	.A2(n_11481),
+	.B1(soc_top_u_pwm_pwm_core_ctrl_2[1]),
+	.Y(n_11660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g987610 (
+	.A(n_11602),
+	.Y(n_11601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g987611 (
+	.A(\soc_top_timer0_gen_harts[0].u_core_tick_count [7]),
+	.B(n_11252),
+	.COUT(n_11599),
+	.SUM(n_11600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g987612 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[11]),
+	.B_N(n_11504),
+	.Y(n_11598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g987613 (
+	.A(n_11403),
+	.B_N(n_3270),
+	.Y(n_11597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g987614 (
+	.A(n_11481),
+	.B_N(n_2267),
+	.Y(n_11596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g987615 (
+	.A(n_29550),
+	.B_N(n_11504),
+	.Y(n_11595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g987628 (
+	.A(n_11481),
+	.B(soc_top_u_pwm_pwm_core_ctrl_2[1]),
+	.Y(n_11594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g987694 (
+	.A(n_11482),
+	.B(soc_top_u_pwm_pwm_core_ctrl_2[1]),
+	.Y(n_11602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g987695 (
+	.A(n_11538),
+	.Y(n_11593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g987696 (
+	.A(n_11529),
+	.Y(n_11592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g987697 (
+	.A(n_11528),
+	.Y(n_11591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g987698 (
+	.A(n_11527),
+	.Y(n_11590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g987699 (
+	.A(n_11526),
+	.Y(n_11589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g987701 (
+	.A(n_11481),
+	.B_N(n_3581),
+	.Y(n_11582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g987702 (
+	.A(n_11481),
+	.B_N(n_4742),
+	.Y(n_11581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g987703 (
+	.A(n_11481),
+	.B_N(n_7687),
+	.Y(n_11580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g987704 (
+	.A(n_11484),
+	.B(n_35333),
+	.Y(n_11579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g987705 (
+	.A(n_11484),
+	.B(n_35334),
+	.Y(n_11578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g987706 (
+	.A(n_11484),
+	.B(n_35327),
+	.Y(n_11577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g987707 (
+	.A(n_11484),
+	.B(n_35328),
+	.Y(n_11576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g987708 (
+	.A(soc_top_u_uart_u_uart_core_fifo_read_size[8]),
+	.B(n_11390),
+	.Y(n_11575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g987709 (
+	.A1(n_10223),
+	.A2(n_11358),
+	.B1(n_9216),
+	.Y(n_11574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g987710 (
+	.A1(n_11374),
+	.A2(n_1581),
+	.B1(n_594),
+	.Y(n_11573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g987711 (
+	.A1(n_11374),
+	.A2(n_3040),
+	.B1(n_594),
+	.Y(n_11572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g987712 (
+	.A1(n_11374),
+	.A2(n_4282),
+	.B1(n_594),
+	.Y(n_11571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g987713 (
+	.A1(n_11374),
+	.A2(n_7185),
+	.B1(n_594),
+	.Y(n_11570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g987714 (
+	.A1(n_11374),
+	.A2(n_8622),
+	.B1(n_594),
+	.Y(n_11569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g987715 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p1[0]),
+	.A2(n_11373),
+	.B1(soc_top_u_pwm_pwm_core_ctrl[1]),
+	.Y(n_11568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g987716 (
+	.A(soc_top_u_top_u_core_fp_frm_fpnew[0]),
+	.B(n_1270),
+	.C(n_3573),
+	.D(n_11228),
+	.Y(n_11567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987717 (
+	.A1(n_7624),
+	.A2(n_29982),
+	.B1(n_7086),
+	.B2(n_69940),
+	.C1(n_11404),
+	.X(n_11566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g987718 (
+	.A(soc_top_u_spi_host_spi_host_clgen_cnt[11]),
+	.B(n_10988),
+	.X(n_11565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g987719 (
+	.A1(n_589),
+	.A2(n_7087),
+	.B1(n_9097),
+	.C1(n_11012),
+	.D1(n_10055),
+	.Y(n_11564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g987720 (
+	.A0(n_11375),
+	.A1(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[7]),
+	.S(n_3855),
+	.Y(n_11563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g987721 (
+	.A1(n_10320),
+	.A2(n_8523),
+	.B1(n_68547),
+	.C1(n_10197),
+	.D1(n_10884),
+	.Y(n_11562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g987722 (
+	.A(n_29625),
+	.B(n_29619),
+	.C(n_29621),
+	.D(n_11241),
+	.X(n_11561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g987723 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [22]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [23]),
+	.C(n_4341),
+	.D(n_11087),
+	.X(n_11560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g987724 (
+	.A1(n_10477),
+	.A2(n_8591),
+	.B1(n_8613),
+	.B2(n_11086),
+	.C1(n_10898),
+	.Y(n_11559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987725 (
+	.A1(n_7041),
+	.A2(n_31490),
+	.B1(n_4089),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [11]),
+	.C1(n_11319),
+	.X(n_11558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987726 (
+	.A1(n_7044),
+	.A2(n_31425),
+	.B1(n_4087),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [11]),
+	.C1(n_11320),
+	.X(n_11557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987727 (
+	.A1(n_7041),
+	.A2(n_31522),
+	.B1(n_4544),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [43]),
+	.C1(n_11322),
+	.X(n_11556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987728 (
+	.A1(n_7044),
+	.A2(n_31457),
+	.B1(n_4546),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [43]),
+	.C1(n_11321),
+	.X(n_11555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987729 (
+	.A1(n_7041),
+	.A2(n_31483),
+	.B1(n_4089),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [4]),
+	.C1(n_11323),
+	.X(n_11554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987730 (
+	.A1(n_7044),
+	.A2(n_31418),
+	.B1(n_4087),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [4]),
+	.C1(n_11324),
+	.X(n_11553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987731 (
+	.A1(n_7041),
+	.A2(n_31515),
+	.B1(n_4544),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [36]),
+	.C1(n_11326),
+	.X(n_11552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987732 (
+	.A1(n_7044),
+	.A2(n_31450),
+	.B1(n_4546),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [36]),
+	.C1(n_11325),
+	.X(n_11551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987733 (
+	.A1(n_7041),
+	.A2(n_31484),
+	.B1(n_4089),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [5]),
+	.C1(n_11329),
+	.X(n_11550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987734 (
+	.A1(n_7041),
+	.A2(n_31485),
+	.B1(n_4089),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [6]),
+	.C1(n_11328),
+	.X(n_11549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987735 (
+	.A1(n_7041),
+	.A2(n_31510),
+	.B1(n_4089),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [31]),
+	.C1(n_11327),
+	.X(n_11548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987736 (
+	.A1(n_7044),
+	.A2(n_31419),
+	.B1(n_4087),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [5]),
+	.C1(n_11332),
+	.X(n_11547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987737 (
+	.A1(n_7044),
+	.A2(n_31420),
+	.B1(n_4087),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [6]),
+	.C1(n_11331),
+	.X(n_11546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987738 (
+	.A1(n_7044),
+	.A2(n_31445),
+	.B1(n_4087),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [31]),
+	.C1(n_11330),
+	.X(n_11545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987739 (
+	.A1(n_7041),
+	.A2(n_31516),
+	.B1(n_4544),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [37]),
+	.C1(n_11338),
+	.X(n_11544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987740 (
+	.A1(n_7041),
+	.A2(n_31517),
+	.B1(n_4544),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [38]),
+	.C1(n_11337),
+	.X(n_11543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987741 (
+	.A1(n_7041),
+	.A2(n_31542),
+	.B1(n_4544),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [63]),
+	.C1(n_11336),
+	.X(n_11542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987742 (
+	.A1(n_7044),
+	.A2(n_31451),
+	.B1(n_4546),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [37]),
+	.C1(n_11335),
+	.X(n_11541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987743 (
+	.A1(n_7044),
+	.A2(n_31452),
+	.B1(n_4546),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [38]),
+	.C1(n_11334),
+	.X(n_11540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987744 (
+	.A1(n_7044),
+	.A2(n_31477),
+	.B1(n_4546),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [63]),
+	.C1(n_11333),
+	.X(n_11539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g987745 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_mcause_q[2]),
+	.A2(n_7651),
+	.B1(soc_top_u_top_u_core_exc_cause[2]),
+	.B2(n_2127),
+	.C1(n_8618),
+	.C2(n_11371),
+	.Y(n_11538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g987746 (
+	.A1(n_4694),
+	.A2(n_11372),
+	.B1(n_4568),
+	.B2(n_11376),
+	.Y(n_11537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987747 (
+	.A1(n_11300),
+	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[8]),
+	.B1(n_2594),
+	.B2(n_29925),
+	.C1(n_11209),
+	.X(n_11536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g987748 (
+	.A1(n_11380),
+	.A2(n_4092),
+	.B1(n_3790),
+	.C1(n_4030),
+	.Y(n_11535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g987749 (
+	.A1(n_11378),
+	.A2(n_4092),
+	.B1(n_3732),
+	.C1(n_4025),
+	.Y(n_11534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g987750 (
+	.A1(n_11376),
+	.A2(n_4092),
+	.B1(n_3725),
+	.C1(n_4038),
+	.Y(n_11533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g987751 (
+	.A1(n_11372),
+	.A2(n_4092),
+	.B1(n_3740),
+	.C1(n_4002),
+	.Y(n_11532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g987752 (
+	.A1(n_11380),
+	.A2(n_8578),
+	.B1(n_4330),
+	.C1(n_8407),
+	.Y(n_11531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g987753 (
+	.A1(n_10477),
+	.A2(n_7410),
+	.B1(n_10072),
+	.C1(n_10999),
+	.D1(n_10974),
+	.Y(n_11530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g987754 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_mtval_q[2]),
+	.A2(n_7618),
+	.B1(soc_top_u_top_u_core_csr_mtval[2]),
+	.B2(n_2127),
+	.C1(n_35318),
+	.C2(n_11371),
+	.Y(n_11529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g987755 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_mtval_q[7]),
+	.A2(n_7618),
+	.B1(soc_top_u_top_u_core_csr_mtval[7]),
+	.B2(n_2127),
+	.C1(n_35318),
+	.C2(n_11375),
+	.Y(n_11528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g987756 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_mtval_q[12]),
+	.A2(n_7618),
+	.B1(soc_top_u_top_u_core_csr_mtval[12]),
+	.B2(n_2127),
+	.C1(n_35318),
+	.C2(n_11377),
+	.Y(n_11527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g987757 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_mtval_q[20]),
+	.A2(n_7618),
+	.B1(soc_top_u_top_u_core_csr_mtval[20]),
+	.B2(n_2127),
+	.C1(n_35318),
+	.C2(n_11379),
+	.Y(n_11526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g987758 (
+	.A1(n_11372),
+	.A2(n_8578),
+	.B1(n_4312),
+	.C1(n_8389),
+	.Y(n_11525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g987759 (
+	.A1(n_11376),
+	.A2(n_8578),
+	.B1(n_4316),
+	.C1(n_8394),
+	.Y(n_11524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g987760 (
+	.A1(n_11378),
+	.A2(n_8578),
+	.B1(n_4337),
+	.C1(n_8399),
+	.Y(n_11523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g987761 (
+	.A1(n_7111),
+	.A2(n_30010),
+	.B1(n_9768),
+	.B2(n_29839),
+	.C1(n_11346),
+	.Y(n_11522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987762 (
+	.A1(n_7111),
+	.A2(n_30015),
+	.B1(n_2590),
+	.B2(n_61246),
+	.C1(n_11347),
+	.X(n_11521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g987764 (
+	.A1(n_35309),
+	.A2(n_8624),
+	.B1(n_9975),
+	.C1(n_68548),
+	.D1(n_10200),
+	.Y(n_11519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g987765 (
+	.A1(soc_top_u_pwm_pwm_core_DC_1[13]),
+	.A2(n_128),
+	.B1(soc_top_u_pwm_pwm_core_DC_1[12]),
+	.B2(n_121),
+	.C1(n_11297),
+	.Y(n_11518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g987767 (
+	.A1(soc_top_u_pwm_pwm_core_period_2[12]),
+	.A2(n_133),
+	.B1(soc_top_u_pwm_pwm_core_period_2[13]),
+	.B2(n_120),
+	.C1(n_11317),
+	.Y(n_11516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g987768 (
+	.A1(n_10483),
+	.A2(n_8609),
+	.B1(n_8524),
+	.B2(n_10320),
+	.C1(n_68546),
+	.Y(n_11515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g987769 (
+	.A1(soc_top_u_top_u_core_id_in_ready),
+	.A2(n_11307),
+	.B1(n_9169),
+	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[17]),
+	.X(n_11514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g987770 (
+	.A1(n_8584),
+	.A2(n_10887),
+	.B1(n_8590),
+	.B2(n_68549),
+	.C1(n_11306),
+	.Y(n_11513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g987771 (
+	.A1(soc_top_u_top_u_core_id_in_ready),
+	.A2(n_11360),
+	.B1(n_9169),
+	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[18]),
+	.X(n_11512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g987772 (
+	.A1_N(soc_top_u_top_u_core_rf_raddr_b[1]),
+	.A2_N(n_9169),
+	.B1(FE_DBTN32_soc_top_u_top_u_core_id_in_ready),
+	.B2(n_11290),
+	.Y(n_11511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g987773 (
+	.A1_N(n_9169),
+	.A2_N(soc_top_u_top_u_core_instr_rdata_id[8]),
+	.B1(FE_DBTN32_soc_top_u_top_u_core_id_in_ready),
+	.B2(n_11363),
+	.Y(n_11510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g987774 (
+	.A1_N(soc_top_u_top_u_core_csr_restore_mret_id),
+	.A2_N(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[7]),
+	.B1(n_2598),
+	.B2(n_11369),
+	.Y(n_11509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g987775 (
+	.A1(n_35317),
+	.A2(n_11371),
+	.B1(n_7620),
+	.B2(soc_top_u_top_u_core_csr_mtvec[2]),
+	.X(n_11508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g987776 (
+	.A1(n_35317),
+	.A2(n_11375),
+	.B1(n_7620),
+	.B2(soc_top_u_top_u_core_csr_mtvec[7]),
+	.X(n_11507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g987777 (
+	.A1(n_35317),
+	.A2(n_11377),
+	.B1(n_7620),
+	.B2(soc_top_u_top_u_core_csr_mtvec[12]),
+	.X(n_11506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g987778 (
+	.A1(n_35317),
+	.A2(n_11379),
+	.B1(n_7620),
+	.B2(soc_top_u_top_u_core_csr_mtvec[20]),
+	.X(n_11505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g987779 (
+	.A1(n_11141),
+	.A2(n_11378),
+	.B1(n_3855),
+	.Y(n_11588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g987780 (
+	.A1(n_11343),
+	.A2(n_10254),
+	.B1_N(n_2552),
+	.Y(n_11587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g987781 (
+	.A1(n_9236),
+	.A2(n_11373),
+	.B1(soc_top_u_pwm_pwm_core_ctrl[1]),
+	.Y(n_11586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g987782 (
+	.A1(n_11382),
+	.A2(n_1501),
+	.B1(n_3313),
+	.Y(n_11585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g987783 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[13]),
+	.B(n_29550),
+	.C(n_11383),
+	.Y(n_11584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g987784 (
+	.A(n_11503),
+	.Y(n_11502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g987785 (
+	.A(n_11380),
+	.B(n_35327),
+	.Y(n_11501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g987786 (
+	.A(n_11380),
+	.B(n_35334),
+	.Y(n_11500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g987787 (
+	.A(n_11380),
+	.B(n_35333),
+	.Y(n_11499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g987788 (
+	.A(n_11373),
+	.B_N(n_7690),
+	.Y(n_11498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g987789 (
+	.A(n_11373),
+	.B_N(n_4737),
+	.Y(n_11497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g987790 (
+	.A(n_11373),
+	.B_N(n_3579),
+	.Y(n_11496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g987791 (
+	.A(n_11373),
+	.B_N(n_2281),
+	.Y(n_11495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g987792 (
+	.A(n_11380),
+	.B(n_35328),
+	.Y(n_11494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g987793 (
+	.A(n_11299),
+	.B(n_1501),
+	.Y(n_11493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g987794 (
+	.A(soc_top_u_uart_u_uart_core_tx_fifo_reset),
+	.B_N(n_11391),
+	.Y(n_11492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g987795 (
+	.A(n_11378),
+	.B(n_35333),
+	.Y(n_11491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g987820 (
+	.A(n_11373),
+	.B(soc_top_u_pwm_pwm_core_ctrl[1]),
+	.Y(n_11490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g987865 (
+	.A(n_11383),
+	.B(n_1501),
+	.Y(n_11504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g987874 (
+	.A(n_594),
+	.B(n_11373),
+	.Y(n_11503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g987875 (
+	.A(n_11429),
+	.Y(n_11489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g987876 (
+	.A(n_11417),
+	.Y(n_11488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g987877 (
+	.A(n_11416),
+	.Y(n_11487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g987878 (
+	.A(n_11415),
+	.Y(n_11486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g987879 (
+	.A(n_11413),
+	.Y(n_11485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g987880 (
+	.A(n_11484),
+	.Y(n_11483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g987881 (
+	.A(n_11482),
+	.Y(n_11481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g987882 (
+	.A(soc_top_u_spi_host_spi_host_clgen_cnt[7]),
+	.B(n_10816),
+	.X(n_11480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g987883 (
+	.A(n_11378),
+	.B(n_35327),
+	.Y(n_11479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g987884 (
+	.A(n_11378),
+	.B(n_35328),
+	.Y(n_11478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g987885 (
+	.A(n_11376),
+	.B(n_35333),
+	.Y(n_11477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g987886 (
+	.A(n_11376),
+	.B(n_35334),
+	.Y(n_11476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g987887 (
+	.A(n_11376),
+	.B(n_35327),
+	.Y(n_11475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g987888 (
+	.A(n_11376),
+	.B(n_35328),
+	.Y(n_11474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g987889 (
+	.A(n_11372),
+	.B(n_35333),
+	.Y(n_11473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g987890 (
+	.A(n_11372),
+	.B(n_35334),
+	.Y(n_11472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g987891 (
+	.A(n_11372),
+	.B(n_35327),
+	.Y(n_11471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g987892 (
+	.A(n_11372),
+	.B(n_35328),
+	.Y(n_11470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g987893 (
+	.A(n_11381),
+	.B(n_3398),
+	.Y(n_11469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g987894 (
+	.A(n_11381),
+	.B(n_3400),
+	.Y(n_11468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g987895 (
+	.A(n_11381),
+	.B(n_3406),
+	.Y(n_11467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g987896 (
+	.A(n_11381),
+	.B(n_3395),
+	.Y(n_11466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g987897 (
+	.A(n_11378),
+	.B(n_35334),
+	.Y(n_11465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g987898 (
+	.A(n_1270),
+	.B(n_2178),
+	.C(n_3573),
+	.D(n_10893),
+	.Y(n_11464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g987899 (
+	.A1(n_68561),
+	.A2(n_11233),
+	.B1(n_10672),
+	.Y(n_11463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987901 (
+	.A1(n_7624),
+	.A2(n_29974),
+	.B1(n_7086),
+	.B2(n_69931),
+	.C1(n_11262),
+	.X(n_11461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g987902 (
+	.A1(n_10063),
+	.A2(n_41959),
+	.B1(n_11315),
+	.Y(n_11460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987903 (
+	.A1(n_7624),
+	.A2(n_29988),
+	.B1(n_7086),
+	.B2(n_71266),
+	.C1(n_11356),
+	.X(n_11459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g987904 (
+	.A1(n_10063),
+	.A2(n_81728),
+	.B1(n_11314),
+	.Y(n_11458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g987905 (
+	.A1(n_8592),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[prv] [0]),
+	.B1(n_11384),
+	.X(n_11457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g987906 (
+	.A1(n_8592),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[prv] [1]),
+	.B1(n_11384),
+	.X(n_11456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g987907 (
+	.A1(n_11248),
+	.A2(n_69231),
+	.B1(n_10669),
+	.Y(n_11455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g987909 (
+	.A1(n_11152),
+	.A2(n_1501),
+	.B1(n_3313),
+	.Y(n_11453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g987910 (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [7]),
+	.A2_N(n_84188),
+	.B1(n_84188),
+	.B2(n_11210),
+	.Y(n_11452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g987911 (
+	.A1(n_10477),
+	.A2(n_7414),
+	.B1(n_10885),
+	.C1(n_10909),
+	.D1(n_10975),
+	.Y(n_11451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g987912 (
+	.A1(n_1951),
+	.A2(n_10839),
+	.B1(n_1951),
+	.B2(n_3261),
+	.C1(n_1614),
+	.C2(soc_top_u_pwm_pwm_core_period_counter1[11]),
+	.Y(n_11450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g987913 (
+	.A1(n_7624),
+	.A2(n_29976),
+	.B1(n_7111),
+	.B2(n_30008),
+	.C1(n_11305),
+	.Y(n_11449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g987914 (
+	.A1(n_10998),
+	.A2(n_10324),
+	.B1(n_9216),
+	.Y(n_11448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g987916 (
+	.A1(n_9792),
+	.A2(soc_top_u_top_u_core_alu_operand_b_ex[9]),
+	.B1(n_2590),
+	.B2(\soc_top_u_top_u_core_fp_operands[0] [9]),
+	.C1(n_11247),
+	.Y(n_11446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987917 (
+	.A1(n_7041),
+	.A2(n_31482),
+	.B1(n_4089),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [3]),
+	.C1(n_11226),
+	.X(n_11445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987918 (
+	.A1(n_7044),
+	.A2(n_31417),
+	.B1(n_4087),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [3]),
+	.C1(n_11225),
+	.X(n_11444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987919 (
+	.A1(n_7041),
+	.A2(n_31480),
+	.B1(n_4089),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [1]),
+	.C1(n_11224),
+	.X(n_11443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987920 (
+	.A1(n_7041),
+	.A2(n_31514),
+	.B1(n_4544),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [35]),
+	.C1(n_11222),
+	.X(n_11442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987921 (
+	.A1(n_7044),
+	.A2(n_31449),
+	.B1(n_4546),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [35]),
+	.C1(n_11223),
+	.X(n_11441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987922 (
+	.A1(n_7044),
+	.A2(n_31415),
+	.B1(n_4087),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [1]),
+	.C1(n_11221),
+	.X(n_11440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987923 (
+	.A1(n_7041),
+	.A2(n_31512),
+	.B1(n_4544),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [33]),
+	.C1(n_11219),
+	.X(n_11439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987924 (
+	.A1(n_7044),
+	.A2(n_31447),
+	.B1(n_4546),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [33]),
+	.C1(n_11220),
+	.X(n_11438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987925 (
+	.A1(n_7041),
+	.A2(n_31496),
+	.B1(n_4089),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [17]),
+	.C1(n_11218),
+	.X(n_11437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987926 (
+	.A1(n_7044),
+	.A2(n_31431),
+	.B1(n_4087),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [17]),
+	.C1(n_11217),
+	.X(n_11436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987927 (
+	.A1(n_7041),
+	.A2(n_31528),
+	.B1(n_4544),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [49]),
+	.C1(n_11215),
+	.X(n_11435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987928 (
+	.A1(n_7044),
+	.A2(n_31463),
+	.B1(n_4546),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [49]),
+	.C1(n_11216),
+	.X(n_11434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987929 (
+	.A1(n_7041),
+	.A2(n_31487),
+	.B1(n_4089),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [8]),
+	.C1(n_11214),
+	.X(n_11433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987930 (
+	.A1(n_7044),
+	.A2(n_31422),
+	.B1(n_4087),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [8]),
+	.C1(n_11213),
+	.X(n_11432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987931 (
+	.A1(n_7041),
+	.A2(n_31519),
+	.B1(n_4544),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [40]),
+	.C1(n_11211),
+	.X(n_11431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987932 (
+	.A1(n_7044),
+	.A2(n_31454),
+	.B1(n_4546),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [40]),
+	.C1(n_11212),
+	.X(n_11430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g987933 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_mcause_q[5]),
+	.A2(n_7651),
+	.B1(soc_top_u_top_u_core_exc_cause[5]),
+	.B2(n_2127),
+	.C1(n_8618),
+	.C2(n_11146),
+	.Y(n_11429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g987934 (
+	.A1(n_11148),
+	.A2(n_4092),
+	.B1(n_3739),
+	.C1(n_4013),
+	.Y(n_11428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g987935 (
+	.A1(n_11145),
+	.A2(n_4092),
+	.B1(n_3738),
+	.C1(n_4023),
+	.Y(n_11427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g987936 (
+	.A1(n_11147),
+	.A2(n_4092),
+	.B1(n_3753),
+	.C1(n_4011),
+	.Y(n_11426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g987937 (
+	.A1(n_11143),
+	.A2(n_4092),
+	.B1(n_3744),
+	.C1(n_4022),
+	.Y(n_11425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g987938 (
+	.A1(n_11141),
+	.A2(n_4092),
+	.B1(n_3758),
+	.C1(n_4024),
+	.Y(n_11424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g987939 (
+	.A1(n_11142),
+	.A2(n_7166),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[NV] ),
+	.B2(n_77417),
+	.C1(n_7165),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_fflags_q[NV] ),
+	.Y(n_11423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g987940 (
+	.A1(n_11148),
+	.A2(n_8578),
+	.B1(n_4338),
+	.C1(n_8392),
+	.Y(n_11422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g987941 (
+	.A1(n_11145),
+	.A2(n_8578),
+	.B1(n_4315),
+	.C1(n_8393),
+	.Y(n_11421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g987942 (
+	.A1(n_11147),
+	.A2(n_8578),
+	.B1(n_4326),
+	.C1(n_8418),
+	.Y(n_11420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g987943 (
+	.A1(n_35311),
+	.A2(n_8591),
+	.B1(n_10316),
+	.C1(n_10270),
+	.D1(n_68558),
+	.Y(n_11419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g987944 (
+	.A1(n_11258),
+	.A2(n_1889),
+	.B1(\soc_top_lsu_to_xbar[a_address] [13]),
+	.B2(n_7625),
+	.C1(n_1229),
+	.C2(soc_top_u_top_u_core_rf_wdata_fwd_wb[13]),
+	.Y(n_11418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g987945 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_mtval_q[4]),
+	.A2(n_7618),
+	.B1(soc_top_u_top_u_core_csr_mtval[4]),
+	.B2(n_2127),
+	.C1(n_35318),
+	.C2(n_11142),
+	.Y(n_11417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g987946 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_mtval_q[5]),
+	.A2(n_7618),
+	.B1(soc_top_u_top_u_core_csr_mtval[5]),
+	.B2(n_2127),
+	.C1(n_35318),
+	.C2(n_11149),
+	.Y(n_11416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g987947 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_mtval_q[6]),
+	.A2(n_7618),
+	.B1(soc_top_u_top_u_core_csr_mtval[6]),
+	.B2(n_2127),
+	.C1(n_35318),
+	.C2(n_11144),
+	.Y(n_11415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g987948 (
+	.A1(n_11141),
+	.A2(n_8576),
+	.B1(n_9131),
+	.Y(n_11414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g987949 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_mtval_q[31]),
+	.A2(n_7618),
+	.B1(soc_top_u_top_u_core_csr_mtval[31]),
+	.B2(n_2127),
+	.C1(n_35318),
+	.C2(n_11146),
+	.Y(n_11413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987950 (
+	.A1(n_11151),
+	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[7]),
+	.B1(n_2594),
+	.B2(n_29924),
+	.C1(n_11251),
+	.X(n_11412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g987951 (
+	.A1(n_11143),
+	.A2(n_8578),
+	.B1(n_4339),
+	.C1(n_8391),
+	.Y(n_11411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g987952 (
+	.A1(n_11141),
+	.A2(n_8578),
+	.B1(n_4319),
+	.C1(n_8398),
+	.Y(n_11410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g987953 (
+	.A1(n_35309),
+	.A2(n_8626),
+	.B1(n_68561),
+	.B2(n_10840),
+	.C1(n_9403),
+	.Y(n_11409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g987954 (
+	.A1(n_8609),
+	.A2(n_11237),
+	.B1(n_68556),
+	.C1(n_10072),
+	.X(n_11408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987956 (
+	.A1(n_7165),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_fflags_q[DZ] ),
+	.B1(n_7166),
+	.B2(n_10939),
+	.C1(n_9365),
+	.X(n_11406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g987957 (
+	.A1(n_7111),
+	.A2(n_30007),
+	.B1(n_9770),
+	.B2(n_29836),
+	.C1(n_11013),
+	.Y(n_11405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g987958 (
+	.A1(n_7111),
+	.A2(n_30014),
+	.B1(n_9765),
+	.B2(n_29843),
+	.C1(n_11011),
+	.X(n_11404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g987959 (
+	.A1(soc_top_u_pwm_pwm_core_DC_2[15]),
+	.A2(n_304),
+	.B1(soc_top_u_pwm_pwm_core_DC_2[14]),
+	.B2(n_725),
+	.C1(n_11235),
+	.Y(n_11403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g987960 (
+	.A1(n_86920),
+	.A2(n_31136),
+	.B1(n_11313),
+	.Y(n_11402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g987961 (
+	.A1_N(n_9169),
+	.A2_N(soc_top_u_top_u_core_instr_rdata_id[11]),
+	.B1(n_8596),
+	.B2(n_11246),
+	.Y(n_11401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g987962 (
+	.A1_N(soc_top_u_top_u_core_instr_rdata_id[20]),
+	.A2_N(n_9169),
+	.B1(FE_DBTN32_soc_top_u_top_u_core_id_in_ready),
+	.B2(n_11001),
+	.Y(n_11400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g987963 (
+	.A1(n_4568),
+	.A2(n_11145),
+	.B1(n_4694),
+	.B2(n_10942),
+	.Y(n_11399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g987964 (
+	.A1(n_8618),
+	.A2(n_11142),
+	.B1(n_7651),
+	.B2(soc_top_u_top_u_core_cs_registers_i_mcause_q[4]),
+	.X(n_11398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g987965 (
+	.A1(n_35317),
+	.A2(n_11142),
+	.B1(n_7620),
+	.B2(soc_top_u_top_u_core_csr_mtvec[4]),
+	.X(n_11397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g987966 (
+	.A1(n_35317),
+	.A2(n_11149),
+	.B1(n_7620),
+	.B2(soc_top_u_top_u_core_csr_mtvec[5]),
+	.X(n_11396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g987967 (
+	.A1(n_35317),
+	.A2(n_11144),
+	.B1(n_7620),
+	.B2(soc_top_u_top_u_core_csr_mtvec[6]),
+	.X(n_11395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g987968 (
+	.A1(n_35317),
+	.A2(n_11140),
+	.B1(n_7620),
+	.B2(soc_top_u_top_u_core_csr_mtvec[11]),
+	.X(n_11394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g987969 (
+	.A1(n_35317),
+	.A2(n_11146),
+	.B1(n_7620),
+	.B2(soc_top_u_top_u_core_csr_mtvec[31]),
+	.X(n_11393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g987970 (
+	.A1(n_4694),
+	.A2(n_10453),
+	.B1(n_4568),
+	.B2(n_11148),
+	.Y(n_11392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g987971 (
+	.A1_N(n_1496),
+	.A2_N(n_29845),
+	.B1(n_2084),
+	.B2(n_11257),
+	.X(n_11484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2111o_1 g987972 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p2[0]),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[0]),
+	.B1(n_3399),
+	.C1(n_3721),
+	.D1(n_10920),
+	.X(n_11482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g987973 (
+	.A(soc_top_u_uart_u_uart_core_fifo_read_size[7]),
+	.B(n_10812),
+	.COUT(n_11390),
+	.SUM(n_11391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988118 (
+	.A(n_11352),
+	.Y(n_11389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988119 (
+	.A(n_11351),
+	.Y(n_11388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988120 (
+	.A(n_11264),
+	.Y(n_11387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988121 (
+	.A(n_11263),
+	.Y(n_11386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988122 (
+	.A(n_11383),
+	.Y(n_11382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g988123 (
+	.A(n_11380),
+	.Y(n_11379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g988124 (
+	.A(n_11378),
+	.Y(n_11377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g988125 (
+	.A(n_11376),
+	.Y(n_11375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g988126 (
+	.A(n_11374),
+	.Y(n_11373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g988127 (
+	.A(n_11372),
+	.Y(n_11371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g988128 (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [7]),
+	.A2_N(n_84188),
+	.B1(n_84188),
+	.B2(n_73801),
+	.Y(n_11370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g988129 (
+	.A0(n_10939),
+	.A1(soc_top_u_top_u_core_csr_mstatus_mie),
+	.S(n_3855),
+	.Y(n_11369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988130 (
+	.A1(n_10900),
+	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[6]),
+	.B1(n_2594),
+	.B2(n_29923),
+	.C1(n_10989),
+	.X(n_11368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g988131 (
+	.A1(n_35317),
+	.A2(n_10943),
+	.B1(n_7620),
+	.B2(soc_top_u_top_u_core_csr_mtvec[17]),
+	.X(n_11367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g988132 (
+	.A1(n_35317),
+	.A2(n_10945),
+	.B1(n_7620),
+	.B2(soc_top_u_top_u_core_csr_mtvec[8]),
+	.X(n_11366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g988133 (
+	.A1(n_35317),
+	.A2(n_10939),
+	.B1(n_7620),
+	.B2(soc_top_u_top_u_core_csr_mtvec[3]),
+	.X(n_11365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g988135 (
+	.A1(n_10954),
+	.A2(n_8608),
+	.B1(n_9867),
+	.B2(n_8602),
+	.Y(n_11363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g988136 (
+	.A1_N(n_84188),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [6]),
+	.B1(n_84188),
+	.B2(n_10908),
+	.Y(n_11362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g988137 (
+	.A1_N(n_84188),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [6]),
+	.B1(n_84188),
+	.B2(n_76825),
+	.Y(n_11361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g988138 (
+	.A1(n_9217),
+	.A2(n_10416),
+	.B1(n_8520),
+	.B2(n_10246),
+	.C1(n_10905),
+	.Y(n_11360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g988139 (
+	.A1(n_10575),
+	.A2(soc_top_u_spi_host_spi_host_clgen_cnt[14]),
+	.B1(n_11256),
+	.X(n_11359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 g988140 (
+	.A1(n_4781),
+	.A2(n_2279),
+	.A3(FE_DBTN68_n_54295),
+	.B1(n_10899),
+	.B2(n_31545),
+	.Y(n_11358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988141 (
+	.A1(n_35309),
+	.A2(n_8627),
+	.B1(n_68559),
+	.C1(n_10072),
+	.Y(n_11357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988142 (
+	.A1(n_7111),
+	.A2(n_30020),
+	.B1(n_9763),
+	.B2(n_29849),
+	.C1(n_10859),
+	.X(n_11356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988143 (
+	.A1(n_10944),
+	.A2(n_8578),
+	.B1(n_4332),
+	.C1(n_8404),
+	.Y(n_11355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988144 (
+	.A1(n_10940),
+	.A2(n_8578),
+	.B1(n_4313),
+	.C1(n_8390),
+	.Y(n_11354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988145 (
+	.A1(n_10942),
+	.A2(n_8578),
+	.B1(n_4311),
+	.C1(n_8387),
+	.Y(n_11353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g988146 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_mtval_q[17]),
+	.A2(n_7618),
+	.B1(soc_top_u_top_u_core_csr_mtval[17]),
+	.B2(n_2127),
+	.C1(n_35318),
+	.C2(n_10943),
+	.Y(n_11352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g988147 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_mtval_q[8]),
+	.A2(n_7618),
+	.B1(soc_top_u_top_u_core_csr_mtval[8]),
+	.B2(n_2127),
+	.C1(n_35318),
+	.C2(n_10945),
+	.Y(n_11351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g988148 (
+	.A1(n_10940),
+	.A2(n_8576),
+	.B1(n_9130),
+	.Y(n_11350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g988149 (
+	.A1(n_10942),
+	.A2(n_8576),
+	.B1(n_9129),
+	.Y(n_11349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g988150 (
+	.A1(n_10956),
+	.A2(n_1889),
+	.B1(\soc_top_lsu_to_xbar[a_address] [20]),
+	.B2(n_7625),
+	.C1(n_1229),
+	.C2(soc_top_u_top_u_core_rf_wdata_fwd_wb[20]),
+	.Y(n_11348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g988151 (
+	.A1(n_10949),
+	.A2(n_1888),
+	.B1(n_9106),
+	.Y(n_11347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g988152 (
+	.A1(n_10950),
+	.A2(n_1888),
+	.B1(n_9099),
+	.Y(n_11346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g988153 (
+	.A1(n_10952),
+	.A2(n_1889),
+	.B1(n_69931),
+	.B2(n_7625),
+	.C1(n_1229),
+	.C2(soc_top_u_top_u_core_rf_wdata_fwd_wb[2]),
+	.Y(n_11345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988154 (
+	.A1(n_10946),
+	.A2(n_8578),
+	.B1(n_4317),
+	.C1(n_8395),
+	.Y(n_11344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g988155 (
+	.A(n_11254),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_RM_dly_S [1]),
+	.Y(n_11343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988156 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_RM_dly_S [2]),
+	.B(n_11255),
+	.Y(n_11342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988157 (
+	.A1(n_10940),
+	.A2(n_4092),
+	.B1(n_3724),
+	.C1(n_4021),
+	.Y(n_11341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g988158 (
+	.A(n_9578),
+	.B_N(n_11253),
+	.Y(n_11340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988159 (
+	.A1(n_10942),
+	.A2(n_4092),
+	.B1(n_3786),
+	.C1(n_4005),
+	.Y(n_11339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988160 (
+	.A(n_11148),
+	.B(n_35333),
+	.Y(n_11338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988161 (
+	.A(n_11145),
+	.B(n_35333),
+	.Y(n_11337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988162 (
+	.A(n_11147),
+	.B(n_35333),
+	.Y(n_11336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988163 (
+	.A(n_11148),
+	.B(n_35334),
+	.Y(n_11335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988164 (
+	.A(n_11145),
+	.B(n_35334),
+	.Y(n_11334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988165 (
+	.A(n_11147),
+	.B(n_35334),
+	.Y(n_11333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988166 (
+	.A(n_11148),
+	.B(n_35327),
+	.Y(n_11332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988167 (
+	.A(n_11145),
+	.B(n_35327),
+	.Y(n_11331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988168 (
+	.A(n_11147),
+	.B(n_35327),
+	.Y(n_11330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988169 (
+	.A(n_11148),
+	.B(n_35328),
+	.Y(n_11329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988170 (
+	.A(n_11145),
+	.B(n_35328),
+	.Y(n_11328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988171 (
+	.A(n_11147),
+	.B(n_35328),
+	.Y(n_11327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988172 (
+	.A(n_11143),
+	.B(n_35333),
+	.Y(n_11326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988173 (
+	.A(n_11143),
+	.B(n_35334),
+	.Y(n_11325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988174 (
+	.A(n_11143),
+	.B(n_35327),
+	.Y(n_11324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988175 (
+	.A(n_11143),
+	.B(n_35328),
+	.Y(n_11323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988176 (
+	.A(n_11141),
+	.B(n_35333),
+	.Y(n_11322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988177 (
+	.A(n_11141),
+	.B(n_35334),
+	.Y(n_11321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988178 (
+	.A(n_11141),
+	.B(n_35327),
+	.Y(n_11320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988179 (
+	.A(n_11141),
+	.B(n_35328),
+	.Y(n_11319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2111o_1 g988180 (
+	.A1(n_9169),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[10]),
+	.B1(n_9891),
+	.C1(n_9888),
+	.D1(n_11026),
+	.X(n_11318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g988181 (
+	.A1(n_2102),
+	.A2(soc_top_u_pwm_pwm_core_period_counter2[11]),
+	.B1(n_11014),
+	.Y(n_11317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988182 (
+	.A1(n_10944),
+	.A2(n_4092),
+	.B1(n_3722),
+	.C1(n_4028),
+	.Y(n_11316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g988183 (
+	.A1(n_145),
+	.A2(n_7087),
+	.B1(n_9110),
+	.C1(n_10587),
+	.D1(n_9961),
+	.Y(n_11315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g988184 (
+	.A1(n_719),
+	.A2(n_7087),
+	.B1(n_9117),
+	.C1(n_10668),
+	.D1(n_9956),
+	.Y(n_11314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988185 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [23]),
+	.A2(n_7140),
+	.B1(n_10689),
+	.B2(n_31545),
+	.C1(n_10419),
+	.X(n_11313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g988186 (
+	.A(n_73802),
+	.B(n_3401),
+	.Y(n_11312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g988187 (
+	.A(n_73802),
+	.B(n_3412),
+	.Y(n_11311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g988188 (
+	.A(n_73802),
+	.B(n_3414),
+	.Y(n_11310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g988189 (
+	.A(n_73802),
+	.B(n_3413),
+	.Y(n_11309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g988190 (
+	.A1(n_8519),
+	.A2(n_10320),
+	.B1(n_68560),
+	.Y(n_11308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g988191 (
+	.A1(n_8617),
+	.A2(n_35307),
+	.B1(n_3115),
+	.B2(n_10323),
+	.C1(n_10714),
+	.Y(n_11307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g988192 (
+	.A1(n_9891),
+	.A2(n_8606),
+	.B1(n_9169),
+	.B2(soc_top_u_top_u_core_instr_rdata_id[7]),
+	.C1(n_11088),
+	.Y(n_11306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g988193 (
+	.A1(n_23),
+	.A2(n_7087),
+	.B1(n_10719),
+	.B2(n_1888),
+	.C1(n_9095),
+	.Y(n_11305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g988195 (
+	.A1(n_10063),
+	.A2(soc_top_u_top_u_core_lsu_wdata[16]),
+	.B1(n_11230),
+	.Y(n_11303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g988196 (
+	.A1(n_10063),
+	.A2(soc_top_u_top_u_core_lsu_wdata[18]),
+	.B1(n_11231),
+	.Y(n_11302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g988197 (
+	.A1(n_10063),
+	.A2(soc_top_u_top_u_core_lsu_wdata[19]),
+	.B1(n_11232),
+	.Y(n_11301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g988198 (
+	.A1(n_1500),
+	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[7]),
+	.B1(n_11151),
+	.X(n_11300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g988199 (
+	.A1(n_29549),
+	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[9]),
+	.B1(n_11152),
+	.Y(n_11299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988200 (
+	.A1(n_10946),
+	.A2(n_4092),
+	.B1(n_3788),
+	.C1(n_4039),
+	.Y(n_11298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_2 g988201 (
+	.A1(n_687),
+	.A2(soc_top_u_pwm_pwm_core_DC_1[11]),
+	.B1(n_2439),
+	.C1(n_10906),
+	.X(n_11297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988202 (
+	.A1(n_10977),
+	.A2(n_68544),
+	.B1(n_10077),
+	.B2(n_8625),
+	.C1(n_10196),
+	.X(n_11296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g988203 (
+	.A1(n_7624),
+	.A2(n_30002),
+	.B1(n_7111),
+	.B2(n_30034),
+	.C1(n_10996),
+	.Y(n_11295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g988205 (
+	.A1(n_9592),
+	.A2(n_1890),
+	.A3(n_10695),
+	.B1(n_11245),
+	.Y(n_11293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g988206 (
+	.A1(n_7624),
+	.A2(n_29972),
+	.B1(n_7111),
+	.B2(n_30004),
+	.C1(n_11238),
+	.Y(n_11292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g988207 (
+	.A1(n_7624),
+	.A2(n_29979),
+	.B1(n_7111),
+	.B2(n_30011),
+	.C1(n_11239),
+	.Y(n_11291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g988208 (
+	.A1(n_8522),
+	.A2(n_10322),
+	.B1(n_8602),
+	.B2(n_10331),
+	.C1(n_10888),
+	.C2(n_68544),
+	.Y(n_11290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988209 (
+	.A1(n_7041),
+	.A2(n_31500),
+	.B1(n_4089),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [21]),
+	.C1(n_10924),
+	.X(n_11289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988210 (
+	.A1(n_7044),
+	.A2(n_31435),
+	.B1(n_4087),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [21]),
+	.C1(n_10925),
+	.X(n_11288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988211 (
+	.A1(n_7041),
+	.A2(n_31532),
+	.B1(n_4544),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [53]),
+	.C1(n_10927),
+	.X(n_11287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988212 (
+	.A1(n_7044),
+	.A2(n_31467),
+	.B1(n_4546),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [53]),
+	.C1(n_10926),
+	.X(n_11286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988213 (
+	.A1(n_7041),
+	.A2(n_31494),
+	.B1(n_4089),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [15]),
+	.C1(n_10933),
+	.X(n_11285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988214 (
+	.A1(n_7041),
+	.A2(n_31504),
+	.B1(n_4089),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [25]),
+	.C1(n_10932),
+	.X(n_11284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988215 (
+	.A1(n_7041),
+	.A2(n_31506),
+	.B1(n_4089),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [27]),
+	.C1(n_10931),
+	.X(n_11283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988216 (
+	.A1(n_7041),
+	.A2(n_31508),
+	.B1(n_4089),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [29]),
+	.C1(n_10930),
+	.X(n_11282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988217 (
+	.A1(n_7041),
+	.A2(n_31509),
+	.B1(n_4089),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [30]),
+	.C1(n_10929),
+	.X(n_11281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988218 (
+	.A1(n_7044),
+	.A2(n_31429),
+	.B1(n_4087),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [15]),
+	.C1(n_10873),
+	.X(n_11280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988219 (
+	.A1(n_7044),
+	.A2(n_31439),
+	.B1(n_4087),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [25]),
+	.C1(n_10937),
+	.X(n_11279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988220 (
+	.A1(n_7044),
+	.A2(n_31441),
+	.B1(n_4087),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [27]),
+	.C1(n_10936),
+	.X(n_11278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988221 (
+	.A1(n_7044),
+	.A2(n_31443),
+	.B1(n_4087),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [29]),
+	.C1(n_10935),
+	.X(n_11277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988222 (
+	.A1(n_7044),
+	.A2(n_31444),
+	.B1(n_4087),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [30]),
+	.C1(n_10934),
+	.X(n_11276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988223 (
+	.A1(n_7041),
+	.A2(n_31526),
+	.B1(n_4544),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [47]),
+	.C1(n_10987),
+	.X(n_11275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988224 (
+	.A1(n_7041),
+	.A2(n_31536),
+	.B1(n_4544),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [57]),
+	.C1(n_10979),
+	.X(n_11274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988225 (
+	.A1(n_7041),
+	.A2(n_31538),
+	.B1(n_4544),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [59]),
+	.C1(n_10980),
+	.X(n_11273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988226 (
+	.A1(n_7041),
+	.A2(n_31540),
+	.B1(n_4544),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [61]),
+	.C1(n_10981),
+	.X(n_11272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988227 (
+	.A1(n_7041),
+	.A2(n_31541),
+	.B1(n_4544),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [62]),
+	.C1(n_10982),
+	.X(n_11271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988228 (
+	.A1(n_7044),
+	.A2(n_31461),
+	.B1(n_4546),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [47]),
+	.C1(n_10983),
+	.X(n_11270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988229 (
+	.A1(n_7044),
+	.A2(n_31471),
+	.B1(n_4546),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [57]),
+	.C1(n_10984),
+	.X(n_11269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988230 (
+	.A1(n_7044),
+	.A2(n_31473),
+	.B1(n_4546),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [59]),
+	.C1(n_10985),
+	.X(n_11268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988231 (
+	.A1(n_7044),
+	.A2(n_31475),
+	.B1(n_4546),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [61]),
+	.C1(n_10986),
+	.X(n_11267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988232 (
+	.A1(n_7044),
+	.A2(n_31476),
+	.B1(n_4546),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [62]),
+	.C1(n_10978),
+	.X(n_11266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g988233 (
+	.A1(n_35309),
+	.A2(n_8584),
+	.B1(n_9927),
+	.C1(n_10072),
+	.D1(n_10894),
+	.Y(n_11265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g988234 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_mcause_q[3]),
+	.A2(n_7651),
+	.B1(soc_top_u_top_u_core_exc_cause[3]),
+	.B2(n_2127),
+	.C1(n_8618),
+	.C2(n_10939),
+	.Y(n_11264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g988235 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_mcause_q[1]),
+	.A2(n_7651),
+	.B1(soc_top_u_top_u_core_exc_cause[1]),
+	.B2(n_2127),
+	.C1(n_8618),
+	.C2(n_10941),
+	.Y(n_11263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988236 (
+	.A1(n_7111),
+	.A2(n_30006),
+	.B1(n_9771),
+	.B2(n_29835),
+	.C1(n_10883),
+	.X(n_11262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g988240 (
+	.A(n_11255),
+	.B(n_10254),
+	.Y(n_11385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g988255 (
+	.A1(n_10942),
+	.A2(n_10453),
+	.B1(n_8592),
+	.Y(n_11384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g988265 (
+	.A_N(soc_top_main_swith_host_lsu_num_req_outstanding[10]),
+	.B(n_11152),
+	.Y(n_11383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g988267 (
+	.A(n_69533),
+	.B(n_68580),
+	.C(n_59635),
+	.Y(n_11381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g988268 (
+	.A1_N(n_1496),
+	.A2_N(n_29852),
+	.B1(n_2109),
+	.B2(n_10955),
+	.X(n_11380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g988269 (
+	.A1_N(n_1496),
+	.A2_N(n_29844),
+	.B1(n_2085),
+	.B2(n_10949),
+	.X(n_11378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g988270 (
+	.A1_N(n_1496),
+	.A2_N(n_29839),
+	.B1(n_2049),
+	.B2(n_10950),
+	.X(n_11376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2111o_1 g988271 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p1[0]),
+	.A2(soc_top_u_pwm_pwm_core_divisor[0]),
+	.B1(n_3417),
+	.C1(n_3720),
+	.D1(n_10687),
+	.X(n_11374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g988272 (
+	.A1_N(n_1496),
+	.A2_N(n_29834),
+	.B1(n_2108),
+	.B2(n_10951),
+	.X(n_11372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988273 (
+	.A(n_11250),
+	.Y(n_11261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988276 (
+	.A(n_11257),
+	.Y(n_11258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988277 (
+	.A(n_11254),
+	.Y(n_11255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g988278 (
+	.A(\soc_top_timer0_gen_harts[0].u_core_tick_count [6]),
+	.B(n_10567),
+	.COUT(n_11252),
+	.SUM(n_11253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g988279 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[7]),
+	.B_N(n_10989),
+	.Y(n_11251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g988280 (
+	.A1(\soc_top_iccm_to_xbar[d_data] [1]),
+	.A2(n_68938),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [1]),
+	.B2(n_75504),
+	.C1(n_86648),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [1]),
+	.Y(n_11250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988282 (
+	.A1(n_68561),
+	.A2(n_10581),
+	.B1(n_9896),
+	.C1(n_35311),
+	.Y(n_11248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g988283 (
+	.A1(n_32),
+	.A2(n_7087),
+	.B1(n_9101),
+	.C1(n_10410),
+	.D1(n_10054),
+	.Y(n_11247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g988284 (
+	.A1(n_9888),
+	.A2(n_8585),
+	.A3(n_8588),
+	.B1(n_10886),
+	.Y(n_11246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g988285 (
+	.A1(n_9169),
+	.A2(soc_top_u_top_u_core_illegal_c_insn_id),
+	.B1(n_10844),
+	.Y(n_11245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988287 (
+	.A1(n_8587),
+	.A2(n_9933),
+	.B1(n_10072),
+	.C1(n_10841),
+	.Y(n_11243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g988289 (
+	.A(n_29636),
+	.B(n_29634),
+	.C(n_4309),
+	.D(n_10426),
+	.X(n_11241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988290 (
+	.A1(n_7624),
+	.A2(n_29981),
+	.B1(n_7086),
+	.B2(\soc_top_lsu_to_xbar[a_address] [9]),
+	.C1(n_10846),
+	.X(n_11240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g988291 (
+	.A1(FE_DBTN115_n_72188),
+	.A2(n_7087),
+	.B1(n_10871),
+	.Y(n_11239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g988292 (
+	.A1(n_93140),
+	.A2(n_7087),
+	.B1(n_10579),
+	.B2(n_1888),
+	.C1(n_9090),
+	.Y(n_11238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g988293 (
+	.A1(n_10249),
+	.A2(n_68561),
+	.B1(n_9896),
+	.C1(n_10087),
+	.D1(n_68552),
+	.X(n_11237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g988294 (
+	.A1(n_9217),
+	.A2(n_10675),
+	.B1(n_10230),
+	.Y(n_11236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g988295 (
+	.A1(n_2037),
+	.A2(n_2443),
+	.B1(n_10843),
+	.Y(n_11235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g988296 (
+	.A(n_10482),
+	.B(n_9914),
+	.C(n_9993),
+	.D(n_9888),
+	.Y(n_11234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g988297 (
+	.A1(n_9889),
+	.A2(n_8623),
+	.B1(n_10252),
+	.C1(n_10588),
+	.Y(n_11233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g988298 (
+	.A1(FE_DBTN9_n_87477),
+	.A2(n_7087),
+	.B1(n_9115),
+	.C1(n_10405),
+	.D1(n_9958),
+	.Y(n_11232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g988299 (
+	.A1(n_705),
+	.A2(n_7087),
+	.B1(n_9114),
+	.C1(n_10406),
+	.D1(n_9959),
+	.Y(n_11231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g988300 (
+	.A1(n_720),
+	.A2(n_7087),
+	.B1(n_9111),
+	.C1(n_10407),
+	.D1(n_9960),
+	.Y(n_11230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g988301 (
+	.A1(n_726),
+	.A2(n_7087),
+	.B1(n_9109),
+	.C1(n_10408),
+	.D1(n_9962),
+	.Y(n_11229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g988302 (
+	.A1(n_10585),
+	.A2(n_10238),
+	.B1(n_108),
+	.B2(n_3956),
+	.C1(n_2179),
+	.Y(n_11228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g988303 (
+	.A1(n_8950),
+	.A2(n_10246),
+	.B1(n_68555),
+	.C1(n_9969),
+	.D1(n_10673),
+	.Y(n_11227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988304 (
+	.A(n_10940),
+	.B(n_35328),
+	.Y(n_11226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988305 (
+	.A(n_10940),
+	.B(n_35327),
+	.Y(n_11225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988306 (
+	.A(n_10942),
+	.B(n_35328),
+	.Y(n_11224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988307 (
+	.A(n_10940),
+	.B(n_35334),
+	.Y(n_11223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988308 (
+	.A(n_10940),
+	.B(n_35333),
+	.Y(n_11222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988309 (
+	.A(n_10942),
+	.B(n_35327),
+	.Y(n_11221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988310 (
+	.A(n_10942),
+	.B(n_35334),
+	.Y(n_11220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988311 (
+	.A(n_10942),
+	.B(n_35333),
+	.Y(n_11219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988312 (
+	.A(n_10944),
+	.B(n_35328),
+	.Y(n_11218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988313 (
+	.A(n_10944),
+	.B(n_35327),
+	.Y(n_11217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988314 (
+	.A(n_10944),
+	.B(n_35334),
+	.Y(n_11216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988315 (
+	.A(n_10944),
+	.B(n_35333),
+	.Y(n_11215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988316 (
+	.A(n_10946),
+	.B(n_35328),
+	.Y(n_11214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988317 (
+	.A(n_10946),
+	.B(n_35327),
+	.Y(n_11213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988318 (
+	.A(n_10946),
+	.B(n_35334),
+	.Y(n_11212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988319 (
+	.A(n_10946),
+	.B(n_35333),
+	.Y(n_11211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g988320 (
+	.A(n_69532),
+	.B(n_59635),
+	.X(n_11210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g988321 (
+	.A(n_29549),
+	.B_N(n_10989),
+	.Y(n_11209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g988335 (
+	.A1(n_4558),
+	.A2(soc_top_u_top_u_core_csr_mtvec[13]),
+	.B1(n_1232),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [13]),
+	.C1(n_10911),
+	.Y(n_11257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988388 (
+	.A(soc_top_u_spi_host_spi_host_clgen_cnt[14]),
+	.B(n_10575),
+	.Y(n_11256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g988428 (
+	.A(n_10891),
+	.B(n_10690),
+	.Y(n_11254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988443 (
+	.A(n_11132),
+	.Y(n_11200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988459 (
+	.A(n_11115),
+	.Y(n_11184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988460 (
+	.A(n_11114),
+	.Y(n_11183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988461 (
+	.A(n_11113),
+	.Y(n_11182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988462 (
+	.A(n_11112),
+	.Y(n_11181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988463 (
+	.A(n_11111),
+	.Y(n_11180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988464 (
+	.A(n_11110),
+	.Y(n_11179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988465 (
+	.A(n_11109),
+	.Y(n_11178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988466 (
+	.A(n_11108),
+	.Y(n_11177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988467 (
+	.A(n_11107),
+	.Y(n_11176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988468 (
+	.A(n_11106),
+	.Y(n_11175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988469 (
+	.A(n_11105),
+	.Y(n_11174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988470 (
+	.A(n_11104),
+	.Y(n_11173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988471 (
+	.A(n_11103),
+	.Y(n_11172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988472 (
+	.A(n_11102),
+	.Y(n_11171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988473 (
+	.A(n_11101),
+	.Y(n_11170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988474 (
+	.A(n_11100),
+	.Y(n_11169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988475 (
+	.A(n_68543),
+	.Y(n_11168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988476 (
+	.A(n_68542),
+	.Y(n_11167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988477 (
+	.A(n_68540),
+	.Y(n_11166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988478 (
+	.A(n_68539),
+	.Y(n_11165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988479 (
+	.A(n_68538),
+	.Y(n_11164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988480 (
+	.A(n_68537),
+	.Y(n_11163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988481 (
+	.A(n_68536),
+	.Y(n_11162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988482 (
+	.A(n_68535),
+	.Y(n_11161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988483 (
+	.A(n_68534),
+	.Y(n_11160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988484 (
+	.A(n_68533),
+	.Y(n_11159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988485 (
+	.A(n_11010),
+	.Y(n_11158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988486 (
+	.A(n_11009),
+	.Y(n_11157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988487 (
+	.A(n_11008),
+	.Y(n_11156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988488 (
+	.A(n_11007),
+	.Y(n_11155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988489 (
+	.A(n_11006),
+	.Y(n_11154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988490 (
+	.A(n_11005),
+	.Y(n_11153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g988492 (
+	.A(n_11149),
+	.Y(n_11148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g988493 (
+	.A(n_11147),
+	.Y(n_11146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g988494 (
+	.A(n_11145),
+	.Y(n_11144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g988495 (
+	.A(n_11143),
+	.Y(n_11142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g988496 (
+	.A(n_11141),
+	.Y(n_11140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g988504 (
+	.A1(n_4724),
+	.A2(n_68938),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [11]),
+	.B2(n_75504),
+	.C1(n_86648),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [11]),
+	.Y(n_11132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g988512 (
+	.A1(n_86648),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [22]),
+	.B1(n_69838),
+	.X(n_11124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g988521 (
+	.A1(n_36310),
+	.A2(n_68939),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [0]),
+	.B2(n_68525),
+	.C1(n_37275),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [0]),
+	.Y(n_11115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g988522 (
+	.A1(\soc_top_iccm_to_xbar[d_data] [1]),
+	.A2(n_36310),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [1]),
+	.B2(n_68525),
+	.C1(n_37275),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [1]),
+	.Y(n_11114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g988523 (
+	.A1(n_36310),
+	.A2(n_68957),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [2]),
+	.B2(n_68525),
+	.C1(n_37275),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [2]),
+	.Y(n_11113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g988524 (
+	.A1(n_36310),
+	.A2(n_68967),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [3]),
+	.B2(n_68525),
+	.C1(n_37275),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [3]),
+	.Y(n_11112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g988525 (
+	.A1(n_36310),
+	.A2(n_68977),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [4]),
+	.B2(n_68525),
+	.C1(n_37275),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [4]),
+	.Y(n_11111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g988526 (
+	.A1(n_36310),
+	.A2(n_68987),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [5]),
+	.B2(n_68525),
+	.C1(n_37275),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [5]),
+	.Y(n_11110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g988527 (
+	.A1(n_36310),
+	.A2(n_68997),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [6]),
+	.B2(n_68525),
+	.C1(n_37275),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [6]),
+	.Y(n_11109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g988528 (
+	.A1(n_36310),
+	.A2(n_69007),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [7]),
+	.B2(n_68525),
+	.C1(n_37275),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [7]),
+	.Y(n_11108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g988529 (
+	.A1(n_36310),
+	.A2(n_69017),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [8]),
+	.B2(n_68525),
+	.C1(n_37275),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [8]),
+	.Y(n_11107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g988530 (
+	.A1(n_36310),
+	.A2(n_69027),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [9]),
+	.B2(n_68525),
+	.C1(n_37275),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [9]),
+	.Y(n_11106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g988531 (
+	.A1(n_36310),
+	.A2(n_4724),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [11]),
+	.B2(n_68525),
+	.C1(n_37275),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [11]),
+	.Y(n_11105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g988532 (
+	.A1(n_36310),
+	.A2(n_69037),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [15]),
+	.B2(n_68525),
+	.C1(n_37275),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [15]),
+	.Y(n_11104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g988533 (
+	.A1(n_36310),
+	.A2(n_69167),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [16]),
+	.B2(n_68525),
+	.C1(n_37275),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [16]),
+	.Y(n_11103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g988534 (
+	.A1(n_36310),
+	.A2(n_69047),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [17]),
+	.B2(n_68525),
+	.C1(n_37275),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [17]),
+	.Y(n_11102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g988535 (
+	.A1(n_36310),
+	.A2(n_69057),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [18]),
+	.B2(n_68525),
+	.C1(n_37275),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [18]),
+	.Y(n_11101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g988536 (
+	.A1(n_36310),
+	.A2(n_69067),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [19]),
+	.B2(n_68525),
+	.C1(n_37275),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [19]),
+	.Y(n_11100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g988548 (
+	.A(n_8613),
+	.B(FE_DBTN32_soc_top_u_top_u_core_id_in_ready),
+	.C_N(n_10954),
+	.Y(n_11088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g988549 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [16]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [14]),
+	.C(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [15]),
+	.D(n_10425),
+	.X(n_11087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4b_1 g988550 (
+	.A(n_10334),
+	.B(n_9888),
+	.C(n_9900),
+	.D_N(n_68552),
+	.Y(n_11086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988551 (
+	.A1(n_7041),
+	.A2(n_31479),
+	.B1(n_4089),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [0]),
+	.C1(n_10698),
+	.X(n_11085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988552 (
+	.A1(n_7044),
+	.A2(n_31414),
+	.B1(n_4087),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [0]),
+	.C1(n_10810),
+	.X(n_11084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988553 (
+	.A1(n_7041),
+	.A2(n_31511),
+	.B1(n_4544),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [32]),
+	.C1(n_10808),
+	.X(n_11083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988554 (
+	.A1(n_7044),
+	.A2(n_31446),
+	.B1(n_4546),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [32]),
+	.C1(n_10809),
+	.X(n_11082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988555 (
+	.A1(n_7041),
+	.A2(n_31495),
+	.B1(n_4089),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [16]),
+	.C1(n_10800),
+	.X(n_11081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988556 (
+	.A1(n_7041),
+	.A2(n_31498),
+	.B1(n_4089),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [19]),
+	.C1(n_10802),
+	.X(n_11080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988557 (
+	.A1(n_7041),
+	.A2(n_31497),
+	.B1(n_4089),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [18]),
+	.C1(n_10801),
+	.X(n_11079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988558 (
+	.A1(n_7041),
+	.A2(n_31501),
+	.B1(n_4089),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [22]),
+	.C1(n_10803),
+	.X(n_11078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988559 (
+	.A1(n_7041),
+	.A2(n_31502),
+	.B1(n_4089),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [23]),
+	.C1(n_10804),
+	.X(n_11077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988560 (
+	.A1(n_7041),
+	.A2(n_31503),
+	.B1(n_4089),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [24]),
+	.C1(n_10805),
+	.X(n_11076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988561 (
+	.A1(n_7041),
+	.A2(n_31505),
+	.B1(n_4089),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [26]),
+	.C1(n_10806),
+	.X(n_11075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988562 (
+	.A1(n_7041),
+	.A2(n_31507),
+	.B1(n_4089),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [28]),
+	.C1(n_10807),
+	.X(n_11074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988563 (
+	.A1(n_7044),
+	.A2(n_31430),
+	.B1(n_4087),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [16]),
+	.C1(n_10792),
+	.X(n_11073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988564 (
+	.A1(n_7044),
+	.A2(n_31432),
+	.B1(n_4087),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [18]),
+	.C1(n_10793),
+	.X(n_11072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988565 (
+	.A1(n_7044),
+	.A2(n_31433),
+	.B1(n_4087),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [19]),
+	.C1(n_10794),
+	.X(n_11071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988566 (
+	.A1(n_7044),
+	.A2(n_31436),
+	.B1(n_4087),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [22]),
+	.C1(n_10795),
+	.X(n_11070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988567 (
+	.A1(n_7044),
+	.A2(n_31438),
+	.B1(n_4087),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [24]),
+	.C1(n_10797),
+	.X(n_11069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988568 (
+	.A1(n_7044),
+	.A2(n_31437),
+	.B1(n_4087),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [23]),
+	.C1(n_10796),
+	.X(n_11068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988569 (
+	.A1(n_7044),
+	.A2(n_31440),
+	.B1(n_4087),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [26]),
+	.C1(n_10798),
+	.X(n_11067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988570 (
+	.A1(n_7044),
+	.A2(n_31442),
+	.B1(n_4087),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [28]),
+	.C1(n_10799),
+	.X(n_11066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988571 (
+	.A1(n_7041),
+	.A2(n_31527),
+	.B1(n_4544),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [48]),
+	.C1(n_10776),
+	.X(n_11065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988572 (
+	.A1(n_7041),
+	.A2(n_31529),
+	.B1(n_4544),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [50]),
+	.C1(n_10777),
+	.X(n_11064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988573 (
+	.A1(n_7041),
+	.A2(n_31530),
+	.B1(n_4544),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [51]),
+	.C1(n_10778),
+	.X(n_11063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988574 (
+	.A1(n_7041),
+	.A2(n_31533),
+	.B1(n_4544),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [54]),
+	.C1(n_10779),
+	.X(n_11062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988575 (
+	.A1(n_7041),
+	.A2(n_31534),
+	.B1(n_4544),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [55]),
+	.C1(n_10780),
+	.X(n_11061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988576 (
+	.A1(n_7041),
+	.A2(n_31535),
+	.B1(n_4544),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [56]),
+	.C1(n_10781),
+	.X(n_11060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988577 (
+	.A1(n_7041),
+	.A2(n_31537),
+	.B1(n_4544),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [58]),
+	.C1(n_10782),
+	.X(n_11059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988578 (
+	.A1(n_7041),
+	.A2(n_31539),
+	.B1(n_4544),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [60]),
+	.C1(n_10783),
+	.X(n_11058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988579 (
+	.A1(n_7044),
+	.A2(n_31462),
+	.B1(n_4546),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [48]),
+	.C1(n_10784),
+	.X(n_11057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988580 (
+	.A1(n_7044),
+	.A2(n_31464),
+	.B1(n_4546),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [50]),
+	.C1(n_10785),
+	.X(n_11056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988581 (
+	.A1(n_7044),
+	.A2(n_31465),
+	.B1(n_4546),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [51]),
+	.C1(n_10786),
+	.X(n_11055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988582 (
+	.A1(n_7044),
+	.A2(n_31468),
+	.B1(n_4546),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [54]),
+	.C1(n_10787),
+	.X(n_11054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988583 (
+	.A1(n_7044),
+	.A2(n_31470),
+	.B1(n_4546),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [56]),
+	.C1(n_10789),
+	.X(n_11053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988584 (
+	.A1(n_7044),
+	.A2(n_31469),
+	.B1(n_4546),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [55]),
+	.C1(n_10788),
+	.X(n_11052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988585 (
+	.A1(n_7044),
+	.A2(n_31472),
+	.B1(n_4546),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [58]),
+	.C1(n_10790),
+	.X(n_11051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988586 (
+	.A1(n_7044),
+	.A2(n_31474),
+	.B1(n_4546),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [60]),
+	.C1(n_10791),
+	.X(n_11050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988587 (
+	.A1(n_7041),
+	.A2(n_31488),
+	.B1(n_4089),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [9]),
+	.C1(n_10756),
+	.X(n_11049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988588 (
+	.A1(n_7041),
+	.A2(n_31489),
+	.B1(n_4089),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [10]),
+	.C1(n_10774),
+	.X(n_11048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988589 (
+	.A1(n_7041),
+	.A2(n_31493),
+	.B1(n_4089),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [14]),
+	.C1(n_10775),
+	.X(n_11047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988590 (
+	.A1(n_7044),
+	.A2(n_31423),
+	.B1(n_4087),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [9]),
+	.C1(n_10728),
+	.X(n_11046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988591 (
+	.A1(n_7044),
+	.A2(n_31424),
+	.B1(n_4087),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [10]),
+	.C1(n_10750),
+	.X(n_11045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988592 (
+	.A1(n_7044),
+	.A2(n_31428),
+	.B1(n_4087),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [14]),
+	.C1(n_10754),
+	.X(n_11044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988593 (
+	.A1(n_7041),
+	.A2(n_31520),
+	.B1(n_4544),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [41]),
+	.C1(n_10734),
+	.X(n_11043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988594 (
+	.A1(n_7041),
+	.A2(n_31521),
+	.B1(n_4544),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [42]),
+	.C1(n_10733),
+	.X(n_11042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988595 (
+	.A1(n_7041),
+	.A2(n_31525),
+	.B1(n_4544),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [46]),
+	.C1(n_10732),
+	.X(n_11041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988596 (
+	.A1(n_7044),
+	.A2(n_31455),
+	.B1(n_4546),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [41]),
+	.C1(n_10731),
+	.X(n_11040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988597 (
+	.A1(n_7044),
+	.A2(n_31456),
+	.B1(n_4546),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [42]),
+	.C1(n_10730),
+	.X(n_11039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988598 (
+	.A1(n_7044),
+	.A2(n_31460),
+	.B1(n_4546),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [46]),
+	.C1(n_10729),
+	.X(n_11038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g988599 (
+	.A1(n_8607),
+	.A2(n_10477),
+	.B1(n_10078),
+	.B2(n_10479),
+	.C1(n_10680),
+	.Y(n_11037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g988605 (
+	.A1(n_86648),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [10]),
+	.B1(n_69835),
+	.X(n_11031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g988606 (
+	.A1(n_86648),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [12]),
+	.B1(n_69834),
+	.X(n_11030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g988607 (
+	.A1(n_86648),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [13]),
+	.B1(n_69836),
+	.X(n_11029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g988608 (
+	.A1(n_86648),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [14]),
+	.B1(n_69837),
+	.X(n_11028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g988609 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [28]),
+	.A2(n_86648),
+	.B1(n_69839),
+	.X(n_11027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g988610 (
+	.A1(n_68553),
+	.A2(n_9586),
+	.B1(n_8589),
+	.Y(n_11026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988611 (
+	.A1(n_10704),
+	.A2(n_4092),
+	.B1(n_3745),
+	.C1(n_4027),
+	.Y(n_11025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988612 (
+	.A1(n_10711),
+	.A2(n_4092),
+	.B1(n_3751),
+	.C1(n_4032),
+	.Y(n_11024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988613 (
+	.A1(n_10705),
+	.A2(n_4092),
+	.B1(n_3783),
+	.C1(n_4033),
+	.Y(n_11023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988614 (
+	.A1(n_10708),
+	.A2(n_4092),
+	.B1(n_3785),
+	.C1(n_4034),
+	.Y(n_11022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988615 (
+	.A1(n_10709),
+	.A2(n_4092),
+	.B1(n_3787),
+	.C1(n_4004),
+	.Y(n_11021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988616 (
+	.A1(n_10702),
+	.A2(n_4092),
+	.B1(n_3736),
+	.C1(n_4031),
+	.Y(n_11020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988617 (
+	.A1(n_10704),
+	.A2(n_8578),
+	.B1(n_4333),
+	.C1(n_8402),
+	.Y(n_11019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988618 (
+	.A1(n_10705),
+	.A2(n_8578),
+	.B1(n_4362),
+	.C1(n_8414),
+	.Y(n_11018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988619 (
+	.A1(n_10711),
+	.A2(n_8578),
+	.B1(n_4358),
+	.C1(n_8412),
+	.Y(n_11017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988620 (
+	.A1(n_10708),
+	.A2(n_8578),
+	.B1(n_4327),
+	.C1(n_8416),
+	.Y(n_11016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988621 (
+	.A1(n_10709),
+	.A2(n_8578),
+	.B1(n_4349),
+	.C1(n_8417),
+	.Y(n_11015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g988622 (
+	.A1(soc_top_u_pwm_pwm_core_period_2[11]),
+	.A2(n_1610),
+	.B1(n_1948),
+	.B2(n_3266),
+	.C1(n_10688),
+	.Y(n_11014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g988623 (
+	.A1(n_10716),
+	.A2(n_1888),
+	.B1(n_9094),
+	.Y(n_11013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g988624 (
+	.A1(n_10721),
+	.A2(n_1889),
+	.B1(\soc_top_xbar_to_timer[a_address] [6]),
+	.B2(n_7625),
+	.C1(n_1229),
+	.C2(soc_top_u_top_u_core_rf_wdata_fwd_wb[6]),
+	.Y(n_11012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g988625 (
+	.A1(n_10717),
+	.A2(n_1888),
+	.B1(n_9104),
+	.Y(n_11011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g988626 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_mtval_q[15]),
+	.A2(n_7618),
+	.B1(soc_top_u_top_u_core_csr_mtval[15]),
+	.B2(n_2127),
+	.C1(n_35318),
+	.C2(n_10703),
+	.Y(n_11010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g988627 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_mtval_q[21]),
+	.A2(n_7618),
+	.B1(soc_top_u_top_u_core_csr_mtval[21]),
+	.B2(n_2127),
+	.C1(n_35318),
+	.C2(n_10701),
+	.Y(n_11009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g988628 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_mtval_q[25]),
+	.A2(n_7618),
+	.B1(soc_top_u_top_u_core_csr_mtval[25]),
+	.B2(n_2127),
+	.C1(n_35318),
+	.C2(n_10712),
+	.Y(n_11008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g988629 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_mtval_q[27]),
+	.A2(n_7618),
+	.B1(soc_top_u_top_u_core_csr_mtval[27]),
+	.B2(n_2127),
+	.C1(n_35318),
+	.C2(n_10706),
+	.Y(n_11007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g988630 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_mtval_q[30]),
+	.A2(n_7618),
+	.B1(soc_top_u_top_u_core_csr_mtval[30]),
+	.B2(n_2127),
+	.C1(n_35318),
+	.C2(n_10710),
+	.Y(n_11006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g988631 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_mtval_q[29]),
+	.A2(n_7618),
+	.B1(soc_top_u_top_u_core_csr_mtval[29]),
+	.B2(n_2127),
+	.C1(n_35318),
+	.C2(n_10707),
+	.Y(n_11005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988632 (
+	.A1(n_3314),
+	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[5]),
+	.B1(n_2594),
+	.B2(n_29922),
+	.C1(n_10743),
+	.X(n_11004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988633 (
+	.A1(n_10702),
+	.A2(n_8578),
+	.B1(n_4324),
+	.C1(n_8408),
+	.Y(n_11003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g988635 (
+	.A1(n_10657),
+	.A2(n_8606),
+	.B1(n_10922),
+	.Y(n_11001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g988636 (
+	.A1(n_10321),
+	.A2(soc_top_u_spi_host_spi_host_clgen_cnt[10]),
+	.B1(n_10988),
+	.X(n_11000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g988637 (
+	.A1(n_9169),
+	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[19]),
+	.B1(n_10574),
+	.C1(n_10928),
+	.Y(n_10999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g988638 (
+	.A1(n_86920),
+	.A2(n_31135),
+	.B1_N(n_10913),
+	.Y(n_10998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g988639 (
+	.A1(n_86920),
+	.A2(n_72413),
+	.B1_N(n_10912),
+	.Y(n_10997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g988640 (
+	.A1_N(n_72440),
+	.A2_N(n_7625),
+	.B1(n_10718),
+	.B2(n_1888),
+	.Y(n_10996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g988641 (
+	.A1(n_35317),
+	.A2(n_10710),
+	.B1(n_7620),
+	.B2(soc_top_u_top_u_core_csr_mtvec[30]),
+	.X(n_10995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g988642 (
+	.A1(n_35317),
+	.A2(n_10706),
+	.B1(n_7620),
+	.B2(soc_top_u_top_u_core_csr_mtvec[27]),
+	.X(n_10994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g988643 (
+	.A1(n_35317),
+	.A2(n_10712),
+	.B1(n_7620),
+	.B2(soc_top_u_top_u_core_csr_mtvec[25]),
+	.X(n_10993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g988644 (
+	.A1(n_35317),
+	.A2(n_10701),
+	.B1(n_7620),
+	.B2(soc_top_u_top_u_core_csr_mtvec[21]),
+	.X(n_10992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g988645 (
+	.A1(n_35317),
+	.A2(n_10703),
+	.B1(n_7620),
+	.B2(soc_top_u_top_u_core_csr_mtvec[15]),
+	.X(n_10991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g988646 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[9]),
+	.B(n_29549),
+	.C(n_10815),
+	.Y(n_11152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g988647 (
+	.A1(n_10814),
+	.A2(n_1501),
+	.B1(n_3313),
+	.Y(n_11151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 g988649 (
+	.A1_N(n_2072),
+	.A2_N(n_10719),
+	.B1(n_1496),
+	.B2(n_29837),
+	.X(n_11149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g988650 (
+	.A1_N(n_1496),
+	.A2_N(n_29863),
+	.B1(n_2103),
+	.B2(n_10718),
+	.X(n_11147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g988651 (
+	.A1_N(n_1496),
+	.A2_N(n_29838),
+	.B1(n_2094),
+	.B2(n_10720),
+	.X(n_11145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g988652 (
+	.A1_N(n_1496),
+	.A2_N(n_29836),
+	.B1(n_2055),
+	.B2(n_10716),
+	.X(n_11143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g988653 (
+	.A1_N(n_1496),
+	.A2_N(n_29843),
+	.B1(n_2061),
+	.B2(n_10717),
+	.X(n_11141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988654 (
+	.A(n_10704),
+	.B(n_35333),
+	.Y(n_10987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988655 (
+	.A(n_10708),
+	.B(n_35334),
+	.Y(n_10986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988656 (
+	.A(n_10705),
+	.B(n_35334),
+	.Y(n_10985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988657 (
+	.A(n_10711),
+	.B(n_35334),
+	.Y(n_10984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988658 (
+	.A(n_10704),
+	.B(n_35334),
+	.Y(n_10983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988659 (
+	.A(n_10709),
+	.B(n_35333),
+	.Y(n_10982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988660 (
+	.A(n_10708),
+	.B(n_35333),
+	.Y(n_10981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988661 (
+	.A(n_10705),
+	.B(n_35333),
+	.Y(n_10980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988662 (
+	.A(n_10711),
+	.B(n_35333),
+	.Y(n_10979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988663 (
+	.A(n_10709),
+	.B(n_35334),
+	.Y(n_10978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g988664 (
+	.A(n_10573),
+	.B(n_10422),
+	.C(n_10107),
+	.Y(n_10977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988665 (
+	.A(soc_top_u_uart_u_uart_core_tx_fifo_clear),
+	.B(n_10696),
+	.Y(n_10976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g988666 (
+	.A_N(n_10817),
+	.B(n_69107),
+	.Y(n_10975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g988667 (
+	.A_N(n_10817),
+	.B(n_69067),
+	.Y(n_10974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g988670 (
+	.A(soc_top_u_uart_u_uart_core_tx_fifo_reset),
+	.B_N(n_10813),
+	.Y(n_10971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g988671 (
+	.A_N(n_1536),
+	.B(n_10708),
+	.Y(n_10970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988731 (
+	.A(n_10815),
+	.B(n_1501),
+	.Y(n_10989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988740 (
+	.A(soc_top_u_spi_host_spi_host_clgen_cnt[10]),
+	.B(n_10321),
+	.Y(n_10988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988785 (
+	.A(n_10890),
+	.Y(n_10968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988786 (
+	.A(n_10857),
+	.Y(n_10967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988787 (
+	.A(n_10856),
+	.Y(n_10966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988788 (
+	.A(n_10855),
+	.Y(n_10965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988789 (
+	.A(n_10854),
+	.Y(n_10964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988790 (
+	.A(n_10853),
+	.Y(n_10963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988791 (
+	.A(n_10852),
+	.Y(n_10962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988792 (
+	.A(n_10851),
+	.Y(n_10961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988793 (
+	.A(n_10850),
+	.Y(n_10960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988794 (
+	.A(n_10849),
+	.Y(n_10959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988795 (
+	.A(n_10848),
+	.Y(n_10958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988796 (
+	.A(n_10847),
+	.Y(n_10957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988797 (
+	.A(n_10955),
+	.Y(n_10956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988798 (
+	.A(n_10951),
+	.Y(n_10952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g988799 (
+	.A(n_10946),
+	.Y(n_10945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g988800 (
+	.A(n_10944),
+	.Y(n_10943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g988801 (
+	.A(n_10942),
+	.Y(n_10941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g988802 (
+	.A(n_10940),
+	.Y(n_10939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988803 (
+	.A1(n_10460),
+	.A2(n_4092),
+	.B1(n_3782),
+	.C1(n_4037),
+	.Y(n_10938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988804 (
+	.A(n_10711),
+	.B(n_35327),
+	.Y(n_10937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988805 (
+	.A(n_10705),
+	.B(n_35327),
+	.Y(n_10936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988806 (
+	.A(n_10708),
+	.B(n_35327),
+	.Y(n_10935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988807 (
+	.A(n_10709),
+	.B(n_35327),
+	.Y(n_10934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988808 (
+	.A(n_10704),
+	.B(n_35328),
+	.Y(n_10933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988809 (
+	.A(n_10711),
+	.B(n_35328),
+	.Y(n_10932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988810 (
+	.A(n_10705),
+	.B(n_35328),
+	.Y(n_10931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988811 (
+	.A(n_10708),
+	.B(n_35328),
+	.Y(n_10930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988812 (
+	.A(n_10709),
+	.B(n_35328),
+	.Y(n_10929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988813 (
+	.A(n_8596),
+	.B(n_68552),
+	.Y(n_10928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988814 (
+	.A(n_10702),
+	.B(n_35333),
+	.Y(n_10927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988815 (
+	.A(n_10702),
+	.B(n_35334),
+	.Y(n_10926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988816 (
+	.A(n_10702),
+	.B(n_35327),
+	.Y(n_10925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988817 (
+	.A(n_10702),
+	.B(n_35328),
+	.Y(n_10924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988819 (
+	.A1(n_8521),
+	.A2(n_10323),
+	.B1(n_10714),
+	.C1(n_10423),
+	.Y(n_10922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g988820 (
+	.A1(n_10516),
+	.A2(n_3831),
+	.B1(soc_top_u_uart_u_uart_core_rx_fifo_rst),
+	.Y(n_10921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988821 (
+	.A1(n_1580),
+	.A2(n_1939),
+	.B1(n_3833),
+	.C1(n_10545),
+	.Y(n_10920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g988827 (
+	.A1(n_31143),
+	.A2(n_86920),
+	.B1_N(n_59632),
+	.Y(n_10914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g988828 (
+	.A1(n_31199),
+	.A2(n_7140),
+	.B1(n_10685),
+	.Y(n_10913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g988829 (
+	.A1(n_77405),
+	.A2(n_7140),
+	.B1(n_10684),
+	.Y(n_10912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g988830 (
+	.A(n_10174),
+	.B(n_7500),
+	.C(n_6908),
+	.D(n_4480),
+	.Y(n_10911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g988831 (
+	.A1(n_35317),
+	.A2(n_10459),
+	.B1(n_7620),
+	.B2(soc_top_u_top_u_core_csr_mtvec[28]),
+	.X(n_10910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g988832 (
+	.A1(n_9169),
+	.A2(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.B1(n_10574),
+	.C1(n_10203),
+	.Y(n_10909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g988833 (
+	.A1(n_73627),
+	.A2(n_26377),
+	.B1(n_73628),
+	.Y(n_10908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g988834 (
+	.A1(n_35317),
+	.A2(n_10461),
+	.B1(n_7620),
+	.B2(soc_top_u_top_u_core_csr_mtvec[26]),
+	.X(n_10907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g988835 (
+	.A1(soc_top_u_pwm_pwm_core_period_counter1[9]),
+	.A2(n_2383),
+	.B1(n_2692),
+	.B2(n_10231),
+	.C1(n_3416),
+	.C2(soc_top_u_pwm_pwm_core_period_counter1[8]),
+	.Y(n_10906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g988836 (
+	.A1(n_8589),
+	.A2(n_10247),
+	.B1(n_10084),
+	.C1(n_10714),
+	.X(n_10905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g988837 (
+	.A1(n_35317),
+	.A2(n_10463),
+	.B1(n_7620),
+	.B2(soc_top_u_top_u_core_csr_mtvec[24]),
+	.X(n_10904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g988838 (
+	.A1(n_35317),
+	.A2(n_10465),
+	.B1(n_7620),
+	.B2(soc_top_u_top_u_core_csr_mtvec[23]),
+	.X(n_10903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g988839 (
+	.A1(n_35317),
+	.A2(n_10467),
+	.B1(n_7620),
+	.B2(soc_top_u_top_u_core_csr_mtvec[22]),
+	.X(n_10902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g988840 (
+	.A1(n_35317),
+	.A2(n_10468),
+	.B1(n_7620),
+	.B2(soc_top_u_top_u_core_csr_mtvec[19]),
+	.X(n_10901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g988841 (
+	.A1(n_10586),
+	.A2(n_1501),
+	.B1(n_3313),
+	.Y(n_10900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g988842 (
+	.A1(n_74735),
+	.A2(n_3981),
+	.B1(n_4612),
+	.C1(n_10206),
+	.D1(n_10738),
+	.Y(n_10899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g988843 (
+	.A1(n_10317),
+	.A2(n_8599),
+	.B1(n_9169),
+	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[15]),
+	.C1(n_10071),
+	.Y(n_10898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g988844 (
+	.A1(n_35317),
+	.A2(n_10454),
+	.B1(n_7620),
+	.B2(soc_top_u_top_u_core_csr_mtvec[18]),
+	.X(n_10897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g988845 (
+	.A1(n_4739),
+	.A2(n_3987),
+	.B1(n_35366),
+	.B2(n_7139),
+	.C1(n_10686),
+	.Y(n_10896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g988846 (
+	.A1(n_10477),
+	.A2(n_8598),
+	.B1(n_68557),
+	.Y(n_10895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g988847 (
+	.A1(n_9900),
+	.A2(n_8593),
+	.B1(n_9169),
+	.B2(soc_top_u_top_u_core_instr_rdata_id[12]),
+	.C1(n_10607),
+	.Y(n_10894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g988848 (
+	.A1_N(n_10585),
+	.A2_N(n_3957),
+	.B1(soc_top_u_top_u_core_fp_alu_op_mod),
+	.B2(n_10040),
+	.Y(n_10893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g988849 (
+	.A1(n_35317),
+	.A2(n_10456),
+	.B1(n_7620),
+	.B2(soc_top_u_top_u_core_csr_mtvec[16]),
+	.X(n_10892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g988850 (
+	.A1(n_10535),
+	.A2(n_7173),
+	.B1(n_10537),
+	.Y(n_10891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g988851 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_mcause_q[0]),
+	.A2(n_7651),
+	.B1(soc_top_u_top_u_core_exc_cause[0]),
+	.B2(n_2127),
+	.C1(n_8618),
+	.C2(n_10452),
+	.Y(n_10890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g988853 (
+	.A1(n_8603),
+	.A2(n_10581),
+	.B1(n_10315),
+	.Y(n_10888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 g988854 (
+	.A1(n_9897),
+	.A2(n_10479),
+	.B1_N(n_9900),
+	.X(n_10887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g988855 (
+	.A1(n_9221),
+	.A2(n_9586),
+	.B1(n_68553),
+	.Y(n_10886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g988856 (
+	.A1(n_9878),
+	.A2(n_10414),
+	.B1(n_69225),
+	.Y(n_10885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g988857 (
+	.A1(n_10483),
+	.A2(n_68549),
+	.B1(n_8613),
+	.X(n_10884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g988858 (
+	.A1(n_10578),
+	.A2(n_1888),
+	.B1(n_9092),
+	.Y(n_10883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988859 (
+	.A1(n_10471),
+	.A2(n_4092),
+	.B1(n_3791),
+	.C1(n_4012),
+	.Y(n_10882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988860 (
+	.A1(n_10473),
+	.A2(n_4092),
+	.B1(n_3743),
+	.C1(n_4001),
+	.Y(n_10881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988861 (
+	.A1(n_10475),
+	.A2(n_4092),
+	.B1(n_3737),
+	.C1(n_4008),
+	.Y(n_10880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988862 (
+	.A1(n_10457),
+	.A2(n_4092),
+	.B1(n_3748),
+	.C1(n_4015),
+	.Y(n_10879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988863 (
+	.A1(n_10455),
+	.A2(n_4092),
+	.B1(n_3750),
+	.C1(n_4010),
+	.Y(n_10878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988864 (
+	.A1(n_10469),
+	.A2(n_4092),
+	.B1(n_3759),
+	.C1(n_4029),
+	.Y(n_10877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988865 (
+	.A1(n_10464),
+	.A2(n_4092),
+	.B1(n_3757),
+	.C1(n_4003),
+	.Y(n_10876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988866 (
+	.A1(n_10466),
+	.A2(n_4092),
+	.B1(n_3760),
+	.C1(n_4006),
+	.Y(n_10875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988867 (
+	.A1(n_10462),
+	.A2(n_4092),
+	.B1(n_3747),
+	.C1(n_4017),
+	.Y(n_10874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988868 (
+	.A(n_10704),
+	.B(n_35327),
+	.Y(n_10873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988869 (
+	.A1(n_10458),
+	.A2(n_4092),
+	.B1(n_3784),
+	.C1(n_4035),
+	.Y(n_10872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g988870 (
+	.A1(n_10480),
+	.A2(n_1889),
+	.B1(n_77013),
+	.B2(n_7625),
+	.C1(n_1229),
+	.C2(soc_top_u_top_u_core_rf_wdata_fwd_wb[8]),
+	.Y(n_10871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988871 (
+	.A1(n_10471),
+	.A2(n_8578),
+	.B1(n_4321),
+	.C1(n_8396),
+	.Y(n_10870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988872 (
+	.A1(n_10473),
+	.A2(n_8578),
+	.B1(n_4320),
+	.C1(n_8397),
+	.Y(n_10869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988873 (
+	.A1(n_10475),
+	.A2(n_8578),
+	.B1(n_4335),
+	.C1(n_8401),
+	.Y(n_10868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988874 (
+	.A1(n_10457),
+	.A2(n_8578),
+	.B1(n_4323),
+	.C1(n_8403),
+	.Y(n_10867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988875 (
+	.A1(n_10455),
+	.A2(n_8578),
+	.B1(n_4331),
+	.C1(n_8405),
+	.Y(n_10866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988876 (
+	.A1(n_10469),
+	.A2(n_8578),
+	.B1(n_4363),
+	.C1(n_8406),
+	.Y(n_10865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988877 (
+	.A1(n_10466),
+	.A2(n_8578),
+	.B1(n_4329),
+	.C1(n_8409),
+	.Y(n_10864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988878 (
+	.A1(n_10462),
+	.A2(n_8578),
+	.B1(n_4508),
+	.C1(n_8411),
+	.Y(n_10863), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988879 (
+	.A1(n_10460),
+	.A2(n_8578),
+	.B1(n_4357),
+	.C1(n_8413),
+	.Y(n_10862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988880 (
+	.A1(n_10464),
+	.A2(n_8578),
+	.B1(n_4328),
+	.C1(n_8410),
+	.Y(n_10861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988881 (
+	.A1(n_10458),
+	.A2(n_8578),
+	.B1(n_4310),
+	.C1(n_8415),
+	.Y(n_10860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g988882 (
+	.A1(n_10577),
+	.A2(n_1888),
+	.B1(n_9112),
+	.Y(n_10859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g988883 (
+	.A1(n_10453),
+	.A2(n_8576),
+	.B1(n_9128),
+	.Y(n_10858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g988884 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_mtval_q[9]),
+	.A2(n_7618),
+	.B1(soc_top_u_top_u_core_csr_mtval[9]),
+	.B2(n_2127),
+	.C1(n_35318),
+	.C2(n_10470),
+	.Y(n_10857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g988885 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_mtval_q[10]),
+	.A2(n_7618),
+	.B1(soc_top_u_top_u_core_csr_mtval[10]),
+	.B2(n_2127),
+	.C1(n_35318),
+	.C2(n_10472),
+	.Y(n_10856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g988886 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_mtval_q[14]),
+	.A2(n_7618),
+	.B1(soc_top_u_top_u_core_csr_mtval[14]),
+	.B2(n_2127),
+	.C1(n_35318),
+	.C2(n_10474),
+	.Y(n_10855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g988887 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_mtval_q[16]),
+	.A2(n_7618),
+	.B1(soc_top_u_top_u_core_csr_mtval[16]),
+	.B2(n_2127),
+	.C1(n_35318),
+	.C2(n_10456),
+	.Y(n_10854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g988888 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_mtval_q[19]),
+	.A2(n_7618),
+	.B1(soc_top_u_top_u_core_csr_mtval[19]),
+	.B2(n_2127),
+	.C1(n_35318),
+	.C2(n_10468),
+	.Y(n_10853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g988889 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_mtval_q[18]),
+	.A2(n_7618),
+	.B1(soc_top_u_top_u_core_csr_mtval[18]),
+	.B2(n_2127),
+	.C1(n_35318),
+	.C2(n_10454),
+	.Y(n_10852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g988890 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_mtval_q[22]),
+	.A2(n_7618),
+	.B1(soc_top_u_top_u_core_csr_mtval[22]),
+	.B2(n_2127),
+	.C1(n_35318),
+	.C2(n_10467),
+	.Y(n_10851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g988891 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_mtval_q[23]),
+	.A2(n_7618),
+	.B1(soc_top_u_top_u_core_csr_mtval[23]),
+	.B2(n_2127),
+	.C1(n_35318),
+	.C2(n_10465),
+	.Y(n_10850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g988892 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_mtval_q[24]),
+	.A2(n_7618),
+	.B1(soc_top_u_top_u_core_csr_mtval[24]),
+	.B2(n_2127),
+	.C1(n_35318),
+	.C2(n_10463),
+	.Y(n_10849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g988893 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_mtval_q[26]),
+	.A2(n_7618),
+	.B1(soc_top_u_top_u_core_csr_mtval[26]),
+	.B2(n_2127),
+	.C1(n_35318),
+	.C2(n_10461),
+	.Y(n_10848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g988894 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_mtval_q[28]),
+	.A2(n_7618),
+	.B1(soc_top_u_top_u_core_csr_mtval[28]),
+	.B2(n_2127),
+	.C1(n_35318),
+	.C2(n_10459),
+	.Y(n_10847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988895 (
+	.A1(n_7111),
+	.A2(n_30013),
+	.B1(n_9766),
+	.B2(n_29842),
+	.C1(n_10409),
+	.X(n_10846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g988896 (
+	.A1(n_10150),
+	.A2(soc_top_u_spi_host_spi_host_clgen_cnt[6]),
+	.B1(n_10816),
+	.X(n_10845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 g988897 (
+	.A1(n_1893),
+	.A2(n_10228),
+	.A3(n_9169),
+	.B1(n_10551),
+	.B2(n_9586),
+	.Y(n_10844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g988898 (
+	.A1(soc_top_u_pwm_pwm_core_DC_2[13]),
+	.A2(n_120),
+	.B1(soc_top_u_pwm_pwm_core_DC_2[12]),
+	.B2(n_133),
+	.C1(n_10544),
+	.Y(n_10843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g988900 (
+	.A1(n_9888),
+	.A2(n_9229),
+	.B1(n_10317),
+	.B2(n_69231),
+	.C1(n_68551),
+	.Y(n_10841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g988901 (
+	.A1(n_9223),
+	.A2(n_8608),
+	.B1(n_10227),
+	.B2(n_8588),
+	.C1(n_10576),
+	.Y(n_10840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g988902 (
+	.A1(soc_top_u_pwm_pwm_core_period[8]),
+	.A2(n_721),
+	.B1(soc_top_u_pwm_pwm_core_period[9]),
+	.B2(n_685),
+	.C1(n_10431),
+	.Y(n_10839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g988910 (
+	.A1_N(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [10]),
+	.A2_N(n_68525),
+	.B1(n_4579),
+	.B2(n_70970),
+	.Y(n_10831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g988911 (
+	.A1_N(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [12]),
+	.A2_N(n_68525),
+	.B1(n_4575),
+	.B2(n_70970),
+	.Y(n_10830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g988912 (
+	.A1_N(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [13]),
+	.A2_N(n_68525),
+	.B1(n_4570),
+	.B2(n_70970),
+	.Y(n_10829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g988913 (
+	.A1_N(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [14]),
+	.A2_N(n_68525),
+	.B1(n_4583),
+	.B2(n_70970),
+	.Y(n_10828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g988914 (
+	.A1_N(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [22]),
+	.A2_N(n_68525),
+	.B1(n_4726),
+	.B2(n_70970),
+	.Y(n_10827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g988915 (
+	.A1_N(n_68525),
+	.A2_N(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [28]),
+	.B1(n_4581),
+	.B2(n_70970),
+	.Y(n_10826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g988916 (
+	.A1(n_10476),
+	.A2(n_8602),
+	.B1(n_9169),
+	.B2(soc_top_u_top_u_core_instr_rdata_id[3]),
+	.C1(n_9884),
+	.X(n_10825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g988917 (
+	.A1(n_2178),
+	.A2(n_10566),
+	.B1(n_108),
+	.B2(n_2179),
+	.Y(n_10824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g988918 (
+	.A1(n_7166),
+	.A2(n_10452),
+	.B1(n_77417),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[NX] ),
+	.X(n_10823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g988919 (
+	.A1(n_35317),
+	.A2(n_10474),
+	.B1(n_7620),
+	.B2(soc_top_u_top_u_core_csr_mtvec[14]),
+	.X(n_10822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g988920 (
+	.A1(n_35317),
+	.A2(n_10472),
+	.B1(n_7620),
+	.B2(soc_top_u_top_u_core_csr_mtvec[10]),
+	.X(n_10821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g988921 (
+	.A1(n_35317),
+	.A2(n_10470),
+	.B1(n_7620),
+	.B2(soc_top_u_top_u_core_csr_mtvec[9]),
+	.X(n_10820), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g988922 (
+	.A1(n_4552),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[20]),
+	.B1(n_3535),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [52]),
+	.C1(n_10691),
+	.Y(n_10955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g988923 (
+	.A1(n_9228),
+	.A2(n_10207),
+	.B1(n_9903),
+	.B2(n_9217),
+	.C1(n_10246),
+	.Y(n_10954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g988925 (
+	.A1(n_4669),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[2]),
+	.B1(n_4096),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [2]),
+	.C1(n_10661),
+	.Y(n_10951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g988926 (
+	.A1(n_4669),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[7]),
+	.B1(n_4552),
+	.B2(soc_top_u_top_u_core_cs_registers_i_mtval_q[7]),
+	.C1(n_10660),
+	.Y(n_10950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g988927 (
+	.A1(n_4275),
+	.A2(soc_top_u_top_u_core_csr_mtvec[12]),
+	.B1(n_3960),
+	.B2(soc_top_u_top_u_core_csr_mepc[12]),
+	.C1(n_10659),
+	.Y(n_10949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g988928 (
+	.A(n_10552),
+	.B(n_7190),
+	.C(n_1528),
+	.Y(n_10948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g988930 (
+	.A1_N(n_1496),
+	.A2_N(n_29840),
+	.B1(n_2074),
+	.B2(n_10481),
+	.X(n_10946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g988931 (
+	.A1_N(n_1496),
+	.A2_N(n_29849),
+	.B1(n_2057),
+	.B2(n_10577),
+	.X(n_10944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g988932 (
+	.A1_N(n_1496),
+	.A2_N(n_29833),
+	.B1(n_2053),
+	.B2(n_10579),
+	.X(n_10942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g988933 (
+	.A1_N(n_1496),
+	.A2_N(n_29835),
+	.B1(n_2099),
+	.B2(n_10578),
+	.X(n_10940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g988934 (
+	.A(n_10815),
+	.Y(n_10814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g988935 (
+	.A(soc_top_u_uart_u_uart_core_fifo_read_size[6]),
+	.B(n_10144),
+	.COUT(n_10812),
+	.SUM(n_10813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g988936 (
+	.A(n_10446),
+	.B(n_9944),
+	.Y(n_10811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988937 (
+	.A(n_10453),
+	.B(n_35327),
+	.Y(n_10810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988938 (
+	.A(n_10453),
+	.B(n_35334),
+	.Y(n_10809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988939 (
+	.A(n_10453),
+	.B(n_35333),
+	.Y(n_10808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988940 (
+	.A(n_10458),
+	.B(n_35328),
+	.Y(n_10807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988941 (
+	.A(n_10460),
+	.B(n_35328),
+	.Y(n_10806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988942 (
+	.A(n_10462),
+	.B(n_35328),
+	.Y(n_10805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988943 (
+	.A(n_10464),
+	.B(n_35328),
+	.Y(n_10804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988944 (
+	.A(n_10466),
+	.B(n_35328),
+	.Y(n_10803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988945 (
+	.A(n_10469),
+	.B(n_35328),
+	.Y(n_10802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988946 (
+	.A(n_10455),
+	.B(n_35328),
+	.Y(n_10801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988947 (
+	.A(n_10457),
+	.B(n_35328),
+	.Y(n_10800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988948 (
+	.A(n_10458),
+	.B(n_35327),
+	.Y(n_10799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988949 (
+	.A(n_10460),
+	.B(n_35327),
+	.Y(n_10798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988950 (
+	.A(n_10462),
+	.B(n_35327),
+	.Y(n_10797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988951 (
+	.A(n_10464),
+	.B(n_35327),
+	.Y(n_10796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988952 (
+	.A(n_10466),
+	.B(n_35327),
+	.Y(n_10795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988953 (
+	.A(n_10469),
+	.B(n_35327),
+	.Y(n_10794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988954 (
+	.A(n_10455),
+	.B(n_35327),
+	.Y(n_10793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988955 (
+	.A(n_10457),
+	.B(n_35327),
+	.Y(n_10792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988956 (
+	.A(n_10458),
+	.B(n_35334),
+	.Y(n_10791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988957 (
+	.A(n_10460),
+	.B(n_35334),
+	.Y(n_10790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988958 (
+	.A(n_10462),
+	.B(n_35334),
+	.Y(n_10789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988959 (
+	.A(n_10464),
+	.B(n_35334),
+	.Y(n_10788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988960 (
+	.A(n_10466),
+	.B(n_35334),
+	.Y(n_10787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988961 (
+	.A(n_10469),
+	.B(n_35334),
+	.Y(n_10786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988962 (
+	.A(n_10455),
+	.B(n_35334),
+	.Y(n_10785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988963 (
+	.A(n_10457),
+	.B(n_35334),
+	.Y(n_10784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988964 (
+	.A(n_10458),
+	.B(n_35333),
+	.Y(n_10783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988965 (
+	.A(n_10460),
+	.B(n_35333),
+	.Y(n_10782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988966 (
+	.A(n_10462),
+	.B(n_35333),
+	.Y(n_10781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988967 (
+	.A(n_10464),
+	.B(n_35333),
+	.Y(n_10780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988968 (
+	.A(n_10466),
+	.B(n_35333),
+	.Y(n_10779), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988969 (
+	.A(n_10469),
+	.B(n_35333),
+	.Y(n_10778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988970 (
+	.A(n_10455),
+	.B(n_35333),
+	.Y(n_10777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988971 (
+	.A(n_10457),
+	.B(n_35333),
+	.Y(n_10776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988972 (
+	.A(n_10475),
+	.B(n_35328),
+	.Y(n_10775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988973 (
+	.A(n_10473),
+	.B(n_35328),
+	.Y(n_10774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g988974 (
+	.A(n_10557),
+	.B(n_9936),
+	.Y(n_10773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g988975 (
+	.A(n_10561),
+	.B(n_9942),
+	.Y(n_10772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g988976 (
+	.A(n_10562),
+	.B(n_9943),
+	.Y(n_10771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988977 (
+	.A1(n_722),
+	.A2(n_7632),
+	.B1(n_9787),
+	.C1(n_10563),
+	.Y(n_10770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988978 (
+	.A1(n_726),
+	.A2(n_7632),
+	.B1(n_9796),
+	.C1(n_10564),
+	.Y(n_10769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988979 (
+	.A1(n_145),
+	.A2(n_7632),
+	.B1(n_9797),
+	.C1(n_10381),
+	.Y(n_10768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988980 (
+	.A1(n_719),
+	.A2(n_7632),
+	.B1(n_9798),
+	.C1(n_10442),
+	.Y(n_10767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988981 (
+	.A1(FE_DBTN9_n_87477),
+	.A2(n_7632),
+	.B1(n_9733),
+	.C1(n_10444),
+	.Y(n_10766), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g988982 (
+	.A(n_10441),
+	.B(n_9945),
+	.Y(n_10765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g988983 (
+	.A(n_10440),
+	.B(n_9998),
+	.Y(n_10764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g988984 (
+	.A(n_10438),
+	.B(n_9948),
+	.Y(n_10763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g988985 (
+	.A(n_10437),
+	.B(n_9949),
+	.Y(n_10762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g988986 (
+	.A(n_10436),
+	.B(n_10002),
+	.Y(n_10761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g988987 (
+	.A(n_10435),
+	.B(n_9950),
+	.Y(n_10760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g988988 (
+	.A(n_10434),
+	.B(n_10003),
+	.Y(n_10759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g988989 (
+	.A(n_10433),
+	.B(n_10004),
+	.Y(n_10758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988990 (
+	.A(soc_top_u_top_u_core_pc_set),
+	.B(n_10421),
+	.Y(n_10757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988991 (
+	.A(n_10471),
+	.B(n_35328),
+	.Y(n_10756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988992 (
+	.A(n_2211),
+	.B(n_10484),
+	.Y(n_10755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988993 (
+	.A(n_10475),
+	.B(n_35327),
+	.Y(n_10754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g988994 (
+	.A(n_9578),
+	.B_N(n_10568),
+	.Y(n_10753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g988995 (
+	.A(n_10560),
+	.B(n_9937),
+	.Y(n_10752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988996 (
+	.A1(n_720),
+	.A2(n_7632),
+	.B1(n_9855),
+	.C1(n_10447),
+	.Y(n_10751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g988997 (
+	.A(n_10473),
+	.B(n_35327),
+	.Y(n_10750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988998 (
+	.A1(n_705),
+	.A2(n_7632),
+	.B1(n_9858),
+	.C1(n_10445),
+	.Y(n_10749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g988999 (
+	.A1(n_111),
+	.A2(n_7632),
+	.B1(n_9859),
+	.C1(n_10443),
+	.Y(n_10748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989000 (
+	.A(n_10439),
+	.B(n_9946),
+	.Y(n_10747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g989001 (
+	.A1(n_598),
+	.A2(n_7632),
+	.B1(n_9805),
+	.C1(n_10555),
+	.Y(n_10746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g989002 (
+	.A1(n_32),
+	.A2(n_7632),
+	.B1(n_9843),
+	.C1(n_10559),
+	.Y(n_10745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g989003 (
+	.A1(n_74746),
+	.A2(n_7632),
+	.B1(n_9803),
+	.C1(n_10420),
+	.Y(n_10744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g989004 (
+	.A(n_10569),
+	.B(n_1501),
+	.Y(n_10743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g989005 (
+	.A1(FE_DBTN115_n_72188),
+	.A2(n_7632),
+	.B1(n_9842),
+	.C1(n_10558),
+	.Y(n_10742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g989006 (
+	.A1(n_23),
+	.A2(n_7632),
+	.B1(n_9840),
+	.C1(n_10424),
+	.Y(n_10741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g989007 (
+	.A1(n_589),
+	.A2(n_7632),
+	.B1(n_9841),
+	.C1(n_10556),
+	.Y(n_10740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g989008 (
+	.A1(n_16),
+	.A2(n_7632),
+	.B1(n_9802),
+	.C1(n_10554),
+	.Y(n_10739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989009 (
+	.A1(n_4375),
+	.A2(n_10267),
+	.B1(soc_top_u_top_u_core_fp_alu_operator[2]),
+	.Y(n_10738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989010 (
+	.A(n_10573),
+	.B(n_10412),
+	.Y(n_10737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g989013 (
+	.A(n_10471),
+	.B(n_35333),
+	.Y(n_10734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g989014 (
+	.A(n_10473),
+	.B(n_35333),
+	.Y(n_10733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g989015 (
+	.A(n_10475),
+	.B(n_35333),
+	.Y(n_10732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g989016 (
+	.A(n_10471),
+	.B(n_35334),
+	.Y(n_10731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g989017 (
+	.A(n_10473),
+	.B(n_35334),
+	.Y(n_10730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g989018 (
+	.A(n_10475),
+	.B(n_35334),
+	.Y(n_10729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g989019 (
+	.A(n_10471),
+	.B(n_35327),
+	.Y(n_10728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989056 (
+	.A(n_10476),
+	.B(n_1240),
+	.Y(n_10817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g989057 (
+	.A(soc_top_u_spi_host_spi_host_clgen_cnt[6]),
+	.B(n_10150),
+	.Y(n_10816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g989058 (
+	.A_N(soc_top_main_swith_host_lsu_num_req_outstanding[6]),
+	.B(n_10586),
+	.Y(n_10815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g989070 (
+	.A(n_10720),
+	.Y(n_10721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g989071 (
+	.A(n_10712),
+	.Y(n_10711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g989072 (
+	.A(n_10710),
+	.Y(n_10709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g989073 (
+	.A(n_10708),
+	.Y(n_10707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g989074 (
+	.A(n_10706),
+	.Y(n_10705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g989075 (
+	.A(n_10704),
+	.Y(n_10703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g989076 (
+	.A(n_10702),
+	.Y(n_10701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g989077 (
+	.A(n_10453),
+	.B(n_35328),
+	.Y(n_10698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g989078 (
+	.A(n_10198),
+	.B(n_9904),
+	.C(n_9405),
+	.D(n_9592),
+	.Y(n_10697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g989079 (
+	.A(soc_top_u_uart_u_uart_core_write_fifo_waddr[8]),
+	.B(n_10312),
+	.Y(n_10696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g989080 (
+	.A1(n_9587),
+	.A2(n_8585),
+	.B1(n_10204),
+	.B2(n_9897),
+	.C1(n_8593),
+	.Y(n_10695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989084 (
+	.A1(n_4669),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[20]),
+	.B1(n_3537),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [52]),
+	.C1(n_10279),
+	.X(n_10691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g989085 (
+	.A(n_9935),
+	.B(n_10543),
+	.Y(n_10690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989086 (
+	.A1(n_1509),
+	.A2(n_10226),
+	.B1(n_4612),
+	.Y(n_10689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2111o_1 g989087 (
+	.A1(soc_top_u_pwm_pwm_core_period_2[9]),
+	.A2(n_724),
+	.B1(n_1414),
+	.C1(n_1948),
+	.D1(n_10175),
+	.X(n_10688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g989088 (
+	.A1(n_1572),
+	.A2(n_1942),
+	.B1(n_3822),
+	.C1(n_10170),
+	.Y(n_10687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g989089 (
+	.A(n_8502),
+	.B(n_8855),
+	.C(n_8489),
+	.D(n_10536),
+	.Y(n_10686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989090 (
+	.A1(n_75441),
+	.A2(n_80788),
+	.B1(n_9877),
+	.B2(n_80790),
+	.C1(n_3975),
+	.X(n_10685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989091 (
+	.A1(n_75441),
+	.A2(n_91432),
+	.B1(n_9877),
+	.B2(n_80221),
+	.C1(n_3975),
+	.X(n_10684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g989092 (
+	.A1(n_9229),
+	.A2(n_9930),
+	.B1(n_10315),
+	.C1(n_10413),
+	.Y(n_10683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g989093 (
+	.A1(n_9592),
+	.A2(n_1891),
+	.B1(n_68561),
+	.C1(n_35311),
+	.D1(n_9973),
+	.Y(n_10682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g989094 (
+	.A1(n_2207),
+	.A2(n_7134),
+	.B1(n_8499),
+	.C1(n_8732),
+	.D1(n_10432),
+	.Y(n_10681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g989095 (
+	.A1(n_9169),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[2]),
+	.B1(n_9884),
+	.C1(n_10317),
+	.Y(n_10680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g989096 (
+	.A1(n_77415),
+	.A2(n_10255),
+	.B1(n_77417),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[OF] ),
+	.X(n_10679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989097 (
+	.A1(n_76866),
+	.A2(n_87514),
+	.B1(n_10063),
+	.B2(n_61337),
+	.Y(n_10678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g989099 (
+	.A(\soc_top_timer0_reg2hw[compare_upper0_0][qe] ),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][qe] ),
+	.C(n_10429),
+	.Y(n_10676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g989100 (
+	.A1(n_9882),
+	.A2(n_8595),
+	.A3(n_69225),
+	.B1(n_10430),
+	.Y(n_10675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g989102 (
+	.A1(n_10078),
+	.A2(n_10076),
+	.B1(n_8587),
+	.C1(n_9878),
+	.Y(n_10673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g989103 (
+	.A1(n_10067),
+	.A2(n_8623),
+	.B1(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
+	.B2(n_9169),
+	.C1(n_10271),
+	.C2(n_8585),
+	.Y(n_10672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g989104 (
+	.A1(n_8603),
+	.A2(n_35310),
+	.B1(n_8598),
+	.B2(n_9599),
+	.C1(n_10573),
+	.Y(n_10671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989106 (
+	.A1(n_9891),
+	.A2(n_69225),
+	.B1(n_9169),
+	.B2(soc_top_u_top_u_core_rf_raddr_b[2]),
+	.C1(n_10574),
+	.X(n_10669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g989107 (
+	.A1(n_10327),
+	.A2(n_1889),
+	.B1(\soc_top_lsu_to_xbar[a_address] [21]),
+	.B2(n_7625),
+	.C1(n_1229),
+	.C2(soc_top_u_top_u_core_rf_wdata_fwd_wb[21]),
+	.Y(n_10668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g989110 (
+	.A1(n_61021),
+	.A2(n_75441),
+	.B1(n_69916),
+	.B2(n_9877),
+	.C1(n_77402),
+	.C2(n_7140),
+	.Y(n_10665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g989111 (
+	.A1(\soc_top_u_top_u_core_fp_operands[0] [28]),
+	.A2(n_75441),
+	.B1(n_84501),
+	.B2(n_9877),
+	.C1(n_37623),
+	.C2(n_7140),
+	.Y(n_10664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g989114 (
+	.A1(n_3537),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [34]),
+	.B1(n_9747),
+	.C1(n_10235),
+	.X(n_10661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989115 (
+	.A1(n_4094),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [7]),
+	.B1(n_4096),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [7]),
+	.C1(n_10404),
+	.X(n_10660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989116 (
+	.A1(n_4669),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[12]),
+	.B1(n_4552),
+	.B2(soc_top_u_top_u_core_cs_registers_i_mtval_q[12]),
+	.C1(n_10402),
+	.X(n_10659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g989118 (
+	.A1(n_9217),
+	.A2(n_10318),
+	.B1_N(n_10331),
+	.Y(n_10657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989119 (
+	.A1(n_68317),
+	.A2(n_2986),
+	.B1(n_10371),
+	.Y(n_10656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989120 (
+	.A1(FE_DBTN39_n_68259),
+	.A2(n_2986),
+	.B1(n_10370),
+	.Y(n_10655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989121 (
+	.A1(FE_DBTN37_n_68466),
+	.A2(n_2986),
+	.B1(n_10369),
+	.Y(n_10654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989122 (
+	.A1(FE_DBTN164_soc_top_xbar_to_timer_a_data_27),
+	.A2(n_2986),
+	.B1(n_10368),
+	.Y(n_10653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989123 (
+	.A1(n_68216),
+	.A2(n_2986),
+	.B1(n_10367),
+	.Y(n_10652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989124 (
+	.A1(n_586),
+	.A2(n_2986),
+	.B1(n_10366),
+	.Y(n_10651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989125 (
+	.A1(n_18),
+	.A2(n_2986),
+	.B1(n_10365),
+	.Y(n_10650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989126 (
+	.A1(n_68266),
+	.A2(n_2986),
+	.B1(n_10364),
+	.Y(n_10649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989127 (
+	.A1(FE_DBTN2_n_93141),
+	.A2(n_2986),
+	.B1(n_10379),
+	.Y(n_10648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989128 (
+	.A1(n_581),
+	.A2(n_2986),
+	.B1(n_10377),
+	.Y(n_10647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989129 (
+	.A1(FE_DBTN116_n_72527),
+	.A2(n_2986),
+	.B1(n_10378),
+	.Y(n_10646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989130 (
+	.A1(n_92650),
+	.A2(n_2986),
+	.B1(n_10376),
+	.Y(n_10645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989131 (
+	.A1(FE_DBTN163_soc_top_xbar_to_timer_a_data_21),
+	.A2(n_2986),
+	.B1(n_10374),
+	.Y(n_10644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989132 (
+	.A1(n_68213),
+	.A2(n_2986),
+	.B1(n_10375),
+	.Y(n_10643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989133 (
+	.A1(FE_DBTN38_n_72887),
+	.A2(n_2986),
+	.B1(n_10372),
+	.Y(n_10642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989134 (
+	.A1(n_68170),
+	.A2(n_2986),
+	.B1(n_10373),
+	.Y(n_10641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989135 (
+	.A1(FE_DBTN41_n_70004),
+	.A2(n_2986),
+	.B1(n_10388),
+	.Y(n_10640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989136 (
+	.A1(FE_DBTN40_n_84431),
+	.A2(n_2986),
+	.B1(n_10389),
+	.Y(n_10639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989137 (
+	.A1(FE_DBTN124_n_74612),
+	.A2(n_2986),
+	.B1(n_10383),
+	.Y(n_10638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989138 (
+	.A1(FE_DBTN117_n_72176),
+	.A2(n_2986),
+	.B1(n_10382),
+	.Y(n_10637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989139 (
+	.A1(FE_DBTN162_soc_top_xbar_to_timer_a_data_14),
+	.A2(n_2986),
+	.B1(n_10380),
+	.Y(n_10636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989140 (
+	.A1(n_68065),
+	.A2(n_2986),
+	.B1(n_10448),
+	.Y(n_10635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989141 (
+	.A1(n_68317),
+	.A2(n_2132),
+	.B1(n_10338),
+	.Y(n_10634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989142 (
+	.A1(FE_DBTN39_n_68259),
+	.A2(n_2132),
+	.B1(n_10339),
+	.Y(n_10633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989143 (
+	.A1(FE_DBTN37_n_68466),
+	.A2(n_2132),
+	.B1(n_10337),
+	.Y(n_10632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989144 (
+	.A1(FE_DBTN164_soc_top_xbar_to_timer_a_data_27),
+	.A2(n_2132),
+	.B1(n_10336),
+	.Y(n_10631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989145 (
+	.A1(n_68216),
+	.A2(n_2132),
+	.B1(n_10335),
+	.Y(n_10630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989146 (
+	.A1(n_586),
+	.A2(n_2132),
+	.B1(n_10397),
+	.Y(n_10629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989147 (
+	.A1(n_18),
+	.A2(n_2132),
+	.B1(n_10403),
+	.Y(n_10628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989148 (
+	.A1(n_68266),
+	.A2(n_2132),
+	.B1(n_10411),
+	.Y(n_10627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989149 (
+	.A1(FE_DBTN3_n_93122),
+	.A2(n_2986),
+	.B1(n_10387),
+	.Y(n_10626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989150 (
+	.A1(n_68020),
+	.A2(n_2986),
+	.B1(n_10386),
+	.Y(n_10625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989151 (
+	.A1(n_576),
+	.A2(n_2986),
+	.B1(n_10385),
+	.Y(n_10624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989152 (
+	.A1(n_6),
+	.A2(n_2986),
+	.B1(n_10384),
+	.Y(n_10623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989153 (
+	.A1(FE_DBTN2_n_93141),
+	.A2(n_2132),
+	.B1(n_10347),
+	.Y(n_10622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989154 (
+	.A1(n_581),
+	.A2(n_2132),
+	.B1(n_10345),
+	.Y(n_10621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989155 (
+	.A1(FE_DBTN116_n_72527),
+	.A2(n_2132),
+	.B1(n_10346),
+	.Y(n_10620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989156 (
+	.A1(n_68213),
+	.A2(n_2132),
+	.B1(n_10343),
+	.Y(n_10619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989157 (
+	.A1(n_92650),
+	.A2(n_2132),
+	.B1(n_10344),
+	.Y(n_10618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989158 (
+	.A1(FE_DBTN163_soc_top_xbar_to_timer_a_data_21),
+	.A2(n_2132),
+	.B1(n_10342),
+	.Y(n_10617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989159 (
+	.A1(FE_DBTN38_n_72887),
+	.A2(n_2132),
+	.B1(n_10341),
+	.Y(n_10616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989160 (
+	.A1(n_68170),
+	.A2(n_2132),
+	.B1(n_10340),
+	.Y(n_10615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989161 (
+	.A1(FE_DBTN118_n_84455),
+	.A2(n_2986),
+	.B1(n_10390),
+	.Y(n_10614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989162 (
+	.A1(FE_DBTN40_n_84431),
+	.A2(n_2132),
+	.B1(n_10357),
+	.Y(n_10613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989163 (
+	.A1(FE_DBTN41_n_70004),
+	.A2(n_2132),
+	.B1(n_10356),
+	.Y(n_10612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989164 (
+	.A1(FE_DBTN124_n_74612),
+	.A2(n_2132),
+	.B1(n_10351),
+	.Y(n_10611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989165 (
+	.A1(FE_DBTN117_n_72176),
+	.A2(n_2132),
+	.B1(n_10350),
+	.Y(n_10610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989166 (
+	.A1(n_68065),
+	.A2(n_2132),
+	.B1(n_10348),
+	.Y(n_10609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989167 (
+	.A1(FE_DBTN162_soc_top_xbar_to_timer_a_data_14),
+	.A2(n_2132),
+	.B1(n_10349),
+	.Y(n_10608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g989168 (
+	.A1(n_8607),
+	.A2(n_10316),
+	.B1(n_9740),
+	.B2(n_9887),
+	.Y(n_10607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989169 (
+	.A1(FE_DBTN125_n_84427),
+	.A2(n_2986),
+	.B1(n_10392),
+	.Y(n_10606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989170 (
+	.A1(FE_DBTN3_n_93122),
+	.A2(n_2132),
+	.B1(n_10355),
+	.Y(n_10605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989171 (
+	.A1(n_576),
+	.A2(n_2132),
+	.B1(n_10353),
+	.Y(n_10604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989172 (
+	.A1(n_68020),
+	.A2(n_2132),
+	.B1(n_10354),
+	.Y(n_10603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989173 (
+	.A1(n_6),
+	.A2(n_2132),
+	.B1(n_10352),
+	.Y(n_10602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989174 (
+	.A1(FE_DBTN119_n_87578),
+	.A2(n_2986),
+	.B1(n_10393),
+	.Y(n_10601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989175 (
+	.A1(FE_DBTN126_n_70008),
+	.A2(n_2986),
+	.B1(n_10391),
+	.Y(n_10600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989176 (
+	.A1(FE_DBTN118_n_84455),
+	.A2(n_2132),
+	.B1(n_10358),
+	.Y(n_10599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989177 (
+	.A1(n_1),
+	.A2(n_2986),
+	.B1(n_10394),
+	.Y(n_10598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989178 (
+	.A1(FE_DBTN125_n_84427),
+	.A2(n_2132),
+	.B1(n_10360),
+	.Y(n_10597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989179 (
+	.A1(FE_DBTN120_n_84419),
+	.A2(n_2986),
+	.B1(n_10395),
+	.Y(n_10596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989180 (
+	.A1(FE_DBTN119_n_87578),
+	.A2(n_2132),
+	.B1(n_10361),
+	.Y(n_10595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989181 (
+	.A1(FE_DBTN126_n_70008),
+	.A2(n_2132),
+	.B1(n_10359),
+	.Y(n_10594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g989182 (
+	.A(soc_top_u_spi_host_spi_host_clgen_cnt[13]),
+	.B(n_9906),
+	.X(n_10593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989183 (
+	.A1(n_1),
+	.A2(n_2132),
+	.B1(n_10362),
+	.Y(n_10592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989184 (
+	.A1(FE_DBTN120_n_84419),
+	.A2(n_2132),
+	.B1(n_10363),
+	.Y(n_10591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g989186 (
+	.A1(n_10249),
+	.A2(n_9588),
+	.B1(n_9217),
+	.Y(n_10589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g989187 (
+	.A1(n_10315),
+	.A2(n_8607),
+	.B1(n_10234),
+	.Y(n_10588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g989188 (
+	.A1(n_10251),
+	.A2(n_1889),
+	.B1(soc_top_u_top_u_core_alu_adder_result_ex[15]),
+	.B2(n_7625),
+	.C1(n_1229),
+	.C2(soc_top_u_top_u_core_rf_wdata_fwd_wb[15]),
+	.Y(n_10587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g989190 (
+	.A1(n_4094),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [6]),
+	.B1(n_4096),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [6]),
+	.C1(n_10428),
+	.Y(n_10720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g989191 (
+	.A1(n_4567),
+	.A2(soc_top_u_top_u_core_fp_frm_csr[0]),
+	.B1(n_4558),
+	.B2(soc_top_u_top_u_core_csr_mtvec[5]),
+	.C1(n_10542),
+	.Y(n_10719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g989192 (
+	.A1(n_4558),
+	.A2(soc_top_u_top_u_core_csr_mtvec[31]),
+	.B1(n_4553),
+	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[31]),
+	.C1(n_10549),
+	.Y(n_10718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g989193 (
+	.A1(n_4094),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [11]),
+	.B1(n_4096),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [11]),
+	.C1(n_10427),
+	.Y(n_10717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g989194 (
+	.A1(n_4696),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mcause_q[4]),
+	.B1(n_2146),
+	.B2(soc_top_u_top_u_core_csr_depc[4]),
+	.C1(n_10548),
+	.Y(n_10716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g989196 (
+	.A1(n_10315),
+	.A2(n_9609),
+	.B1(n_9217),
+	.X(n_10714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 g989198 (
+	.A1_N(n_2114),
+	.A2_N(n_10332),
+	.B1(n_1496),
+	.B2(n_29857),
+	.X(n_10712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 g989199 (
+	.A1_N(n_2073),
+	.A2_N(n_10333),
+	.B1(n_1496),
+	.B2(n_29862),
+	.X(n_10710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g989200 (
+	.A1_N(n_1991),
+	.A2_N(n_10326),
+	.B1(n_1495),
+	.B2(n_741),
+	.X(n_10708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 g989201 (
+	.A1_N(n_2069),
+	.A2_N(n_10325),
+	.B1(n_1496),
+	.B2(n_29859),
+	.X(n_10706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g989202 (
+	.A1_N(n_1496),
+	.A2_N(n_29847),
+	.B1(n_2081),
+	.B2(n_10250),
+	.X(n_10704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g989203 (
+	.A1_N(n_1496),
+	.A2_N(n_29853),
+	.B1(n_2080),
+	.B2(n_10328),
+	.X(n_10702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g989207 (
+	.A(n_366),
+	.B(n_9919),
+	.COUT(n_10586),
+	.SUM(n_10569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g989208 (
+	.A(\soc_top_timer0_gen_harts[0].u_core_tick_count [5]),
+	.B(n_9921),
+	.COUT(n_10567),
+	.SUM(n_10568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g989209 (
+	.A(soc_top_u_top_u_core_fp_alu_op_mod),
+	.B(n_9898),
+	.COUT(n_10585),
+	.SUM(n_10566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g989211 (
+	.A1(soc_top_u_top_u_core_alu_adder_result_ex[14]),
+	.A2(n_9574),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [14]),
+	.B2(n_9870),
+	.C1(n_7128),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[0] [13]),
+	.Y(n_10564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g989212 (
+	.A1(\soc_top_lsu_to_xbar[a_address] [13]),
+	.A2(n_9574),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [13]),
+	.B2(n_9870),
+	.C1(n_7128),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[0] [12]),
+	.Y(n_10563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g989213 (
+	.A1(\soc_top_lsu_to_xbar[a_address] [12]),
+	.A2(n_9574),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [12]),
+	.B2(n_9870),
+	.C1(n_7128),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[0] [11]),
+	.Y(n_10562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g989214 (
+	.A1(\soc_top_lsu_to_xbar[a_address] [11]),
+	.A2(n_9574),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [11]),
+	.B2(n_9870),
+	.C1(n_7128),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[0] [10]),
+	.Y(n_10561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g989215 (
+	.A1(n_69940),
+	.A2(n_9574),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [10]),
+	.B2(n_9870),
+	.C1(n_7128),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[0] [9]),
+	.Y(n_10560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g989216 (
+	.A1(\soc_top_lsu_to_xbar[a_address] [9]),
+	.A2(n_9574),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [9]),
+	.B2(n_9870),
+	.C1(n_7128),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[0] [8]),
+	.Y(n_10559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g989217 (
+	.A1(n_77013),
+	.A2(n_9574),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [8]),
+	.B2(n_9870),
+	.C1(n_7128),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[0] [7]),
+	.Y(n_10558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g989218 (
+	.A1(n_72188),
+	.A2(n_9574),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [7]),
+	.B2(n_9870),
+	.C1(n_7128),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[0] [6]),
+	.Y(n_10557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g989219 (
+	.A1(\soc_top_xbar_to_timer[a_address] [6]),
+	.A2(n_9574),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [6]),
+	.B2(n_9870),
+	.C1(n_7128),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[0] [5]),
+	.Y(n_10556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g989220 (
+	.A1(n_72952),
+	.A2(n_9574),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [4]),
+	.B2(n_9870),
+	.C1(n_7128),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[0] [3]),
+	.Y(n_10555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g989221 (
+	.A1(\soc_top_xbar_to_timer[a_address] [3]),
+	.A2(n_9574),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [3]),
+	.B2(n_9870),
+	.C1(n_7128),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[0] [2]),
+	.Y(n_10554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989222 (
+	.A1(n_9870),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [1]),
+	.B1(n_7138),
+	.B2(n_2181),
+	.C1(n_10186),
+	.X(n_10553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g989223 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [24]),
+	.A2(n_8570),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [25]),
+	.B2(n_9872),
+	.C1(n_8581),
+	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [26]),
+	.Y(n_10552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 g989224 (
+	.A1(n_9587),
+	.A2(n_9897),
+	.A3(n_9232),
+	.A4(n_8584),
+	.B1(n_9594),
+	.Y(n_10551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989226 (
+	.A1(n_3537),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [63]),
+	.B1(n_3535),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [63]),
+	.C1(n_10209),
+	.X(n_10549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989227 (
+	.A1(n_4558),
+	.A2(soc_top_u_top_u_core_csr_mtvec[4]),
+	.B1(n_3535),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [36]),
+	.C1(n_10278),
+	.X(n_10548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989229 (
+	.A1(n_2735),
+	.A2(n_36311),
+	.B1(n_3974),
+	.Y(n_10546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g989230 (
+	.A1(n_1939),
+	.A2(n_1580),
+	.B1(n_10236),
+	.Y(n_10545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g989231 (
+	.A1(n_9976),
+	.A2(n_2689),
+	.B1(n_2079),
+	.B2(soc_top_u_pwm_pwm_core_period_counter2[10]),
+	.C1(n_6685),
+	.Y(n_10544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g989232 (
+	.A(n_10171),
+	.B(n_9738),
+	.C(n_9785),
+	.Y(n_10543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g989233 (
+	.A(n_9786),
+	.B(n_7471),
+	.C(n_6911),
+	.D(n_4489),
+	.Y(n_10542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o41ai_1 g989234 (
+	.A1(soc_top_intr_controller_ip[35]),
+	.A2(FE_DBTN120_n_84419),
+	.A3(n_9901),
+	.A4(n_2195),
+	.B1(soc_top_intr_controller_u_gateway_ia[35]),
+	.Y(n_10541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o41ai_1 g989235 (
+	.A1(soc_top_intr_controller_ip[34]),
+	.A2(n_84419),
+	.A3(n_9901),
+	.A4(n_2195),
+	.B1(soc_top_intr_controller_u_gateway_ia[34]),
+	.Y(n_10540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o41ai_1 g989236 (
+	.A1(soc_top_intr_controller_ip[33]),
+	.A2(FE_DBTN120_n_84419),
+	.A3(n_9901),
+	.A4(n_2192),
+	.B1(soc_top_intr_controller_u_gateway_ia[33]),
+	.Y(n_10539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o41ai_1 g989237 (
+	.A1(n_84419),
+	.A2(soc_top_intr_controller_ip[32]),
+	.A3(n_9901),
+	.A4(n_2192),
+	.B1(soc_top_intr_controller_u_gateway_ia[32]),
+	.Y(n_10538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g989238 (
+	.A1(n_9980),
+	.A2(n_7173),
+	.B1(n_10244),
+	.X(n_10537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g989239 (
+	.A1(n_8640),
+	.A2(n_93140),
+	.B1(n_7623),
+	.C1(n_10012),
+	.D1(n_9461),
+	.Y(n_10536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989240 (
+	.A(n_10225),
+	.B(n_9981),
+	.Y(n_10535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989243 (
+	.A(n_10309),
+	.B(n_2674),
+	.Y(n_10532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g989249 (
+	.A_N(n_10244),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_RM_dly_S [2]),
+	.Y(n_10526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989250 (
+	.A(n_10302),
+	.B(n_2663),
+	.Y(n_10525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989251 (
+	.A(n_10301),
+	.B(n_2662),
+	.Y(n_10524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g989254 (
+	.A(soc_top_u_top_u_core_pc_set),
+	.B(n_10232),
+	.Y(n_10521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g989255 (
+	.A(soc_top_u_top_u_core_pc_set),
+	.B(n_10195),
+	.Y(n_10520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989256 (
+	.A(n_10299),
+	.B(n_2659),
+	.Y(n_10519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989257 (
+	.A(n_76866),
+	.B(n_58169),
+	.Y(n_10518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g989258 (
+	.A(soc_top_u_uart_u_uart_core_rx_fifo_rst),
+	.B_N(n_10311),
+	.Y(n_10517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g989259 (
+	.A_N(n_10310),
+	.B(soc_top_u_uart_u_uart_core_read_fifo_raddr[8]),
+	.Y(n_10516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g989260 (
+	.A(soc_top_u_uart_u_uart_core_tx_fifo_clear),
+	.B_N(n_10313),
+	.Y(n_10515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989262 (
+	.A(n_10296),
+	.B(n_2683),
+	.Y(n_10513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989263 (
+	.A(n_10297),
+	.B(n_2656),
+	.Y(n_10512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989265 (
+	.A(n_10283),
+	.B(n_2639),
+	.Y(n_10510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989266 (
+	.A(n_10282),
+	.B(n_2640),
+	.Y(n_10509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989267 (
+	.A(n_10284),
+	.B(n_2641),
+	.Y(n_10508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989268 (
+	.A(n_10285),
+	.B(n_2642),
+	.Y(n_10507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989269 (
+	.A(n_10286),
+	.B(n_2684),
+	.Y(n_10506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989270 (
+	.A(n_10280),
+	.B(n_2637),
+	.Y(n_10505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989271 (
+	.A(n_10287),
+	.B(n_2643),
+	.Y(n_10504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989272 (
+	.A(n_10281),
+	.B(n_2638),
+	.Y(n_10503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989275 (
+	.A(n_10289),
+	.B(n_2646),
+	.Y(n_10500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989276 (
+	.A(n_10291),
+	.B(n_2649),
+	.Y(n_10499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989277 (
+	.A(n_10295),
+	.B(n_2653),
+	.Y(n_10498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989278 (
+	.A(n_10293),
+	.B(n_2652),
+	.Y(n_10497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989279 (
+	.A1(n_9234),
+	.A2(n_4595),
+	.B1(n_9872),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [20]),
+	.C1(n_9475),
+	.X(n_10496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g989286 (
+	.A1(n_778),
+	.A2(n_9644),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [5]),
+	.B2(n_9607),
+	.C1(n_10066),
+	.Y(n_10495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g989288 (
+	.A1(n_723),
+	.A2(n_9772),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [3]),
+	.B2(n_9613),
+	.C1(n_10066),
+	.Y(n_10494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g989290 (
+	.A1(n_9762),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [4]),
+	.B1(n_10065),
+	.C1(n_9608),
+	.X(n_10493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989291 (
+	.A1(n_9234),
+	.A2(n_4596),
+	.B1(n_9872),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [19]),
+	.C1(n_9474),
+	.X(n_10492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g989292 (
+	.A(n_9223),
+	.B(n_10319),
+	.Y(n_10581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989293 (
+	.A1(n_9234),
+	.A2(n_4585),
+	.B1(n_9872),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [21]),
+	.C1(n_9476),
+	.X(n_10491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989294 (
+	.A1(n_9234),
+	.A2(n_4577),
+	.B1(n_9872),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [22]),
+	.C1(n_9477),
+	.X(n_10490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989295 (
+	.A1(n_8583),
+	.A2(n_4733),
+	.B1(n_9872),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [23]),
+	.C1(n_9478),
+	.X(n_10489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989296 (
+	.A1(n_8583),
+	.A2(n_4734),
+	.B1(n_9872),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [24]),
+	.C1(n_9479),
+	.X(n_10488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g989298 (
+	.A1(n_4669),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[1]),
+	.B1(n_4552),
+	.B2(soc_top_u_top_u_core_cs_registers_i_mtval_q[1]),
+	.C1(n_10277),
+	.Y(n_10579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g989299 (
+	.A1(n_4275),
+	.A2(soc_top_u_top_u_core_csr_mtvec[3]),
+	.B1(n_8924),
+	.C1(n_9979),
+	.Y(n_10578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g989300 (
+	.A1(n_3537),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [49]),
+	.B1(n_4559),
+	.B2(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[1]),
+	.C1(n_10220),
+	.Y(n_10577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989301 (
+	.A1(n_9761),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [6]),
+	.B1(n_9230),
+	.B2(n_4778),
+	.C1(n_10065),
+	.X(n_10487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g989302 (
+	.A1(n_9732),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [7]),
+	.B1(n_9230),
+	.B2(n_7195),
+	.C1(n_10065),
+	.Y(n_10486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g989303 (
+	.A1(n_10081),
+	.A2(n_8585),
+	.B1(n_10086),
+	.X(n_10576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989304 (
+	.A1(n_8581),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [19]),
+	.B1(n_8570),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [17]),
+	.C1(n_10169),
+	.X(n_10485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g989305 (
+	.A_N(soc_top_u_spi_host_spi_host_clgen_cnt[13]),
+	.B(n_9906),
+	.Y(n_10575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g989307 (
+	.A(n_10315),
+	.B(n_68561),
+	.Y(n_10574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g989308 (
+	.A(n_10252),
+	.B_N(n_10315),
+	.Y(n_10573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g989341 (
+	.A(n_76866),
+	.B(n_2590),
+	.X(n_10572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g989344 (
+	.A(n_10480),
+	.Y(n_10481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g989345 (
+	.A(n_10478),
+	.Y(n_10479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g989346 (
+	.A(n_10477),
+	.Y(n_10476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g989347 (
+	.A(n_10475),
+	.Y(n_10474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g989348 (
+	.A(n_10473),
+	.Y(n_10472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g989349 (
+	.A(n_10471),
+	.Y(n_10470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g989350 (
+	.A(n_10469),
+	.Y(n_10468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g989351 (
+	.A(n_10467),
+	.Y(n_10466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g989352 (
+	.A(n_10465),
+	.Y(n_10464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g989353 (
+	.A(n_10463),
+	.Y(n_10462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g989354 (
+	.A(n_10461),
+	.Y(n_10460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g989355 (
+	.A(n_10459),
+	.Y(n_10458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g989356 (
+	.A(n_10457),
+	.Y(n_10456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g989357 (
+	.A(n_10455),
+	.Y(n_10454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g989358 (
+	.A(n_10453),
+	.Y(n_10452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989360 (
+	.A1(n_10149),
+	.A2(n_31593),
+	.B1(n_10148),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [15]),
+	.Y(n_10448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g989361 (
+	.A1(n_71266),
+	.A2(n_9574),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [16]),
+	.B2(n_9870),
+	.C1(n_7128),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[0] [15]),
+	.Y(n_10447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g989362 (
+	.A1(n_70129),
+	.A2(n_9574),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [17]),
+	.B2(n_9870),
+	.C1(n_7128),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[0] [16]),
+	.Y(n_10446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g989363 (
+	.A1(n_87477),
+	.A2(n_9574),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [18]),
+	.B2(n_9870),
+	.C1(n_7128),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[0] [17]),
+	.Y(n_10445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g989364 (
+	.A1(\soc_top_lsu_to_xbar[a_address] [19]),
+	.A2(n_9574),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [19]),
+	.B2(n_9870),
+	.C1(n_7128),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[0] [18]),
+	.Y(n_10444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g989365 (
+	.A1(\soc_top_lsu_to_xbar[a_address] [20]),
+	.A2(n_9574),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [20]),
+	.B2(n_9870),
+	.C1(n_7128),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[0] [19]),
+	.Y(n_10443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g989366 (
+	.A1(\soc_top_lsu_to_xbar[a_address] [21]),
+	.A2(n_9574),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [21]),
+	.B2(n_9870),
+	.C1(n_7128),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[0] [20]),
+	.Y(n_10442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g989367 (
+	.A1(n_85991),
+	.A2(n_9574),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [22]),
+	.B2(n_9870),
+	.C1(n_7128),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[0] [21]),
+	.Y(n_10441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g989368 (
+	.A1(\soc_top_lsu_to_xbar[a_address] [23]),
+	.A2(n_9574),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [23]),
+	.B2(n_9870),
+	.C1(n_7128),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[0] [22]),
+	.Y(n_10440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g989369 (
+	.A1(n_74022),
+	.A2(n_9574),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [24]),
+	.B2(n_9870),
+	.C1(n_7128),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[0] [23]),
+	.Y(n_10439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g989370 (
+	.A1(\soc_top_lsu_to_xbar[a_address] [25]),
+	.A2(n_9574),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [25]),
+	.B2(n_9870),
+	.C1(n_7128),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[0] [24]),
+	.Y(n_10438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g989371 (
+	.A1(\soc_top_lsu_to_xbar[a_address] [26]),
+	.A2(n_9574),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [26]),
+	.B2(n_9870),
+	.C1(n_7128),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[0] [25]),
+	.Y(n_10437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g989372 (
+	.A1(n_85990),
+	.A2(n_9574),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [27]),
+	.B2(n_9870),
+	.C1(n_7128),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[0] [26]),
+	.Y(n_10436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g989373 (
+	.A1(n_69574),
+	.A2(n_9574),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [28]),
+	.B2(n_9870),
+	.C1(n_7128),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[0] [27]),
+	.Y(n_10435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g989374 (
+	.A1(n_71867),
+	.A2(n_9574),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [29]),
+	.B2(n_9870),
+	.C1(n_7128),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[0] [28]),
+	.Y(n_10434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g989375 (
+	.A1(\soc_top_lsu_to_xbar[a_address] [30]),
+	.A2(n_9574),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [30]),
+	.B2(n_9870),
+	.C1(n_7128),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[0] [29]),
+	.Y(n_10433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g989376 (
+	.A1(n_72440),
+	.A2(n_9574),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [31]),
+	.B2(n_9870),
+	.C1(n_36323),
+	.C2(\soc_top_lsu_to_xbar[a_address] [30]),
+	.Y(n_10432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g989377 (
+	.A1(n_3146),
+	.A2(n_1949),
+	.B1(n_10176),
+	.Y(n_10431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g989378 (
+	.A1(n_8603),
+	.A2(n_10075),
+	.B1(n_9241),
+	.B2(n_9584),
+	.C1(n_9609),
+	.Y(n_10430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g989379 (
+	.A0(n_2060),
+	.A1(n_16),
+	.S(n_9925),
+	.X(n_10429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g989380 (
+	.A(n_9986),
+	.B(n_8358),
+	.C(n_7461),
+	.D(n_7473),
+	.Y(n_10428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989381 (
+	.A1(n_3537),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [43]),
+	.B1(n_4669),
+	.B2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[11]),
+	.C1(n_10222),
+	.X(n_10427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g989382 (
+	.A(n_29638),
+	.B(n_29642),
+	.C(n_29640),
+	.D(n_9682),
+	.X(n_10426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g989383 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [17]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [18]),
+	.C(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [19]),
+	.D(n_9681),
+	.X(n_10425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g989384 (
+	.A1(n_69927),
+	.A2(n_9574),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [5]),
+	.B2(n_9870),
+	.C1(n_7128),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[0] [4]),
+	.Y(n_10424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g989385 (
+	.A_N(n_10076),
+	.B(n_9907),
+	.C(n_10078),
+	.Y(n_10423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g989386 (
+	.A1(n_8616),
+	.A2(n_9223),
+	.B1(n_8585),
+	.B2(n_9219),
+	.C1(n_10069),
+	.C2(n_69231),
+	.Y(n_10422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g989387 (
+	.A1(n_9915),
+	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_busy[0]),
+	.B1(n_9581),
+	.B2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_busy[1]),
+	.C1(n_9893),
+	.C2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_valid_q[0]),
+	.Y(n_10421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g989388 (
+	.A1(n_69931),
+	.A2(n_9574),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [2]),
+	.B2(n_9870),
+	.C1(n_7128),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[0] [1]),
+	.Y(n_10420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g989389 (
+	.A1(n_75441),
+	.A2(n_26214),
+	.B1(n_9877),
+	.B2(n_79223),
+	.X(n_10419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989390 (
+	.A1(n_9229),
+	.A2(n_9926),
+	.B1(n_9599),
+	.Y(n_10418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989391 (
+	.A1(n_10063),
+	.A2(n_58890),
+	.B1(n_7110),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [3]),
+	.Y(n_10417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g989392 (
+	.A1(n_8589),
+	.A2(n_9588),
+	.B1(n_10249),
+	.X(n_10416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989393 (
+	.A1(n_10063),
+	.A2(n_49541),
+	.B1(n_7110),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [2]),
+	.Y(n_10415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g989394 (
+	.A1(n_10079),
+	.A2(n_35310),
+	.B1(n_68561),
+	.Y(n_10414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989395 (
+	.A1(n_9223),
+	.A2(n_10080),
+	.B1(n_8599),
+	.Y(n_10413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989396 (
+	.A1(n_9219),
+	.A2(n_10069),
+	.B1(n_8599),
+	.Y(n_10412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989397 (
+	.A1(n_10147),
+	.A2(n_31577),
+	.B1(n_10146),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [31]),
+	.Y(n_10411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g989398 (
+	.A1(n_10089),
+	.A2(n_1889),
+	.B1(\soc_top_lsu_to_xbar[a_address] [9]),
+	.B2(n_7625),
+	.C1(n_1229),
+	.C2(soc_top_u_top_u_core_rf_wdata_fwd_wb[9]),
+	.Y(n_10410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989399 (
+	.A1(n_10090),
+	.A2(n_1888),
+	.B1(n_9102),
+	.Y(n_10409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g989400 (
+	.A1(n_10092),
+	.A2(n_1889),
+	.B1(soc_top_u_top_u_core_alu_adder_result_ex[14]),
+	.B2(n_7625),
+	.C1(n_1229),
+	.C2(soc_top_u_top_u_core_rf_wdata_fwd_wb[14]),
+	.Y(n_10408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g989401 (
+	.A1(n_10094),
+	.A2(n_1889),
+	.B1(n_71266),
+	.B2(n_7625),
+	.C1(n_1229),
+	.C2(soc_top_u_top_u_core_rf_wdata_fwd_wb[16]),
+	.Y(n_10407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g989402 (
+	.A1(n_10096),
+	.A2(n_1889),
+	.B1(n_87477),
+	.B2(n_7625),
+	.C1(n_1229),
+	.C2(soc_top_u_top_u_core_rf_wdata_fwd_wb[18]),
+	.Y(n_10406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g989403 (
+	.A1(n_10105),
+	.A2(n_1889),
+	.B1(\soc_top_lsu_to_xbar[a_address] [19]),
+	.B2(n_7625),
+	.C1(n_1229),
+	.C2(soc_top_u_top_u_core_rf_wdata_fwd_wb[19]),
+	.Y(n_10405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g989404 (
+	.A(n_9978),
+	.B(n_8359),
+	.C(n_4555),
+	.D(n_7475),
+	.Y(n_10404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989405 (
+	.A1(n_10147),
+	.A2(n_31576),
+	.B1(n_10146),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [30]),
+	.Y(n_10403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g989406 (
+	.A(n_9865),
+	.B(n_6699),
+	.C(n_7162),
+	.D(n_4036),
+	.Y(n_10402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989407 (
+	.A1(n_3314),
+	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[4]),
+	.B1(n_2594),
+	.B2(n_29921),
+	.C1(n_10045),
+	.X(n_10401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g989408 (
+	.A0(n_81664),
+	.A1(n_9938),
+	.S(n_9597),
+	.X(n_10400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g989409 (
+	.A(soc_top_u_spi_host_spi_host_clgen_cnt[9]),
+	.B(n_9603),
+	.X(n_10399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989411 (
+	.A1(n_10147),
+	.A2(n_31575),
+	.B1(n_10146),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [29]),
+	.Y(n_10397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989413 (
+	.A1(n_10149),
+	.A2(n_31578),
+	.B1(n_10148),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [0]),
+	.Y(n_10395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989414 (
+	.A1(n_10149),
+	.A2(n_31579),
+	.B1(n_10148),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [1]),
+	.Y(n_10394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989415 (
+	.A1(n_10149),
+	.A2(n_31580),
+	.B1(n_10148),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [2]),
+	.Y(n_10393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989416 (
+	.A1(n_10149),
+	.A2(n_31581),
+	.B1(n_10148),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [3]),
+	.Y(n_10392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989417 (
+	.A1(n_10149),
+	.A2(n_31582),
+	.B1(n_10148),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [4]),
+	.Y(n_10391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989418 (
+	.A1(n_10149),
+	.A2(n_31583),
+	.B1(n_10148),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [5]),
+	.Y(n_10390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989419 (
+	.A1(n_10149),
+	.A2(n_31584),
+	.B1(n_10148),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [6]),
+	.Y(n_10389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989420 (
+	.A1(n_10149),
+	.A2(n_31585),
+	.B1(n_10148),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [7]),
+	.Y(n_10388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989421 (
+	.A1(n_10149),
+	.A2(n_31586),
+	.B1(n_10148),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [8]),
+	.Y(n_10387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989422 (
+	.A1(n_10149),
+	.A2(n_31587),
+	.B1(n_10148),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [9]),
+	.Y(n_10386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989423 (
+	.A1(n_10149),
+	.A2(n_31588),
+	.B1(n_10148),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [10]),
+	.Y(n_10385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989424 (
+	.A1(n_10149),
+	.A2(n_31589),
+	.B1(n_10148),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [11]),
+	.Y(n_10384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989425 (
+	.A1(n_10149),
+	.A2(n_31590),
+	.B1(n_10148),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [12]),
+	.Y(n_10383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989426 (
+	.A1(n_10149),
+	.A2(n_31591),
+	.B1(n_10148),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [13]),
+	.Y(n_10382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g989427 (
+	.A1(soc_top_u_top_u_core_alu_adder_result_ex[15]),
+	.A2(n_9574),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [15]),
+	.B2(n_9870),
+	.C1(n_7128),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[0] [14]),
+	.Y(n_10381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989428 (
+	.A1(n_10149),
+	.A2(n_31592),
+	.B1(n_10148),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [14]),
+	.Y(n_10380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989429 (
+	.A1(n_10149),
+	.A2(n_31594),
+	.B1(n_10148),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [16]),
+	.Y(n_10379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989430 (
+	.A1(n_10149),
+	.A2(n_31595),
+	.B1(n_10148),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [17]),
+	.Y(n_10378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989431 (
+	.A1(n_10149),
+	.A2(n_31596),
+	.B1(n_10148),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [18]),
+	.Y(n_10377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989432 (
+	.A1(n_10149),
+	.A2(n_31597),
+	.B1(n_10148),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [19]),
+	.Y(n_10376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989433 (
+	.A1(n_10149),
+	.A2(n_31598),
+	.B1(n_10148),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [20]),
+	.Y(n_10375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989434 (
+	.A1(n_10149),
+	.A2(n_31599),
+	.B1(n_10148),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [21]),
+	.Y(n_10374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989435 (
+	.A1(n_10149),
+	.A2(n_31601),
+	.B1(n_10148),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [23]),
+	.Y(n_10373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989436 (
+	.A1(n_10149),
+	.A2(n_31600),
+	.B1(n_10148),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [22]),
+	.Y(n_10372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989437 (
+	.A1(n_10149),
+	.A2(n_31602),
+	.B1(n_10148),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [24]),
+	.Y(n_10371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989438 (
+	.A1(n_10149),
+	.A2(n_31603),
+	.B1(n_10148),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [25]),
+	.Y(n_10370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989439 (
+	.A1(n_10149),
+	.A2(n_31604),
+	.B1(n_10148),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [26]),
+	.Y(n_10369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989440 (
+	.A1(n_10149),
+	.A2(n_31605),
+	.B1(n_10148),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [27]),
+	.Y(n_10368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989441 (
+	.A1(n_10149),
+	.A2(n_31606),
+	.B1(n_10148),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [28]),
+	.Y(n_10367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989442 (
+	.A1(n_10149),
+	.A2(n_31607),
+	.B1(n_10148),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [29]),
+	.Y(n_10366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989443 (
+	.A1(n_10149),
+	.A2(n_31608),
+	.B1(n_10148),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [30]),
+	.Y(n_10365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989444 (
+	.A1(n_10149),
+	.A2(n_31609),
+	.B1(n_10148),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [31]),
+	.Y(n_10364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989445 (
+	.A1(n_10147),
+	.A2(n_31546),
+	.B1(n_10146),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [0]),
+	.Y(n_10363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989446 (
+	.A1(n_10147),
+	.A2(n_31547),
+	.B1(n_10146),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [1]),
+	.Y(n_10362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989447 (
+	.A1(n_10147),
+	.A2(n_31548),
+	.B1(n_10146),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [2]),
+	.Y(n_10361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989448 (
+	.A1(n_10147),
+	.A2(n_31549),
+	.B1(n_10146),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [3]),
+	.Y(n_10360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989449 (
+	.A1(n_10147),
+	.A2(n_31550),
+	.B1(n_10146),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [4]),
+	.Y(n_10359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989450 (
+	.A1(n_10147),
+	.A2(n_31551),
+	.B1(n_10146),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [5]),
+	.Y(n_10358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989451 (
+	.A1(n_10147),
+	.A2(n_31552),
+	.B1(n_10146),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [6]),
+	.Y(n_10357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989452 (
+	.A1(n_10147),
+	.A2(n_31553),
+	.B1(n_10146),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [7]),
+	.Y(n_10356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989453 (
+	.A1(n_10147),
+	.A2(n_31554),
+	.B1(n_10146),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [8]),
+	.Y(n_10355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989454 (
+	.A1(n_10147),
+	.A2(n_31555),
+	.B1(n_10146),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [9]),
+	.Y(n_10354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989455 (
+	.A1(n_10147),
+	.A2(n_31556),
+	.B1(n_10146),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [10]),
+	.Y(n_10353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989456 (
+	.A1(n_10147),
+	.A2(n_31557),
+	.B1(n_10146),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [11]),
+	.Y(n_10352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989457 (
+	.A1(n_10147),
+	.A2(n_31558),
+	.B1(n_10146),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [12]),
+	.Y(n_10351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989458 (
+	.A1(n_10147),
+	.A2(n_31559),
+	.B1(n_10146),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [13]),
+	.Y(n_10350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989459 (
+	.A1(n_10147),
+	.A2(n_31560),
+	.B1(n_10146),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [14]),
+	.Y(n_10349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989460 (
+	.A1(n_10147),
+	.A2(n_31561),
+	.B1(n_10146),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [15]),
+	.Y(n_10348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989461 (
+	.A1(n_10147),
+	.A2(n_31562),
+	.B1(n_10146),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [16]),
+	.Y(n_10347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989462 (
+	.A1(n_10147),
+	.A2(n_31563),
+	.B1(n_10146),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [17]),
+	.Y(n_10346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989463 (
+	.A1(n_10147),
+	.A2(n_31564),
+	.B1(n_10146),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [18]),
+	.Y(n_10345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989464 (
+	.A1(n_10147),
+	.A2(n_31565),
+	.B1(n_10146),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [19]),
+	.Y(n_10344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989465 (
+	.A1(n_10147),
+	.A2(n_31566),
+	.B1(n_10146),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [20]),
+	.Y(n_10343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989466 (
+	.A1(n_10147),
+	.A2(n_31567),
+	.B1(n_10146),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [21]),
+	.Y(n_10342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989467 (
+	.A1(n_10147),
+	.A2(n_31568),
+	.B1(n_10146),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [22]),
+	.Y(n_10341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989468 (
+	.A1(n_10147),
+	.A2(n_31569),
+	.B1(n_10146),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [23]),
+	.Y(n_10340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989469 (
+	.A1(n_10147),
+	.A2(n_31571),
+	.B1(n_10146),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [25]),
+	.Y(n_10339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989470 (
+	.A1(n_10147),
+	.A2(n_31570),
+	.B1(n_10146),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [24]),
+	.Y(n_10338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989471 (
+	.A1(n_10147),
+	.A2(n_31572),
+	.B1(n_10146),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [26]),
+	.Y(n_10337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989472 (
+	.A1(n_10147),
+	.A2(n_31573),
+	.B1(n_10146),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [27]),
+	.Y(n_10336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989473 (
+	.A1(n_10147),
+	.A2(n_31574),
+	.B1(n_10146),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [28]),
+	.Y(n_10335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g989474 (
+	.A(n_38083),
+	.B(n_29553),
+	.C(n_29564),
+	.Y(n_10484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g989475 (
+	.A1(n_10073),
+	.A2(n_8590),
+	.B1(n_9894),
+	.Y(n_10483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a311oi_1 g989476 (
+	.A1(n_9890),
+	.A2(n_9224),
+	.A3(n_9240),
+	.B1(n_9228),
+	.C1(FE_DBTN32_soc_top_u_top_u_core_id_in_ready),
+	.Y(n_10482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g989477 (
+	.A(n_9994),
+	.B(n_8360),
+	.C(n_7191),
+	.D(n_6855),
+	.Y(n_10480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g989478 (
+	.A(n_8586),
+	.B(n_10076),
+	.C(n_35311),
+	.Y(n_10478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_2 g989479 (
+	.A1(n_8589),
+	.A2(n_68550),
+	.B1(n_35309),
+	.X(n_10477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g989480 (
+	.A1_N(n_1496),
+	.A2_N(n_29846),
+	.B1(n_2083),
+	.B2(n_10091),
+	.X(n_10475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g989481 (
+	.A1_N(n_1496),
+	.A2_N(n_29842),
+	.B1(n_2095),
+	.B2(n_10090),
+	.X(n_10473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g989482 (
+	.A1_N(n_1496),
+	.A2_N(n_29841),
+	.B1(n_2063),
+	.B2(n_10088),
+	.X(n_10471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g989483 (
+	.A1_N(n_1496),
+	.A2_N(n_29851),
+	.B1(n_2112),
+	.B2(n_10104),
+	.X(n_10469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 g989484 (
+	.A1_N(n_2076),
+	.A2_N(n_10097),
+	.B1(n_1496),
+	.B2(n_29854),
+	.X(n_10467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 g989485 (
+	.A1_N(n_2070),
+	.A2_N(n_10098),
+	.B1(n_1496),
+	.B2(n_29855),
+	.X(n_10465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 g989486 (
+	.A1_N(n_2107),
+	.A2_N(n_10099),
+	.B1(n_1496),
+	.B2(n_29856),
+	.X(n_10463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 g989487 (
+	.A1_N(n_2098),
+	.A2_N(n_10100),
+	.B1(n_1496),
+	.B2(n_29858),
+	.X(n_10461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 g989488 (
+	.A1_N(n_2003),
+	.A2_N(n_10101),
+	.B1(n_1496),
+	.B2(n_29860),
+	.X(n_10459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g989489 (
+	.A1_N(n_1496),
+	.A2_N(n_29848),
+	.B1(n_2078),
+	.B2(n_10093),
+	.X(n_10457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g989490 (
+	.A1_N(n_1496),
+	.A2_N(n_29850),
+	.B1(n_2039),
+	.B2(n_10095),
+	.X(n_10455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g989491 (
+	.A1_N(n_1496),
+	.A2_N(n_29832),
+	.B1(n_2041),
+	.B2(n_10102),
+	.X(n_10453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g989494 (
+	.A(n_10329),
+	.Y(n_10330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g989495 (
+	.A(n_10327),
+	.Y(n_10328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g989496 (
+	.A(n_10323),
+	.Y(n_10322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g989497 (
+	.A(n_10318),
+	.Y(n_10319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g989498 (
+	.A(n_10317),
+	.Y(n_10316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g989499 (
+	.A(soc_top_u_uart_u_uart_core_write_fifo_waddr[7]),
+	.B(n_9626),
+	.COUT(n_10312),
+	.SUM(n_10313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g989500 (
+	.A(soc_top_u_uart_u_uart_core_read_fifo_raddr[7]),
+	.B(n_9623),
+	.COUT(n_10310),
+	.SUM(n_10311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g989501 (
+	.A1(soc_top_intr_controller_ip[30]),
+	.A2(n_2252),
+	.A3(n_9883),
+	.B1(soc_top_intr_controller_u_gateway_ia[30]),
+	.Y(n_10309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g989502 (
+	.A1(soc_top_intr_controller_ip[29]),
+	.A2(n_2259),
+	.A3(n_9880),
+	.B1(soc_top_intr_controller_u_gateway_ia[29]),
+	.Y(n_10308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g989503 (
+	.A1(soc_top_intr_controller_ip[28]),
+	.A2(n_2259),
+	.A3(n_9883),
+	.B1(soc_top_intr_controller_u_gateway_ia[28]),
+	.Y(n_10307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g989504 (
+	.A1(soc_top_intr_controller_ip[27]),
+	.A2(n_2252),
+	.A3(n_9881),
+	.B1(soc_top_intr_controller_u_gateway_ia[27]),
+	.Y(n_10306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g989505 (
+	.A1(soc_top_intr_controller_ip[26]),
+	.A2(n_2252),
+	.A3(n_9886),
+	.B1(soc_top_intr_controller_u_gateway_ia[26]),
+	.Y(n_10305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g989506 (
+	.A1(soc_top_intr_controller_ip[25]),
+	.A2(n_2259),
+	.A3(n_9881),
+	.B1(soc_top_intr_controller_u_gateway_ia[25]),
+	.Y(n_10304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g989507 (
+	.A1(soc_top_intr_controller_ip[24]),
+	.A2(n_2259),
+	.A3(n_9886),
+	.B1(soc_top_intr_controller_u_gateway_ia[24]),
+	.Y(n_10303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g989508 (
+	.A1(soc_top_intr_controller_ip[23]),
+	.A2(n_2242),
+	.A3(n_9880),
+	.B1(soc_top_intr_controller_u_gateway_ia[23]),
+	.Y(n_10302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g989509 (
+	.A1(soc_top_intr_controller_ip[22]),
+	.A2(n_2242),
+	.A3(n_9883),
+	.B1(soc_top_intr_controller_u_gateway_ia[22]),
+	.Y(n_10301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g989510 (
+	.A1(soc_top_intr_controller_ip[21]),
+	.A2(n_2246),
+	.A3(n_9880),
+	.B1(soc_top_intr_controller_u_gateway_ia[21]),
+	.Y(n_10300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g989511 (
+	.A1(soc_top_intr_controller_ip[20]),
+	.A2(n_2246),
+	.A3(n_9883),
+	.B1(soc_top_intr_controller_u_gateway_ia[20]),
+	.Y(n_10299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g989512 (
+	.A1(soc_top_intr_controller_ip[19]),
+	.A2(n_2242),
+	.A3(n_9881),
+	.B1(soc_top_intr_controller_u_gateway_ia[19]),
+	.Y(n_10298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g989513 (
+	.A1(soc_top_intr_controller_ip[18]),
+	.A2(n_2242),
+	.A3(n_9886),
+	.B1(soc_top_intr_controller_u_gateway_ia[18]),
+	.Y(n_10297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g989514 (
+	.A1(soc_top_intr_controller_ip[16]),
+	.A2(n_2246),
+	.A3(n_9886),
+	.B1(soc_top_intr_controller_u_gateway_ia[16]),
+	.Y(n_10296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g989515 (
+	.A1(soc_top_intr_controller_ip[15]),
+	.A2(n_2239),
+	.A3(n_9880),
+	.B1(soc_top_intr_controller_u_gateway_ia[15]),
+	.Y(n_10295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g989516 (
+	.A1(soc_top_intr_controller_ip[17]),
+	.A2(n_2246),
+	.A3(n_9881),
+	.B1(soc_top_intr_controller_u_gateway_ia[17]),
+	.Y(n_10294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g989517 (
+	.A1(soc_top_intr_controller_ip[14]),
+	.A2(n_2239),
+	.A3(n_9883),
+	.B1(soc_top_intr_controller_u_gateway_ia[14]),
+	.Y(n_10293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g989518 (
+	.A1(soc_top_intr_controller_ip[13]),
+	.A2(n_2227),
+	.A3(n_9880),
+	.B1(soc_top_intr_controller_u_gateway_ia[13]),
+	.Y(n_10292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g989519 (
+	.A1(soc_top_intr_controller_ip[12]),
+	.A2(n_2227),
+	.A3(n_9883),
+	.B1(soc_top_intr_controller_u_gateway_ia[12]),
+	.Y(n_10291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g989520 (
+	.A1(soc_top_intr_controller_ip[11]),
+	.A2(n_2239),
+	.A3(n_9881),
+	.B1(soc_top_intr_controller_u_gateway_ia[11]),
+	.Y(n_10290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g989521 (
+	.A1(soc_top_intr_controller_ip[10]),
+	.A2(n_2239),
+	.A3(n_9886),
+	.B1(soc_top_intr_controller_u_gateway_ia[10]),
+	.Y(n_10289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g989522 (
+	.A1(soc_top_intr_controller_ip[9]),
+	.A2(n_2227),
+	.A3(n_9881),
+	.B1(soc_top_intr_controller_u_gateway_ia[9]),
+	.Y(n_10288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g989523 (
+	.A1(soc_top_intr_controller_ip[8]),
+	.A2(n_2227),
+	.A3(n_9886),
+	.B1(soc_top_intr_controller_u_gateway_ia[8]),
+	.Y(n_10287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g989524 (
+	.A1(soc_top_intr_controller_ip[7]),
+	.A2(n_2195),
+	.A3(n_9880),
+	.B1(soc_top_intr_controller_u_gateway_ia[7]),
+	.Y(n_10286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g989525 (
+	.A1(soc_top_intr_controller_ip[6]),
+	.A2(n_2195),
+	.A3(n_9883),
+	.B1(soc_top_intr_controller_u_gateway_ia[6]),
+	.Y(n_10285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g989526 (
+	.A1(soc_top_intr_controller_ip[5]),
+	.A2(n_2192),
+	.A3(n_9880),
+	.B1(soc_top_intr_controller_u_gateway_ia[5]),
+	.Y(n_10284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g989527 (
+	.A1(soc_top_intr_controller_ip[3]),
+	.A2(n_2195),
+	.A3(n_9881),
+	.B1(soc_top_intr_controller_u_gateway_ia[3]),
+	.Y(n_10283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g989528 (
+	.A1(soc_top_intr_controller_ip[4]),
+	.A2(n_2192),
+	.A3(n_9883),
+	.B1(soc_top_intr_controller_u_gateway_ia[4]),
+	.Y(n_10282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g989529 (
+	.A1(soc_top_intr_controller_ip[2]),
+	.A2(n_2195),
+	.A3(n_9886),
+	.B1(soc_top_intr_controller_u_gateway_ia[2]),
+	.Y(n_10281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g989530 (
+	.A1(soc_top_intr_controller_ip[1]),
+	.A2(n_2192),
+	.A3(n_9881),
+	.B1(soc_top_intr_controller_u_gateway_ia[1]),
+	.Y(n_10280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989531 (
+	.A1(n_2146),
+	.A2(soc_top_u_top_u_core_csr_depc[20]),
+	.B1(n_1232),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [20]),
+	.C1(n_10016),
+	.X(n_10279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989532 (
+	.A1(n_3537),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [36]),
+	.B1(n_4669),
+	.B2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[4]),
+	.C1(n_9953),
+	.X(n_10278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989533 (
+	.A1(n_3537),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [33]),
+	.B1(n_3535),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [33]),
+	.C1(n_9954),
+	.X(n_10277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g989535 (
+	.A1(n_8627),
+	.A2(n_8596),
+	.B1(n_9241),
+	.C1(n_10082),
+	.Y(n_10275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g989537 (
+	.A1(n_9910),
+	.A2(soc_top_u_top_u_core_fp_flush),
+	.A3(n_1158),
+	.B1(n_10006),
+	.Y(n_10273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989538 (
+	.A1(n_9573),
+	.A2(soc_top_u_top_u_core_pc_if[9]),
+	.B1(n_29943),
+	.B2(n_9571),
+	.C1(n_1160),
+	.X(n_10272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989539 (
+	.A(n_10074),
+	.B(n_9904),
+	.Y(n_10271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989540 (
+	.A(n_10067),
+	.B(n_8599),
+	.Y(n_10270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g989541 (
+	.A_N(n_2192),
+	.B(n_9398),
+	.C(n_1387),
+	.D(n_84455),
+	.Y(n_10269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g989542 (
+	.A(soc_top_u_uart_u_uart_core_tx_fifo_reset),
+	.B_N(n_10145),
+	.Y(n_10268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g989543 (
+	.A(n_9916),
+	.B(n_4657),
+	.C(n_3981),
+	.D(n_74735),
+	.X(n_10267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g989544 (
+	.A(n_10084),
+	.B(FE_DBTN32_soc_top_u_top_u_core_id_in_ready),
+	.Y(n_10334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989546 (
+	.A(n_9730),
+	.B(n_10066),
+	.Y(n_10266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g989549 (
+	.A1(n_4552),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[30]),
+	.B1(n_1232),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [30]),
+	.C1(n_9931),
+	.Y(n_10333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g989550 (
+	.A1(n_4559),
+	.A2(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[9]),
+	.B1(n_2146),
+	.B2(soc_top_u_top_u_core_csr_depc[25]),
+	.C1(n_9932),
+	.Y(n_10332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g989551 (
+	.A1(n_9222),
+	.A2(n_9602),
+	.B1(n_9640),
+	.C1(n_9907),
+	.X(n_10331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989552 (
+	.A1(FE_DBTN140_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_16),
+	.A2(n_9871),
+	.B1(n_9654),
+	.Y(n_10265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g989553 (
+	.A(n_29564),
+	.B_N(n_38083),
+	.Y(n_10329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g989554 (
+	.A1(n_634),
+	.A2(n_9871),
+	.B1(n_7696),
+	.B2(n_8582),
+	.C1(n_9472),
+	.Y(n_10264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g989555 (
+	.A(n_9720),
+	.B(n_6137),
+	.C(n_4555),
+	.D(n_7483),
+	.Y(n_10327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g989556 (
+	.A(n_9741),
+	.B(n_6683),
+	.C(n_7162),
+	.Y(n_10326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989557 (
+	.A1(n_8570),
+	.A2(n_34),
+	.B1(n_3968),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [0]),
+	.C1(n_10065),
+	.X(n_10263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989558 (
+	.A1(n_648),
+	.A2(n_9871),
+	.B1(n_9651),
+	.Y(n_10262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g989559 (
+	.A1(n_4556),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[27]),
+	.B1(n_8424),
+	.C1(n_9778),
+	.Y(n_10325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989560 (
+	.A1(n_60),
+	.A2(n_9871),
+	.B1(n_9646),
+	.Y(n_10261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g989561 (
+	.A1(n_153),
+	.A2(n_9590),
+	.B1(n_10066),
+	.C1(n_9613),
+	.Y(n_10260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989562 (
+	.A(n_9929),
+	.B(n_592),
+	.Y(n_10324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g989563 (
+	.A1(n_68544),
+	.A2(n_9889),
+	.A3(n_8588),
+	.B1(n_10077),
+	.Y(n_10323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g989566 (
+	.A_N(soc_top_u_spi_host_spi_host_clgen_cnt[9]),
+	.B(n_9603),
+	.Y(n_10321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g989568 (
+	.A(n_35309),
+	.B(n_68550),
+	.X(n_10320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g989569 (
+	.A(n_9882),
+	.B(n_10080),
+	.Y(n_10318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g989601 (
+	.A(n_10075),
+	.B(n_68561),
+	.Y(n_10317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g989604 (
+	.A(n_8584),
+	.B(n_10075),
+	.X(n_10315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g989606 (
+	.A(n_10229),
+	.Y(n_10259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g989607 (
+	.A(n_10250),
+	.Y(n_10251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g989608 (
+	.A(n_10247),
+	.Y(n_10248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g989610 (
+	.A1_N(n_84188),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [4]),
+	.B1(n_72390),
+	.B2(n_84188),
+	.Y(n_10240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g989611 (
+	.A1(n_3849),
+	.A2(soc_top_intr_controller_u_reg_le_0_le_0_qs),
+	.B1(n_9157),
+	.C1(n_9996),
+	.X(n_10239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g989612 (
+	.A1(n_3956),
+	.A2(n_9898),
+	.B1(soc_top_u_top_u_core_fp_alu_op_mod),
+	.Y(n_10238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g989613 (
+	.A1(soc_top_intr_controller_ip[31]),
+	.A2(n_2252),
+	.A3(n_9880),
+	.B1(soc_top_intr_controller_u_gateway_ia[31]),
+	.Y(n_10237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g989614 (
+	.A(n_9462),
+	.B(n_7381),
+	.C(n_3691),
+	.D(n_3842),
+	.Y(n_10236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g989615 (
+	.A(n_9421),
+	.B(n_6441),
+	.C(n_6913),
+	.D(n_7468),
+	.Y(n_10235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g989616 (
+	.A1(n_9589),
+	.A2(n_8585),
+	.B1(n_9598),
+	.C1(n_10069),
+	.Y(n_10234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g989617 (
+	.A1_N(n_84188),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [4]),
+	.B1(n_34923),
+	.B2(n_84188),
+	.Y(n_10233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g989618 (
+	.A1(n_9581),
+	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_busy[0]),
+	.B1(n_9915),
+	.B2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_valid_q[0]),
+	.C1(n_9893),
+	.Y(n_10232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g989619 (
+	.A1_N(n_4470),
+	.A2_N(n_9861),
+	.B1(n_721),
+	.B2(soc_top_u_pwm_pwm_core_DC_1[8]),
+	.Y(n_10231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g989620 (
+	.A1(n_8938),
+	.A2(n_9222),
+	.B1(n_9460),
+	.B2(n_9227),
+	.C1(n_8614),
+	.C2(soc_top_u_top_u_core_instr_rdata_id[13]),
+	.Y(n_10230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g989621 (
+	.A1(n_9573),
+	.A2(soc_top_u_top_u_core_pc_if[2]),
+	.B1(n_29936),
+	.B2(n_9571),
+	.C1(soc_top_u_top_u_core_pc_set),
+	.C2(n_29867),
+	.Y(n_10229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g989622 (
+	.A1(n_9890),
+	.A2(n_9589),
+	.A3(n_8584),
+	.B1(n_9647),
+	.Y(n_10228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g989623 (
+	.A1_N(n_9232),
+	.A2_N(n_9882),
+	.B1(n_9348),
+	.B2(n_9584),
+	.Y(n_10227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g989624 (
+	.A_N(n_9902),
+	.B(n_9597),
+	.C(n_29767),
+	.Y(n_10226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g989625 (
+	.A(n_9781),
+	.B(n_9934),
+	.Y(n_10225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989627 (
+	.A(n_10021),
+	.B(n_9947),
+	.Y(n_10223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g989628 (
+	.A(n_9731),
+	.B(n_7476),
+	.C(n_9125),
+	.D(n_7423),
+	.Y(n_10222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g989629 (
+	.A0(n_9807),
+	.A1(n_9631),
+	.S(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [2]),
+	.Y(n_10221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g989630 (
+	.A(n_9742),
+	.B(n_4348),
+	.C(n_6906),
+	.D(n_7479),
+	.Y(n_10220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989631 (
+	.A1(n_3849),
+	.A2(\soc_top_intr_controller_reg2hw[le][1][q] ),
+	.B1(n_3850),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_1_qs),
+	.C1(n_9988),
+	.X(n_10219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989632 (
+	.A1(n_9629),
+	.A2(n_70424),
+	.B1(n_9630),
+	.B2(n_1746),
+	.C1(n_1782),
+	.X(n_10218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989633 (
+	.A1(n_9571),
+	.A2(n_29937),
+	.B1(n_9573),
+	.B2(soc_top_u_top_u_core_pc_if[3]),
+	.C1(n_1094),
+	.X(n_10217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989634 (
+	.A1(n_9573),
+	.A2(soc_top_u_top_u_core_pc_if[4]),
+	.B1(n_9571),
+	.B2(n_29938),
+	.C1(n_523),
+	.X(n_10216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989635 (
+	.A1(n_9573),
+	.A2(soc_top_u_top_u_core_pc_if[5]),
+	.B1(n_9571),
+	.B2(n_29939),
+	.C1(n_508),
+	.X(n_10215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989636 (
+	.A1(n_9571),
+	.A2(n_29940),
+	.B1(n_9573),
+	.B2(soc_top_u_top_u_core_pc_if[6]),
+	.C1(n_509),
+	.X(n_10214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989637 (
+	.A1(n_9571),
+	.A2(n_29941),
+	.B1(n_9573),
+	.B2(soc_top_u_top_u_core_pc_if[7]),
+	.C1(n_504),
+	.X(n_10213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989638 (
+	.A1(n_9571),
+	.A2(n_29942),
+	.B1(n_9573),
+	.B2(soc_top_u_top_u_core_pc_if[8]),
+	.C1(n_510),
+	.X(n_10212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989639 (
+	.A1(n_9573),
+	.A2(soc_top_u_top_u_core_pc_if[10]),
+	.B1(n_29944),
+	.B2(n_9571),
+	.C1(n_511),
+	.X(n_10211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989640 (
+	.A1(n_9573),
+	.A2(soc_top_u_top_u_core_pc_if[11]),
+	.B1(n_29945),
+	.B2(n_9571),
+	.C1(n_502),
+	.X(n_10210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989641 (
+	.A1(n_4094),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [31]),
+	.B1(n_4096),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [31]),
+	.C1(n_9777),
+	.X(n_10209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g989642 (
+	.A0(n_7709),
+	.A1(n_9910),
+	.S(n_2710),
+	.Y(n_10208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g989643 (
+	.A1(n_8586),
+	.A2(n_9890),
+	.B1(n_8590),
+	.X(n_10207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g989644 (
+	.A1(n_602),
+	.A2(n_9916),
+	.B1(n_1937),
+	.B2(n_3989),
+	.X(n_10206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g989645 (
+	.A1(n_9589),
+	.A2(n_69225),
+	.B1(n_10069),
+	.X(n_10205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g989646 (
+	.A1(n_9882),
+	.A2(n_9890),
+	.B1(n_9610),
+	.X(n_10204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g989647 (
+	.A1(n_9891),
+	.A2(n_9884),
+	.B1(n_8595),
+	.X(n_10203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g989648 (
+	.A1(n_28),
+	.A2(n_9572),
+	.B1(n_9856),
+	.C1(n_2377),
+	.Y(n_10202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g989649 (
+	.A(n_10014),
+	.B(n_8381),
+	.C(n_6715),
+	.Y(n_10201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989650 (
+	.A1(n_9894),
+	.A2(n_9878),
+	.B1(n_8599),
+	.Y(n_10200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g989651 (
+	.A(n_8590),
+	.B(n_8603),
+	.C(n_10074),
+	.Y(n_10199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g989652 (
+	.A1(n_9889),
+	.A2(n_8588),
+	.B1(n_68561),
+	.X(n_10198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g989653 (
+	.A(n_10073),
+	.B(n_8591),
+	.C(n_8606),
+	.Y(n_10197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g989654 (
+	.A1(n_9222),
+	.A2(n_9587),
+	.A3(n_8616),
+	.B1(n_8614),
+	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
+	.X(n_10196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989655 (
+	.A1(n_9893),
+	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_busy[0]),
+	.B1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_busy[1]),
+	.B2(n_9582),
+	.Y(n_10195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g989656 (
+	.A1(n_76235),
+	.A2(n_2878),
+	.B1(n_7110),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [23]),
+	.C1(n_9951),
+	.Y(n_10194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g989657 (
+	.A(n_9498),
+	.B(n_9385),
+	.C(n_7266),
+	.D(n_8122),
+	.Y(n_10193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g989658 (
+	.A1(n_143),
+	.A2(n_7087),
+	.B1(n_9118),
+	.C1(n_9386),
+	.D1(n_9499),
+	.Y(n_10192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g989659 (
+	.A(n_9500),
+	.B(n_9390),
+	.C(n_7267),
+	.D(n_9119),
+	.Y(n_10191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g989660 (
+	.A1(n_152),
+	.A2(n_7087),
+	.B1(n_9120),
+	.C1(n_9393),
+	.D1(n_9502),
+	.Y(n_10190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g989661 (
+	.A(n_9503),
+	.B(n_9402),
+	.C(n_7268),
+	.D(n_8121),
+	.Y(n_10189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g989662 (
+	.A1(n_76235),
+	.A2(n_2876),
+	.B1(n_7110),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [29]),
+	.C1(n_9952),
+	.Y(n_10188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g989663 (
+	.A1(n_84559),
+	.A2(n_7087),
+	.B1(n_9123),
+	.C1(n_9406),
+	.D1(n_9504),
+	.Y(n_10187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g989664 (
+	.A1(n_4698),
+	.A2(n_3987),
+	.B1(n_7623),
+	.C1(n_8852),
+	.D1(n_9844),
+	.Y(n_10186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989665 (
+	.A1(n_29965),
+	.A2(n_9571),
+	.B1(n_9573),
+	.B2(soc_top_u_top_u_core_pc_if[31]),
+	.C1(n_2446),
+	.X(n_10185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989666 (
+	.A1(n_29964),
+	.A2(n_9571),
+	.B1(n_9573),
+	.B2(soc_top_u_top_u_core_pc_if[30]),
+	.C1(n_2447),
+	.X(n_10184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989667 (
+	.A1(n_29963),
+	.A2(n_9571),
+	.B1(n_9573),
+	.B2(soc_top_u_top_u_core_pc_if[29]),
+	.C1(n_4364),
+	.X(n_10183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989668 (
+	.A1(n_29962),
+	.A2(n_9571),
+	.B1(n_9573),
+	.B2(soc_top_u_top_u_core_pc_if[28]),
+	.C1(n_2448),
+	.X(n_10182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989669 (
+	.A1(n_29961),
+	.A2(n_9571),
+	.B1(n_9573),
+	.B2(soc_top_u_top_u_core_pc_if[27]),
+	.C1(n_2449),
+	.X(n_10181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989670 (
+	.A1(n_29960),
+	.A2(n_9571),
+	.B1(n_9573),
+	.B2(soc_top_u_top_u_core_pc_if[26]),
+	.C1(n_2418),
+	.X(n_10180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989671 (
+	.A1(n_29959),
+	.A2(n_9571),
+	.B1(n_9573),
+	.B2(soc_top_u_top_u_core_pc_if[25]),
+	.C1(n_2450),
+	.X(n_10179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989672 (
+	.A1(n_29958),
+	.A2(n_9571),
+	.B1(n_9573),
+	.B2(soc_top_u_top_u_core_pc_if[24]),
+	.C1(n_2451),
+	.X(n_10178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989673 (
+	.A1(n_29957),
+	.A2(n_9571),
+	.B1(n_9573),
+	.B2(soc_top_u_top_u_core_pc_if[23]),
+	.C1(n_2452),
+	.X(n_10177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g989674 (
+	.A1(soc_top_u_pwm_pwm_core_period[7]),
+	.A2(n_2088),
+	.B1(n_1564),
+	.B2(soc_top_u_pwm_pwm_core_period[6]),
+	.C1(n_9782),
+	.Y(n_10176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_2 g989675 (
+	.A1(n_1533),
+	.A2(soc_top_u_pwm_pwm_core_period_2[6]),
+	.B1(n_2343),
+	.B2(n_9540),
+	.C1(n_6674),
+	.X(n_10175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g989676 (
+	.A1(n_4096),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [13]),
+	.B1(n_4553),
+	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[13]),
+	.C1(n_9783),
+	.Y(n_10174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g989677 (
+	.A(soc_top_u_spi_host_spi_host_clgen_cnt[5]),
+	.B(n_9245),
+	.X(n_10173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989678 (
+	.A1(n_29956),
+	.A2(n_9571),
+	.B1(n_9573),
+	.B2(soc_top_u_top_u_core_pc_if[22]),
+	.C1(n_2412),
+	.X(n_10172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g989679 (
+	.A1(n_55),
+	.A2(n_9871),
+	.B1(n_8913),
+	.C1(n_9363),
+	.X(n_10171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g989680 (
+	.A1(n_1942),
+	.A2(n_1572),
+	.B1(n_8927),
+	.C1(n_9653),
+	.Y(n_10170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g989681 (
+	.A1(n_7678),
+	.A2(n_8582),
+	.B1(n_623),
+	.B2(n_9871),
+	.Y(n_10169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989682 (
+	.A1(n_9573),
+	.A2(soc_top_u_top_u_core_pc_if[12]),
+	.B1(n_29946),
+	.B2(n_9571),
+	.C1(n_2457),
+	.X(n_10168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989683 (
+	.A1(n_9573),
+	.A2(soc_top_u_top_u_core_pc_if[13]),
+	.B1(n_29947),
+	.B2(n_9571),
+	.C1(n_2405),
+	.X(n_10167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989684 (
+	.A1(n_9573),
+	.A2(soc_top_u_top_u_core_pc_if[14]),
+	.B1(n_29948),
+	.B2(n_9571),
+	.C1(n_2406),
+	.X(n_10166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989685 (
+	.A1(n_9573),
+	.A2(soc_top_u_top_u_core_pc_if[15]),
+	.B1(n_29949),
+	.B2(n_9571),
+	.C1(n_2407),
+	.X(n_10165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989686 (
+	.A1(n_29950),
+	.A2(n_9571),
+	.B1(n_9573),
+	.B2(soc_top_u_top_u_core_pc_if[16]),
+	.C1(n_2409),
+	.X(n_10164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989687 (
+	.A1(n_9573),
+	.A2(soc_top_u_top_u_core_pc_if[17]),
+	.B1(n_29951),
+	.B2(n_9571),
+	.C1(n_2455),
+	.X(n_10163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989688 (
+	.A1(n_29952),
+	.A2(n_9571),
+	.B1(n_9573),
+	.B2(soc_top_u_top_u_core_pc_if[18]),
+	.C1(n_2454),
+	.X(n_10162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989689 (
+	.A1(n_29953),
+	.A2(n_9571),
+	.B1(n_9573),
+	.B2(soc_top_u_top_u_core_pc_if[19]),
+	.C1(n_2410),
+	.X(n_10161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989690 (
+	.A1(n_29954),
+	.A2(n_9571),
+	.B1(n_9573),
+	.B2(soc_top_u_top_u_core_pc_if[20]),
+	.C1(n_2411),
+	.X(n_10160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989691 (
+	.A1(n_29955),
+	.A2(n_9571),
+	.B1(n_9573),
+	.B2(soc_top_u_top_u_core_pc_if[21]),
+	.C1(n_2453),
+	.X(n_10159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g989692 (
+	.A1(n_90),
+	.A2(n_9871),
+	.B1(n_7685),
+	.B2(n_8582),
+	.C1(n_9471),
+	.Y(n_10158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g989693 (
+	.A1(n_652),
+	.A2(n_9871),
+	.B1(n_3572),
+	.B2(n_9226),
+	.C1(n_9633),
+	.Y(n_10157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g989694 (
+	.A1(n_640),
+	.A2(n_9871),
+	.B1(n_9387),
+	.C1(n_9635),
+	.Y(n_10258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g989695 (
+	.A1(n_9872),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [4]),
+	.B1(n_9972),
+	.Y(n_10257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g989696 (
+	.A1(n_35),
+	.A2(n_9871),
+	.B1(n_9637),
+	.C1(n_9389),
+	.Y(n_10256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g989697 (
+	.A1(n_626),
+	.A2(n_9871),
+	.B1(n_3028),
+	.B2(n_9226),
+	.C1(n_9632),
+	.Y(n_10156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g989698 (
+	.A1(n_649),
+	.A2(n_9871),
+	.B1(n_7700),
+	.B2(n_8582),
+	.C1(n_9725),
+	.Y(n_10155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g989699 (
+	.A1(n_57),
+	.A2(n_9871),
+	.B1(n_7677),
+	.B2(n_8582),
+	.C1(n_9726),
+	.Y(n_10154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g989700 (
+	.A1(n_2298),
+	.A2(n_1528),
+	.A3(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Inf_a_S ),
+	.B1(n_9748),
+	.B2(n_2262),
+	.X(n_10255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g989701 (
+	.A1(n_38),
+	.A2(n_9871),
+	.B1(n_4576),
+	.B2(n_9226),
+	.C1(n_9634),
+	.Y(n_10153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g989702 (
+	.A1(n_9872),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [2]),
+	.B1(n_9928),
+	.Y(n_10254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g989704 (
+	.A1(n_9221),
+	.A2(n_8585),
+	.B1(n_10086),
+	.X(n_10252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g989705 (
+	.A1(n_4094),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [15]),
+	.B1(n_4096),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [15]),
+	.C1(n_9995),
+	.Y(n_10250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g989706 (
+	.A1(n_75),
+	.A2(n_9871),
+	.B1(n_7686),
+	.B2(n_8582),
+	.C1(n_9470),
+	.Y(n_10152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989707 (
+	.A1(n_9872),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [17]),
+	.B1(n_8570),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [16]),
+	.C1(n_9473),
+	.X(n_10151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g989708 (
+	.A(n_9219),
+	.B(n_9913),
+	.C(n_9882),
+	.Y(n_10249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g989709 (
+	.A1(n_8585),
+	.A2(n_8591),
+	.A3(n_9890),
+	.B1(n_9907),
+	.Y(n_10247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g989710 (
+	.A1(n_68544),
+	.A2(n_9583),
+	.B1(n_10077),
+	.Y(n_10246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g989712 (
+	.A1(n_8582),
+	.A2(n_7706),
+	.B1(n_10142),
+	.C1(n_9660),
+	.Y(n_10244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g989715 (
+	.A(soc_top_u_uart_u_uart_core_fifo_read_size[5]),
+	.B(n_9318),
+	.COUT(n_10144),
+	.SUM(n_10145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989717 (
+	.A(n_9872),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [1]),
+	.Y(n_10142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989721 (
+	.A(n_30726),
+	.B(n_70359),
+	.Y(n_10138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989722 (
+	.A(n_80188),
+	.B(n_70359),
+	.Y(n_10137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989724 (
+	.A(n_38361),
+	.B(n_70359),
+	.Y(n_10135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989727 (
+	.A(n_58378),
+	.B(n_70359),
+	.Y(n_10132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989729 (
+	.A(n_60527),
+	.B(n_70359),
+	.Y(n_10130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989730 (
+	.A(n_30718),
+	.B(n_70359),
+	.Y(n_10129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989731 (
+	.A(n_30717),
+	.B(n_70359),
+	.Y(n_10128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989733 (
+	.A(n_30716),
+	.B(n_70359),
+	.Y(n_10126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989735 (
+	.A(n_30715),
+	.B(n_70359),
+	.Y(n_10124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989737 (
+	.A(n_30714),
+	.B(n_70359),
+	.Y(n_10122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989738 (
+	.A(n_9875),
+	.B(n_38474),
+	.Y(n_10121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989739 (
+	.A(n_30713),
+	.B(n_70359),
+	.Y(n_10120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g989742 (
+	.A(n_9905),
+	.B(n_29553),
+	.Y(n_10117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989743 (
+	.A(n_79129),
+	.B(n_70359),
+	.Y(n_10116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989745 (
+	.A(n_56116),
+	.B(n_70359),
+	.Y(n_10114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989746 (
+	.A(n_76804),
+	.B(n_70359),
+	.Y(n_10113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989747 (
+	.A(n_30708),
+	.B(n_70359),
+	.Y(n_10112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989748 (
+	.A(n_30707),
+	.B(n_70359),
+	.Y(n_10111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989749 (
+	.A(n_37622),
+	.B(n_70359),
+	.Y(n_10110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989750 (
+	.A(n_70222),
+	.B(n_70359),
+	.Y(n_10109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989752 (
+	.A(n_9889),
+	.B(n_8625),
+	.Y(n_10107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g989777 (
+	.A_N(soc_top_u_spi_host_spi_host_clgen_cnt[5]),
+	.B(n_9245),
+	.Y(n_10150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g989880 (
+	.A_N(n_9899),
+	.B(n_2986),
+	.X(n_10149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g989881 (
+	.A(n_2986),
+	.B(n_9899),
+	.X(n_10148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g989882 (
+	.A_N(n_9899),
+	.B(n_2132),
+	.X(n_10147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g989883 (
+	.A(n_2132),
+	.B(n_9899),
+	.X(n_10146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g989885 (
+	.A(n_10104),
+	.Y(n_10105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g989886 (
+	.A(n_10095),
+	.Y(n_10096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g989887 (
+	.A(n_10093),
+	.Y(n_10094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g989888 (
+	.A(n_10091),
+	.Y(n_10092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g989889 (
+	.A(n_10088),
+	.Y(n_10089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g989891 (
+	.A(n_10081),
+	.Y(n_10082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g989892 (
+	.A(n_10079),
+	.Y(n_10080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g989893 (
+	.A(n_10074),
+	.Y(n_10073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g989894 (
+	.A(n_10071),
+	.Y(n_10072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g989895 (
+	.A(n_35310),
+	.Y(n_10069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g989896 (
+	.A(n_35309),
+	.Y(n_10067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g989897 (
+	.A(n_10066),
+	.Y(n_10065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g989898 (
+	.A(n_70400),
+	.B(n_9630),
+	.X(n_10062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g989902 (
+	.A(n_9578),
+	.B_N(n_9922),
+	.Y(n_10058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989903 (
+	.A1(n_9569),
+	.A2(n_8971),
+	.B1(n_29833),
+	.Y(n_10057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989904 (
+	.A1(n_9569),
+	.A2(n_8970),
+	.B1(n_29834),
+	.Y(n_10056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989905 (
+	.A1(n_9569),
+	.A2(n_8969),
+	.B1(n_29838),
+	.Y(n_10055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989906 (
+	.A1(n_9569),
+	.A2(n_8968),
+	.B1(n_29841),
+	.Y(n_10054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989907 (
+	.A1(n_9569),
+	.A2(n_8967),
+	.B1(n_29845),
+	.Y(n_10053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989908 (
+	.A1(n_9569),
+	.A2(n_8966),
+	.B1(n_29846),
+	.Y(n_10052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989909 (
+	.A1(n_9569),
+	.A2(n_8965),
+	.B1(n_29847),
+	.Y(n_10051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989910 (
+	.A1(n_9569),
+	.A2(n_8964),
+	.B1(n_29848),
+	.Y(n_10050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989911 (
+	.A1(n_9569),
+	.A2(n_8963),
+	.B1(n_29850),
+	.Y(n_10049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989912 (
+	.A1(n_9569),
+	.A2(n_8962),
+	.B1(n_29851),
+	.Y(n_10048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989913 (
+	.A1(n_9569),
+	.A2(n_8961),
+	.B1(n_29852),
+	.Y(n_10047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989914 (
+	.A1(n_9569),
+	.A2(n_8960),
+	.B1(n_29853),
+	.Y(n_10046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g989915 (
+	.A(n_9920),
+	.B(n_1501),
+	.Y(n_10045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g989916 (
+	.A(soc_top_u_uart_u_uart_core_rx_fifo_rst),
+	.B(n_9868),
+	.Y(n_10044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_2 g989919 (
+	.A1(n_9382),
+	.A2(n_3813),
+	.B1_N(n_2279),
+	.Y(n_10041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g989920 (
+	.A(n_3956),
+	.B_N(n_9898),
+	.Y(n_10040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989921 (
+	.A(n_9680),
+	.B(n_9510),
+	.Y(n_10039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989922 (
+	.A(n_9679),
+	.B(n_9514),
+	.Y(n_10038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989923 (
+	.A(n_9678),
+	.B(n_9518),
+	.Y(n_10037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989924 (
+	.A(n_9676),
+	.B(n_9520),
+	.Y(n_10036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989925 (
+	.A(n_9677),
+	.B(n_9519),
+	.Y(n_10035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989926 (
+	.A(n_9675),
+	.B(n_9521),
+	.Y(n_10034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989927 (
+	.A(n_9674),
+	.B(n_9522),
+	.Y(n_10033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989928 (
+	.A(n_9673),
+	.B(n_9526),
+	.Y(n_10032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989929 (
+	.A(n_9672),
+	.B(n_9530),
+	.Y(n_10031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989930 (
+	.A(n_9671),
+	.B(n_9534),
+	.Y(n_10030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989931 (
+	.A(n_9670),
+	.B(n_9535),
+	.Y(n_10029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989932 (
+	.A(n_9669),
+	.B(n_9536),
+	.Y(n_10028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989933 (
+	.A(n_9667),
+	.B(n_9538),
+	.Y(n_10027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989934 (
+	.A(n_9668),
+	.B(n_9537),
+	.Y(n_10026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989935 (
+	.A(n_9663),
+	.B(n_9529),
+	.Y(n_10025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989936 (
+	.A(n_9664),
+	.B(n_9528),
+	.Y(n_10024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989937 (
+	.A(n_9662),
+	.B(n_9532),
+	.Y(n_10023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989938 (
+	.A(n_9661),
+	.B(n_9533),
+	.Y(n_10022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989939 (
+	.A(n_9864),
+	.B(n_9593),
+	.Y(n_10021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989940 (
+	.A(n_9659),
+	.B(n_9512),
+	.Y(n_10020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989941 (
+	.A(n_9658),
+	.B(n_9513),
+	.Y(n_10019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989942 (
+	.A(n_9657),
+	.B(n_9516),
+	.Y(n_10018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989943 (
+	.A(n_9656),
+	.B(n_9517),
+	.Y(n_10017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989944 (
+	.A1(n_4556),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[20]),
+	.B1(n_4094),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [20]),
+	.C1(n_9423),
+	.X(n_10016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989946 (
+	.A1(n_36323),
+	.A2(n_72440),
+	.B1(n_9601),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [32]),
+	.Y(n_10014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989947 (
+	.A(n_9652),
+	.B(n_9524),
+	.Y(n_10013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g989948 (
+	.A1(n_9601),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [0]),
+	.B1(n_7122),
+	.B2(n_29618),
+	.Y(n_10012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989949 (
+	.A(n_9650),
+	.B(n_9525),
+	.Y(n_10011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989950 (
+	.A(n_9649),
+	.B(n_9527),
+	.Y(n_10010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989951 (
+	.A(n_9648),
+	.B(n_9531),
+	.Y(n_10009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g989952 (
+	.A(n_9232),
+	.B_N(n_9882),
+	.Y(n_10008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g989953 (
+	.A1(n_9612),
+	.A2(soc_top_u_spi_host_spi_host_clgen_cnt[12]),
+	.B1(n_9906),
+	.X(n_10007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 g989954 (
+	.A1(n_4630),
+	.A2(n_8933),
+	.A3(n_36342),
+	.B1(n_2306),
+	.B2(n_77414),
+	.Y(n_10006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989955 (
+	.A(n_9645),
+	.B(n_9508),
+	.Y(n_10005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g989956 (
+	.A1(n_36323),
+	.A2(n_71867),
+	.B1(n_7133),
+	.B2(n_2191),
+	.C1(n_9407),
+	.Y(n_10004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g989957 (
+	.A1(n_36323),
+	.A2(n_69574),
+	.B1(n_7133),
+	.B2(n_2196),
+	.C1(n_9408),
+	.Y(n_10003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g989958 (
+	.A1(n_36323),
+	.A2(\soc_top_lsu_to_xbar[a_address] [26]),
+	.B1(n_7133),
+	.B2(n_2197),
+	.C1(n_9409),
+	.Y(n_10002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989959 (
+	.A(n_9643),
+	.B(n_9509),
+	.Y(n_10001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989960 (
+	.A(n_9642),
+	.B(n_9511),
+	.Y(n_10000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989961 (
+	.A(n_9641),
+	.B(n_9515),
+	.Y(n_9999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g989962 (
+	.A1(n_36323),
+	.A2(n_85991),
+	.B1(n_7133),
+	.B2(n_2202),
+	.C1(n_9410),
+	.Y(n_9998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g989964 (
+	.A(n_4651),
+	.B(n_9350),
+	.C(n_7363),
+	.D(n_8440),
+	.Y(n_9996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g989965 (
+	.A(n_8694),
+	.B(n_7424),
+	.C(n_9127),
+	.D(n_7499),
+	.Y(n_9995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g989966 (
+	.A1(n_4553),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[8]),
+	.B1(n_1232),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [8]),
+	.C1(n_9666),
+	.Y(n_9994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g989967 (
+	.A(n_9903),
+	.B(n_68561),
+	.Y(n_9993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g989968 (
+	.A_N(n_9894),
+	.B(n_68549),
+	.Y(n_9992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989969 (
+	.A(n_9639),
+	.B(n_9523),
+	.Y(n_9991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o311ai_1 g989970 (
+	.A1(n_24),
+	.A2(n_3116),
+	.A3(n_68745),
+	.B1(n_1479),
+	.C1(n_2553),
+	.Y(n_9990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g989971 (
+	.A(n_3322),
+	.B(n_9420),
+	.C(soc_top_u_top_u_core_instr_valid_id),
+	.Y(n_9989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g989972 (
+	.A(n_9866),
+	.B(n_8917),
+	.C(n_8423),
+	.D(n_8437),
+	.Y(n_9988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g989973 (
+	.A1(n_281),
+	.A2(n_9314),
+	.B1(n_7160),
+	.C1(n_7203),
+	.Y(n_9987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g989974 (
+	.A1(n_4671),
+	.A2(soc_top_u_top_u_core_csr_mepc[6]),
+	.B1(n_9784),
+	.Y(n_9986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989975 (
+	.A1(n_684),
+	.A2(n_9353),
+	.B1(n_8886),
+	.Y(n_9985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989976 (
+	.A1(n_3849),
+	.A2(\soc_top_intr_controller_reg2hw[le][2][q] ),
+	.B1(n_3850),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_2_qs),
+	.C1(n_9776),
+	.X(n_9984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989977 (
+	.A1(n_3849),
+	.A2(\soc_top_intr_controller_reg2hw[le][3][q] ),
+	.B1(n_3850),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_3_qs),
+	.C1(n_9775),
+	.X(n_9983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g989978 (
+	.A1(n_3849),
+	.A2(\soc_top_intr_controller_reg2hw[le][4][q] ),
+	.B1(n_3850),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_4_qs),
+	.C1(n_9774),
+	.X(n_9982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g989979 (
+	.A(n_9465),
+	.B(n_9863),
+	.Y(n_9981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989980 (
+	.A(n_9753),
+	.B(n_9359),
+	.Y(n_9980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g989981 (
+	.A1(n_3018),
+	.A2(n_3624),
+	.B1(n_4018),
+	.C1(n_7162),
+	.D1(n_9746),
+	.Y(n_9979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g989982 (
+	.A1(n_4567),
+	.A2(soc_top_u_top_u_core_fp_frm_csr[2]),
+	.B1(n_3149),
+	.C1(n_9357),
+	.Y(n_9978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g989983 (
+	.A_N(n_4584),
+	.B(n_9248),
+	.C(n_2271),
+	.D(n_31112),
+	.Y(n_9977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g989984 (
+	.A1(soc_top_u_pwm_pwm_core_DC_2[8]),
+	.A2(n_144),
+	.B1(n_9724),
+	.Y(n_9976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g989985 (
+	.A1(n_9169),
+	.A2(soc_top_u_top_u_core_rf_raddr_b[3]),
+	.B1(n_69226),
+	.Y(n_9975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g989987 (
+	.A1(n_9169),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[0]),
+	.B1_N(n_9904),
+	.Y(n_9973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g989988 (
+	.A(n_9636),
+	.B(n_9388),
+	.Y(n_9972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989989 (
+	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_valid_q[0]),
+	.A2(n_9582),
+	.B1(n_7153),
+	.Y(n_9971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989990 (
+	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_busy[0]),
+	.A2(n_9582),
+	.B1(n_7153),
+	.Y(n_9970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g989991 (
+	.A1(n_9169),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[4]),
+	.B1(n_9894),
+	.Y(n_9969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989992 (
+	.A1(n_9569),
+	.A2(n_9542),
+	.B1(n_85475),
+	.Y(n_9968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989993 (
+	.A1(n_9569),
+	.A2(n_9545),
+	.B1(n_72749),
+	.Y(n_9967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989994 (
+	.A1(n_9569),
+	.A2(n_9553),
+	.B1(soc_top_u_top_u_core_alu_operand_b_ex[5]),
+	.Y(n_9966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989995 (
+	.A1(n_9569),
+	.A2(n_9547),
+	.B1(soc_top_u_top_u_core_alu_operand_b_ex[7]),
+	.Y(n_9965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989996 (
+	.A1(n_9569),
+	.A2(n_9554),
+	.B1(soc_top_u_top_u_core_alu_operand_b_ex[8]),
+	.Y(n_9964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989997 (
+	.A1(n_9569),
+	.A2(n_9555),
+	.B1(soc_top_u_top_u_core_alu_operand_b_ex[13]),
+	.Y(n_9963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989998 (
+	.A1(n_9569),
+	.A2(n_9556),
+	.B1(soc_top_u_top_u_core_alu_operand_b_ex[14]),
+	.Y(n_9962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g989999 (
+	.A1(n_9569),
+	.A2(n_9557),
+	.B1(soc_top_u_top_u_core_alu_operand_b_ex[15]),
+	.Y(n_9961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g990000 (
+	.A1(n_9569),
+	.A2(n_9558),
+	.B1(soc_top_u_top_u_core_alu_operand_b_ex[16]),
+	.Y(n_9960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g990001 (
+	.A1(n_9569),
+	.A2(n_9559),
+	.B1(soc_top_u_top_u_core_alu_operand_b_ex[18]),
+	.Y(n_9959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g990002 (
+	.A1(n_9569),
+	.A2(n_9560),
+	.B1(soc_top_u_top_u_core_alu_operand_b_ex[19]),
+	.Y(n_9958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g990003 (
+	.A1(n_9569),
+	.A2(n_9561),
+	.B1(soc_top_u_top_u_core_alu_operand_b_ex[20]),
+	.Y(n_9957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g990004 (
+	.A1(n_9569),
+	.A2(n_9562),
+	.B1(soc_top_u_top_u_core_alu_operand_b_ex[21]),
+	.Y(n_9956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g990005 (
+	.A1(n_2590),
+	.A2(n_91432),
+	.B1_N(n_9756),
+	.Y(n_9955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g990006 (
+	.A1(n_4094),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [1]),
+	.B1(n_4096),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [1]),
+	.C1(n_9739),
+	.X(n_9954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g990007 (
+	.A1(n_4671),
+	.A2(soc_top_u_top_u_core_csr_mepc[4]),
+	.B1(n_1232),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [4]),
+	.C1(n_9736),
+	.X(n_9953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g990008 (
+	.A1(n_716),
+	.A2(n_7087),
+	.B1(n_9121),
+	.C1(n_9404),
+	.Y(n_9952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g990009 (
+	.A1(n_84560),
+	.A2(n_7087),
+	.B1(n_8124),
+	.C1(n_9384),
+	.Y(n_9951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g990010 (
+	.A1(n_36323),
+	.A2(n_85990),
+	.B1(n_9734),
+	.Y(n_9950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g990011 (
+	.A1(n_36323),
+	.A2(\soc_top_lsu_to_xbar[a_address] [25]),
+	.B1(n_9801),
+	.Y(n_9949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g990012 (
+	.A1(n_36323),
+	.A2(n_74022),
+	.B1(n_9800),
+	.Y(n_9948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g990013 (
+	.A1(soc_top_u_top_u_core_fp_alu_op_mod),
+	.A2(n_9593),
+	.B1(n_3034),
+	.X(n_9947), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g990014 (
+	.A1(n_36323),
+	.A2(\soc_top_lsu_to_xbar[a_address] [23]),
+	.B1(n_9860),
+	.Y(n_9946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g990015 (
+	.A1(n_36323),
+	.A2(\soc_top_lsu_to_xbar[a_address] [21]),
+	.B1(n_9799),
+	.Y(n_9945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g990016 (
+	.A1(n_36323),
+	.A2(n_71266),
+	.B1(n_9857),
+	.Y(n_9944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g990017 (
+	.A1(n_36323),
+	.A2(\soc_top_lsu_to_xbar[a_address] [11]),
+	.B1(n_7138),
+	.B2(n_2188),
+	.C1(n_9413),
+	.Y(n_9943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g990018 (
+	.A1(n_36323),
+	.A2(n_69940),
+	.B1(n_7138),
+	.B2(n_2197),
+	.C1(n_9414),
+	.Y(n_9942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g990022 (
+	.A(n_4288),
+	.B(n_7322),
+	.C(n_9902),
+	.Y(n_9938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g990023 (
+	.A1(n_36323),
+	.A2(\soc_top_lsu_to_xbar[a_address] [9]),
+	.B1(n_7138),
+	.B2(n_2201),
+	.C1(n_9415),
+	.Y(n_9937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g990024 (
+	.A1(n_36323),
+	.A2(\soc_top_xbar_to_timer[a_address] [6]),
+	.B1(n_7138),
+	.B2(n_2202),
+	.C1(n_9416),
+	.Y(n_9936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g990025 (
+	.A(n_9862),
+	.B(n_8658),
+	.C(n_8856),
+	.D(n_8909),
+	.Y(n_9935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g990026 (
+	.A1(n_7654),
+	.A2(n_35313),
+	.B1(n_9839),
+	.Y(n_9934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g990027 (
+	.A1(n_9585),
+	.A2(n_8593),
+	.B1(n_9911),
+	.Y(n_9933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g990028 (
+	.A1(n_4669),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[25]),
+	.B1(n_4671),
+	.B2(soc_top_u_top_u_core_csr_mepc[25]),
+	.C1(n_9716),
+	.X(n_9932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g990029 (
+	.A1(n_4553),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[30]),
+	.B1(n_35315),
+	.C1(n_8926),
+	.X(n_9931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 g990030 (
+	.A1(n_8624),
+	.A2(n_9584),
+	.B1_N(n_9882),
+	.X(n_9930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g990031 (
+	.A1(n_2297),
+	.A2(n_9593),
+	.B1(n_4462),
+	.Y(n_9929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g990032 (
+	.A1(n_7708),
+	.A2(n_8582),
+	.B1(n_7678),
+	.B2(n_8601),
+	.C1(n_9497),
+	.Y(n_9928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g990033 (
+	.A(n_9878),
+	.B(n_8591),
+	.C(n_8587),
+	.Y(n_9927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g990034 (
+	.A1(n_9583),
+	.A2(n_8599),
+	.B1(n_9882),
+	.Y(n_9926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g990035 (
+	.A(FE_DBTN120_n_84419),
+	.B(n_9352),
+	.C(n_2597),
+	.Y(n_9925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g990036 (
+	.A1(n_4094),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [19]),
+	.B1(n_4096),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [19]),
+	.C1(n_9727),
+	.Y(n_10104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g990038 (
+	.A1(n_3537),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [32]),
+	.B1(n_4669),
+	.B2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[0]),
+	.C1(n_9779),
+	.Y(n_10102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g990039 (
+	.A1(n_3537),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [60]),
+	.B1(n_3535),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [60]),
+	.C1(n_9714),
+	.Y(n_10101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g990040 (
+	.A1(n_3537),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [58]),
+	.B1(n_3535),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [58]),
+	.C1(n_9715),
+	.Y(n_10100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g990041 (
+	.A1(n_3537),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [56]),
+	.B1(n_3535),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [56]),
+	.C1(n_9717),
+	.Y(n_10099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g990042 (
+	.A1(n_3537),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [55]),
+	.B1(n_3535),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [55]),
+	.C1(n_9718),
+	.Y(n_10098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g990043 (
+	.A1(n_3537),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [54]),
+	.B1(n_3535),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [54]),
+	.C1(n_9719),
+	.Y(n_10097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g990044 (
+	.A1(n_4559),
+	.A2(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[2]),
+	.B1(n_2146),
+	.B2(soc_top_u_top_u_core_csr_depc[18]),
+	.C1(n_9721),
+	.Y(n_10095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g990045 (
+	.A1(n_4094),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [16]),
+	.B1(n_4096),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [16]),
+	.C1(n_9722),
+	.Y(n_10093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g990046 (
+	.A1(n_4558),
+	.A2(soc_top_u_top_u_core_csr_mtvec[14]),
+	.B1(n_4671),
+	.B2(soc_top_u_top_u_core_csr_mepc[14]),
+	.C1(n_9743),
+	.Y(n_10091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g990047 (
+	.A1(n_4669),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[10]),
+	.B1(n_4552),
+	.B2(soc_top_u_top_u_core_cs_registers_i_mtval_q[10]),
+	.C1(n_9744),
+	.Y(n_10090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g990048 (
+	.A1(n_4669),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[9]),
+	.B1(n_4552),
+	.B2(soc_top_u_top_u_core_cs_registers_i_mtval_q[9]),
+	.C1(n_9745),
+	.Y(n_10088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g990049 (
+	.A(n_9891),
+	.B(n_8586),
+	.Y(n_10087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g990050 (
+	.A(n_8588),
+	.B_N(n_9889),
+	.Y(n_10086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g990052 (
+	.A1(n_9602),
+	.A2(n_9610),
+	.B1(n_9222),
+	.Y(n_10084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g990053 (
+	.A(n_9903),
+	.B(n_9220),
+	.Y(n_10081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g990054 (
+	.A(n_9221),
+	.B(n_9913),
+	.Y(n_10079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g990055 (
+	.A(n_9897),
+	.B(n_8585),
+	.X(n_10078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g990056 (
+	.A1_N(n_9594),
+	.A2_N(n_9222),
+	.B1(n_9224),
+	.B2(n_9228),
+	.Y(n_10077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g990057 (
+	.A(n_9890),
+	.B(n_8590),
+	.Y(n_10076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g990058 (
+	.A(n_9908),
+	.B(n_9589),
+	.Y(n_10075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g990059 (
+	.A(n_9878),
+	.B(n_8586),
+	.Y(n_10074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g990060 (
+	.A(n_8584),
+	.B(n_68549),
+	.Y(n_10071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g990063 (
+	.A(n_9368),
+	.B(n_2262),
+	.C(n_2272),
+	.X(n_10066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g990065 (
+	.A(n_9215),
+	.B(n_9877),
+	.X(n_10063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g990068 (
+	.A(\soc_top_timer0_gen_harts[0].u_core_tick_count [4]),
+	.B(n_8531),
+	.COUT(n_9921),
+	.SUM(n_9922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g990069 (
+	.A(n_308),
+	.B(n_8525),
+	.COUT(n_9919),
+	.SUM(n_9920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g990765 (
+	.A(n_69433),
+	.Y(n_9893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g990766 (
+	.A(n_9888),
+	.Y(n_9887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g990767 (
+	.A(n_68549),
+	.Y(n_9884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g990768 (
+	.A(n_35311),
+	.Y(n_9878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 g990769 (
+	.A(n_9876),
+	.Y(n_9875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 g990770 (
+	.A(n_9873),
+	.Y(n_9874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g990771 (
+	.A(n_9872),
+	.Y(n_9871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g990772 (
+	.A(soc_top_u_uart_u_uart_core_read_fifo_raddr[5]),
+	.B(n_9316),
+	.Y(n_9868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g990773 (
+	.A1_N(n_9221),
+	.A2_N(n_9222),
+	.B1(n_9220),
+	.B2(n_9217),
+	.Y(n_9867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g990774 (
+	.A1(n_3962),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][33][q] ),
+	.B1(n_4091),
+	.B2(\soc_top_intr_controller_reg2hw[ie0][1][q] ),
+	.C1(n_8660),
+	.Y(n_9866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g990775 (
+	.A1(n_4096),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [12]),
+	.B1(n_7644),
+	.B2(soc_top_u_top_u_core_debug_ebreaku),
+	.C1(n_8947),
+	.Y(n_9865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g990776 (
+	.A1(n_70174),
+	.A2(n_84343),
+	.B1(n_9395),
+	.Y(n_9864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g990777 (
+	.A1(n_7169),
+	.A2(n_9159),
+	.B1(n_7155),
+	.B2(n_7418),
+	.Y(n_9863), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g990778 (
+	.A1(n_35),
+	.A2(n_8582),
+	.B1(n_8910),
+	.C1(n_8659),
+	.X(n_9862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g990779 (
+	.A1_N(n_1950),
+	.A2_N(n_8701),
+	.B1(soc_top_u_pwm_pwm_core_DC_1[7]),
+	.B2(n_2047),
+	.Y(n_9861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g990780 (
+	.A1(n_2210),
+	.A2(n_7134),
+	.B1(n_7623),
+	.C1(n_8739),
+	.Y(n_9860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g990781 (
+	.A1(n_35365),
+	.A2(n_7134),
+	.B1(n_7623),
+	.C1(n_8743),
+	.X(n_9859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g990782 (
+	.A1(n_2193),
+	.A2(n_7134),
+	.B1(n_7623),
+	.C1(n_8745),
+	.X(n_9858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g990783 (
+	.A1(n_2180),
+	.A2(n_7134),
+	.B1(n_7623),
+	.C1(n_8746),
+	.Y(n_9857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g990784 (
+	.A(n_9571),
+	.B(n_29935),
+	.Y(n_9856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g990785 (
+	.A1(n_35366),
+	.A2(n_7134),
+	.B1(n_7623),
+	.C1(n_8747),
+	.X(n_9855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g990790 (
+	.A(soc_top_u_uart_u_uart_core_rx_fifo_rst),
+	.B_N(n_9624),
+	.Y(n_9850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g990791 (
+	.A(soc_top_u_uart_u_uart_core_tx_fifo_clear),
+	.B_N(n_9627),
+	.Y(n_9849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g990792 (
+	.A(\soc_top_timer0_gen_harts[0].u_core_tick_count [0]),
+	.B(n_9578),
+	.Y(n_9848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g990793 (
+	.A(n_9578),
+	.B_N(n_2870),
+	.Y(n_9847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g990794 (
+	.A(n_9578),
+	.B_N(n_4662),
+	.Y(n_9846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g990795 (
+	.A(n_9578),
+	.B_N(n_8532),
+	.Y(n_9845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g990796 (
+	.A(n_9574),
+	.B(soc_top_u_top_u_core_alu_adder_result_ex[1]),
+	.Y(n_9844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g990797 (
+	.A1(n_2183),
+	.A2(n_7139),
+	.B1(n_7623),
+	.C1(n_8754),
+	.X(n_9843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g990798 (
+	.A1(n_2210),
+	.A2(n_7139),
+	.B1(n_7623),
+	.C1(n_8755),
+	.X(n_9842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g990799 (
+	.A1(n_2189),
+	.A2(n_7139),
+	.B1(n_7623),
+	.C1(n_8757),
+	.X(n_9841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g990800 (
+	.A1(n_2185),
+	.A2(n_7139),
+	.B1(n_7623),
+	.C1(n_8758),
+	.X(n_9840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g990801 (
+	.A(n_8515),
+	.B(n_9358),
+	.Y(n_9839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g990802 (
+	.A(n_9424),
+	.B(n_9133),
+	.Y(n_9838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g990803 (
+	.A(n_9425),
+	.B(n_9134),
+	.Y(n_9837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g990804 (
+	.A(n_9135),
+	.B(n_9426),
+	.Y(n_9836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g990805 (
+	.A(n_9137),
+	.B(n_9428),
+	.Y(n_9835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g990806 (
+	.A(n_9136),
+	.B(n_9427),
+	.Y(n_9834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g990807 (
+	.A(n_9138),
+	.B(n_9429),
+	.Y(n_9833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g990808 (
+	.A(n_9139),
+	.B(n_9430),
+	.Y(n_9832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g990809 (
+	.A(n_9140),
+	.B(n_9431),
+	.Y(n_9831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g990810 (
+	.A(n_9351),
+	.B(n_9071),
+	.Y(n_9830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g990811 (
+	.A(n_9432),
+	.B(n_8000),
+	.Y(n_9829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g990812 (
+	.A(n_9433),
+	.B(n_9141),
+	.Y(n_9828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g990813 (
+	.A(n_9434),
+	.B(n_7768),
+	.Y(n_9827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g990814 (
+	.A(n_9435),
+	.B(n_9142),
+	.Y(n_9826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g990815 (
+	.A(n_9436),
+	.B(n_9143),
+	.Y(n_9825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g990816 (
+	.A(n_9437),
+	.B(n_7999),
+	.Y(n_9824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g990817 (
+	.A(n_9438),
+	.B(n_7998),
+	.Y(n_9823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g990818 (
+	.A(n_92756),
+	.B(n_9145),
+	.Y(n_9822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g990819 (
+	.A(n_9439),
+	.B(n_9144),
+	.Y(n_9821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g990820 (
+	.A(n_92755),
+	.B(n_7997),
+	.Y(n_9820), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g990821 (
+	.A(n_9442),
+	.B(n_9146),
+	.Y(n_9819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g990822 (
+	.A(n_9443),
+	.B(n_9147),
+	.Y(n_9818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g990823 (
+	.A(n_9444),
+	.B(n_7996),
+	.Y(n_9817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g990824 (
+	.A(n_9446),
+	.B(n_9148),
+	.Y(n_9816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g990825 (
+	.A(n_9445),
+	.B(n_7995),
+	.Y(n_9815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g990826 (
+	.A(n_9447),
+	.B(n_9149),
+	.Y(n_9814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g990827 (
+	.A(n_9448),
+	.B(n_9150),
+	.Y(n_9813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g990828 (
+	.A(n_9449),
+	.B(n_9151),
+	.Y(n_9812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g990829 (
+	.A(n_9450),
+	.B(n_9152),
+	.Y(n_9811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g990830 (
+	.A(n_9451),
+	.B(n_9153),
+	.Y(n_9810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g990831 (
+	.A(n_9452),
+	.B(n_9154),
+	.Y(n_9809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g990832 (
+	.A(n_9453),
+	.B(n_9155),
+	.Y(n_9808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g990833 (
+	.A1(n_439),
+	.A2(n_24),
+	.A3(n_2693),
+	.B1(n_9631),
+	.Y(n_9807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g990834 (
+	.A(n_7152),
+	.B(n_9582),
+	.Y(n_9806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g990835 (
+	.A1(n_35365),
+	.A2(n_7139),
+	.B1(n_7623),
+	.C1(n_8759),
+	.X(n_9805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g990836 (
+	.A(n_9565),
+	.B(n_8611),
+	.Y(n_9804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g990837 (
+	.A1(n_2193),
+	.A2(n_7139),
+	.B1(n_7623),
+	.C1(n_8761),
+	.X(n_9803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g990838 (
+	.A1(n_2198),
+	.A2(n_7139),
+	.B1(n_7623),
+	.C1(n_8760),
+	.X(n_9802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g990839 (
+	.A1(n_2200),
+	.A2(n_7134),
+	.B1(n_7623),
+	.C1(n_8737),
+	.Y(n_9801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g990840 (
+	.A1(n_2183),
+	.A2(n_7134),
+	.B1(n_7623),
+	.C1(n_8738),
+	.Y(n_9800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g990841 (
+	.A1(n_2189),
+	.A2(n_7134),
+	.B1(n_7623),
+	.C1(n_8741),
+	.Y(n_9799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g990842 (
+	.A1(n_2185),
+	.A2(n_7134),
+	.B1(n_7623),
+	.C1(n_8742),
+	.X(n_9798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g990843 (
+	.A1(n_2207),
+	.A2(n_7139),
+	.B1(n_7623),
+	.C1(n_8748),
+	.X(n_9797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g990844 (
+	.A1(n_7138),
+	.A2(n_2191),
+	.B1(n_9411),
+	.Y(n_9796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g990845 (
+	.A(n_9543),
+	.B(n_9568),
+	.Y(n_9795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g990846 (
+	.A(n_9544),
+	.B(n_9568),
+	.Y(n_9794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g990847 (
+	.A(n_9546),
+	.B(n_9568),
+	.Y(n_9793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g990848 (
+	.A(n_9548),
+	.B(n_9568),
+	.Y(n_9792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g990849 (
+	.A(n_9549),
+	.B(n_9568),
+	.Y(n_9791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g990850 (
+	.A(n_9550),
+	.B(n_9568),
+	.Y(n_9790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g990851 (
+	.A(n_9551),
+	.B(n_9568),
+	.Y(n_9789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g990852 (
+	.A(n_9552),
+	.B(n_9568),
+	.Y(n_9788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g990853 (
+	.A1(n_7138),
+	.A2(n_2196),
+	.B1(n_9412),
+	.Y(n_9787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g990854 (
+	.A1(n_4094),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [5]),
+	.B1(n_4553),
+	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[5]),
+	.C1(n_8929),
+	.Y(n_9786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g990855 (
+	.A1(n_8620),
+	.A2(n_8853),
+	.B1(n_8912),
+	.Y(n_9785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g990856 (
+	.A(n_7474),
+	.B(n_9124),
+	.C(n_7162),
+	.Y(n_9784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g990857 (
+	.A(n_7477),
+	.B(n_9126),
+	.C(n_4555),
+	.Y(n_9783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g990858 (
+	.A(n_8915),
+	.B(n_1949),
+	.C(n_1375),
+	.Y(n_9782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g990859 (
+	.A1(n_7654),
+	.A2(n_7675),
+	.B1(n_8356),
+	.C1(n_7419),
+	.D1(n_9122),
+	.Y(n_9781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g990860 (
+	.A1(n_261),
+	.A2(n_8529),
+	.B1(n_7160),
+	.C1(n_7175),
+	.Y(n_9780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g990861 (
+	.A(n_9360),
+	.B(n_7460),
+	.C(n_8382),
+	.D(n_8355),
+	.Y(n_9779), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g990862 (
+	.A(n_8495),
+	.B(n_8372),
+	.C(n_6901),
+	.D(n_6038),
+	.Y(n_9778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g990863 (
+	.A(n_8376),
+	.B(n_7350),
+	.C(n_6899),
+	.D(n_3237),
+	.Y(n_9777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g990864 (
+	.A1(n_4091),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][2][q] ),
+	.B1(n_3961),
+	.B2(\soc_top_intr_controller_irq_id_o[0] [2]),
+	.C1(n_8922),
+	.X(n_9776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g990865 (
+	.A1(n_4091),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][3][q] ),
+	.B1(n_3961),
+	.B2(\soc_top_intr_controller_irq_id_o[0] [3]),
+	.C1(n_8921),
+	.X(n_9775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g990866 (
+	.A1(n_4091),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][4][q] ),
+	.B1(n_3961),
+	.B2(\soc_top_intr_controller_irq_id_o[0] [4]),
+	.C1(n_8920),
+	.X(n_9774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g990868 (
+	.A1(n_8570),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [2]),
+	.B1_N(n_9590),
+	.Y(n_9772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g990869 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[3]),
+	.A2(n_8567),
+	.B1(n_9568),
+	.Y(n_9771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g990870 (
+	.A1(n_72749),
+	.A2(n_8567),
+	.B1(n_9568),
+	.Y(n_9770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g990871 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[5]),
+	.A2(n_8567),
+	.B1(n_9568),
+	.Y(n_9769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g990872 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[7]),
+	.A2(n_8567),
+	.B1(n_9568),
+	.Y(n_9768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g990873 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[8]),
+	.A2(n_8567),
+	.B1(n_9568),
+	.Y(n_9767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g990874 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[10]),
+	.A2(n_8567),
+	.B1(n_9568),
+	.Y(n_9766), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g990875 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[11]),
+	.A2(n_8567),
+	.B1(n_9568),
+	.Y(n_9765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g990876 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[12]),
+	.A2(n_8567),
+	.B1(n_9568),
+	.Y(n_9764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g990877 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[17]),
+	.A2(n_8567),
+	.B1(n_9568),
+	.Y(n_9763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g990878 (
+	.A1(n_1403),
+	.A2(n_8571),
+	.B1(n_9590),
+	.Y(n_9762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g990879 (
+	.A1(n_3042),
+	.A2(n_8571),
+	.B1(n_9590),
+	.Y(n_9761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g990880 (
+	.A1(soc_top_u_top_u_core_instr_rdata_c_id[1]),
+	.A2(n_9169),
+	.B1_N(n_9592),
+	.X(n_9760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g990881 (
+	.A1(n_29832),
+	.A2(n_25726),
+	.B1(n_9569),
+	.Y(n_9759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g990882 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[22]),
+	.A2(n_29854),
+	.B1(n_9569),
+	.Y(n_9758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g990883 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[23]),
+	.A2(n_29855),
+	.B1(n_9569),
+	.Y(n_9757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g990884 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[24]),
+	.A2(n_29856),
+	.B1(n_9569),
+	.Y(n_9756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g990885 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[25]),
+	.A2(n_29857),
+	.B1(n_9569),
+	.Y(n_9755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g990886 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[26]),
+	.A2(n_29858),
+	.B1(n_9569),
+	.Y(n_9754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g990887 (
+	.A1(n_8907),
+	.A2(n_8436),
+	.B1(n_9391),
+	.Y(n_9753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g990888 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[27]),
+	.A2(n_29859),
+	.B1(n_9569),
+	.Y(n_9752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g990889 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[28]),
+	.A2(n_29860),
+	.B1(n_9569),
+	.Y(n_9751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g990890 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[29]),
+	.A2(n_29861),
+	.B1(n_9569),
+	.Y(n_9750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g990891 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[30]),
+	.A2(n_29862),
+	.B1(n_9569),
+	.Y(n_9749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g990892 (
+	.A1(n_1541),
+	.A2(n_2285),
+	.A3(n_8630),
+	.B1(n_1281),
+	.Y(n_9748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g990893 (
+	.A1(n_4554),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mcountinhibit_q[2]),
+	.B1(n_35336),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_fflags_q[OF] ),
+	.C1(n_9505),
+	.X(n_9747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g990894 (
+	.A1(n_4094),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [3]),
+	.B1(n_4552),
+	.B2(soc_top_u_top_u_core_cs_registers_i_mtval_q[3]),
+	.C1(n_9454),
+	.Y(n_9746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g990895 (
+	.A1(n_3537),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [41]),
+	.B1(n_3535),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [41]),
+	.C1(n_9356),
+	.X(n_9745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g990896 (
+	.A1(n_3537),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [42]),
+	.B1(n_3535),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [42]),
+	.C1(n_9355),
+	.X(n_9744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g990897 (
+	.A1(n_3537),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [46]),
+	.B1(n_3535),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [46]),
+	.C1(n_9354),
+	.X(n_9743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g990898 (
+	.A1(n_4669),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[17]),
+	.B1(n_4096),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [17]),
+	.C1(n_9506),
+	.Y(n_9742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g990899 (
+	.A1(n_4556),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[29]),
+	.B1(n_9507),
+	.Y(n_9741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g990900 (
+	.A1(n_69225),
+	.A2(n_8599),
+	.B1(n_9229),
+	.C1(n_8585),
+	.Y(n_9740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g990901 (
+	.A1(n_7644),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[prv] [1]),
+	.B1(n_35336),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_fflags_q[UF] ),
+	.C1(n_9364),
+	.X(n_9739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41o_1 g990902 (
+	.A1(n_8634),
+	.A2(n_8449),
+	.A3(n_8628),
+	.A4(n_7675),
+	.B1(n_8601),
+	.X(n_9738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g990903 (
+	.A1(n_614),
+	.A2(n_8571),
+	.B1(n_9611),
+	.Y(n_9737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g990904 (
+	.A1(n_4556),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[4]),
+	.B1(n_4094),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [4]),
+	.C1(n_8930),
+	.X(n_9736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g990905 (
+	.A1(n_8632),
+	.A2(n_1358),
+	.B1(n_8959),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [12]),
+	.Y(n_9735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g990906 (
+	.A1(n_2187),
+	.A2(n_7134),
+	.B1(n_7623),
+	.C1(n_8735),
+	.Y(n_9734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g990907 (
+	.A1(n_2198),
+	.A2(n_7134),
+	.B1(n_7623),
+	.C1(n_8744),
+	.X(n_9733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g990908 (
+	.A1(n_4778),
+	.A2(n_8571),
+	.B1(n_9590),
+	.Y(n_9732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g990909 (
+	.A1(n_4556),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[11]),
+	.B1(n_4558),
+	.B2(soc_top_u_top_u_core_csr_mtvec[11]),
+	.C1(n_8949),
+	.Y(n_9731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g990910 (
+	.A1(n_8570),
+	.A2(n_1241),
+	.B1(n_3968),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [1]),
+	.C1(n_9230),
+	.Y(n_9730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g990911 (
+	.A1(n_3314),
+	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[3]),
+	.B1(n_2594),
+	.B2(n_29920),
+	.C1(n_8955),
+	.X(n_9729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g990912 (
+	.A1(n_7082),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [32]),
+	.B1(n_7614),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [31]),
+	.C1(n_9539),
+	.X(n_9728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g990913 (
+	.A(n_8693),
+	.B(n_8365),
+	.C(n_7481),
+	.D(n_4481),
+	.Y(n_9727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g990914 (
+	.A1(n_4733),
+	.A2(n_8600),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [8]),
+	.B2(n_8581),
+	.C1(n_8570),
+	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [6]),
+	.Y(n_9726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g990915 (
+	.A1(n_4734),
+	.A2(n_8600),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [9]),
+	.B2(n_8581),
+	.C1(n_8570),
+	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [7]),
+	.Y(n_9725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g990916 (
+	.A1(n_1808),
+	.A2(n_2695),
+	.B1(n_2042),
+	.B2(n_2442),
+	.C1(n_8914),
+	.C2(n_2695),
+	.Y(n_9724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g990918 (
+	.A1(n_4669),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[16]),
+	.B1(n_4671),
+	.B2(soc_top_u_top_u_core_csr_mepc[16]),
+	.C1(n_9467),
+	.X(n_9722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g990919 (
+	.A1(n_4669),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[18]),
+	.B1(n_4671),
+	.B2(soc_top_u_top_u_core_csr_mepc[18]),
+	.C1(n_9422),
+	.X(n_9721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g990920 (
+	.A1(n_4669),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[21]),
+	.B1(n_4552),
+	.B2(soc_top_u_top_u_core_cs_registers_i_mtval_q[21]),
+	.C1(n_9463),
+	.Y(n_9720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g990921 (
+	.A(n_8511),
+	.B(n_8432),
+	.C(n_7486),
+	.D(n_8367),
+	.Y(n_9719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g990922 (
+	.A(n_8509),
+	.B(n_8431),
+	.C(n_7488),
+	.D(n_8368),
+	.Y(n_9718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g990923 (
+	.A(n_8505),
+	.B(n_8430),
+	.C(n_7490),
+	.D(n_8369),
+	.Y(n_9717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g990924 (
+	.A(n_8923),
+	.B(n_7492),
+	.C(n_4555),
+	.D(n_8370),
+	.Y(n_9716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g990925 (
+	.A(n_8496),
+	.B(n_8429),
+	.C(n_7494),
+	.D(n_8371),
+	.Y(n_9715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g990926 (
+	.A(n_8493),
+	.B(n_8428),
+	.C(n_7495),
+	.D(n_8373),
+	.Y(n_9714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g990927 (
+	.A1(n_8692),
+	.A2(n_2505),
+	.B1(n_7118),
+	.B2(n_84419),
+	.Y(n_9713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g990928 (
+	.A1(n_8691),
+	.A2(n_2504),
+	.B1(n_7118),
+	.B2(n_75549),
+	.Y(n_9712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g990929 (
+	.A1(n_8690),
+	.A2(n_2487),
+	.B1(n_7118),
+	.B2(n_87578),
+	.Y(n_9711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g990930 (
+	.A1(n_8688),
+	.A2(n_2497),
+	.B1(n_7118),
+	.B2(n_70008),
+	.Y(n_9710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g990931 (
+	.A1(n_8689),
+	.A2(n_2495),
+	.B1(n_7118),
+	.B2(n_84427),
+	.Y(n_9709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g990932 (
+	.A1(n_8687),
+	.A2(n_2478),
+	.B1(n_7118),
+	.B2(n_84455),
+	.Y(n_9708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g990933 (
+	.A1(n_8685),
+	.A2(n_2486),
+	.B1(n_7118),
+	.B2(n_70004),
+	.Y(n_9707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g990935 (
+	.A1(n_8683),
+	.A2(n_2489),
+	.B1(n_7118),
+	.B2(n_72528),
+	.Y(n_9705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g990936 (
+	.A1(n_8686),
+	.A2(n_2508),
+	.B1(n_7118),
+	.B2(n_84431),
+	.Y(n_9704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g990937 (
+	.A1(n_8682),
+	.A2(n_2506),
+	.B1(n_7118),
+	.B2(n_70064),
+	.Y(n_9703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g990938 (
+	.A1(n_8681),
+	.A2(n_2479),
+	.B1(n_7118),
+	.B2(n_69280),
+	.Y(n_9702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g990939 (
+	.A1(n_8680),
+	.A2(n_2480),
+	.B1(n_7118),
+	.B2(n_74612),
+	.Y(n_9701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g990940 (
+	.A1(n_8679),
+	.A2(n_2481),
+	.B1(n_7118),
+	.B2(n_72176),
+	.Y(n_9700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g990941 (
+	.A1(n_8678),
+	.A2(n_2483),
+	.B1(n_7118),
+	.B2(\soc_top_xbar_to_timer[a_data] [14]),
+	.Y(n_9699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g990942 (
+	.A1(n_8677),
+	.A2(n_2502),
+	.B1(n_7118),
+	.B2(n_70012),
+	.Y(n_9698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g990944 (
+	.A1(n_8675),
+	.A2(n_2484),
+	.B1(n_7118),
+	.B2(n_72527),
+	.Y(n_9696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g990945 (
+	.A1(n_8674),
+	.A2(n_2488),
+	.B1(n_7118),
+	.B2(n_84182),
+	.Y(n_9695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g990946 (
+	.A1(n_8673),
+	.A2(n_2490),
+	.B1(n_7118),
+	.B2(\soc_top_xbar_to_timer[a_data] [20]),
+	.Y(n_9694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g990947 (
+	.A1(n_72890),
+	.A2(n_2492),
+	.B1(n_7118),
+	.B2(n_72887),
+	.Y(n_9693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g990948 (
+	.A1(n_8672),
+	.A2(n_2491),
+	.B1(n_7118),
+	.B2(\soc_top_xbar_to_timer[a_data] [21]),
+	.Y(n_9692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g990949 (
+	.A1(n_8670),
+	.A2(n_2493),
+	.B1(n_7118),
+	.B2(n_74743),
+	.Y(n_9691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g990950 (
+	.A1(n_8669),
+	.A2(n_2494),
+	.B1(n_7118),
+	.B2(n_68334),
+	.Y(n_9690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g990951 (
+	.A1(n_8668),
+	.A2(n_2485),
+	.B1(n_7118),
+	.B2(n_68259),
+	.Y(n_9689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g990952 (
+	.A1(n_8665),
+	.A2(n_2501),
+	.B1(n_7118),
+	.B2(\soc_top_xbar_to_timer[a_data] [28]),
+	.Y(n_9688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g990954 (
+	.A1(n_8666),
+	.A2(n_2500),
+	.B1(n_7118),
+	.B2(\soc_top_xbar_to_timer[a_data] [27]),
+	.Y(n_9686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g990955 (
+	.A1(n_8664),
+	.A2(n_2499),
+	.B1(n_7118),
+	.B2(\soc_top_xbar_to_timer[a_data] [29]),
+	.Y(n_9685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g990956 (
+	.A1(n_8663),
+	.A2(n_2498),
+	.B1(n_7118),
+	.B2(\soc_top_xbar_to_timer[a_data] [30]),
+	.Y(n_9684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g990957 (
+	.A1(n_8662),
+	.A2(n_2509),
+	.B1(n_7118),
+	.B2(n_68268),
+	.Y(n_9683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g990958 (
+	.A(n_29644),
+	.B(n_29650),
+	.C(n_29646),
+	.D(n_92754),
+	.X(n_9682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g990959 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [8]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [9]),
+	.C(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [10]),
+	.D(n_8378),
+	.X(n_9681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g990960 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [2]),
+	.A2(n_7614),
+	.B1(n_2199),
+	.B2(n_7640),
+	.C1(n_8573),
+	.C2(n_29622),
+	.Y(n_9680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g990961 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [6]),
+	.A2(n_7614),
+	.B1(n_2202),
+	.B2(n_7640),
+	.C1(n_8569),
+	.C2(n_35508),
+	.Y(n_9679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g990962 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [10]),
+	.A2(n_7614),
+	.B1(n_2197),
+	.B2(n_7640),
+	.C1(n_8569),
+	.C2(n_29639),
+	.Y(n_9678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g990963 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [11]),
+	.A2(n_7614),
+	.B1(n_2188),
+	.B2(n_7640),
+	.C1(n_8573),
+	.C2(n_29639),
+	.Y(n_9677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g990964 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [12]),
+	.A2(n_7614),
+	.B1(n_2196),
+	.B2(n_7640),
+	.C1(n_8569),
+	.C2(n_29643),
+	.Y(n_9676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g990965 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [13]),
+	.A2(n_7614),
+	.B1(n_2191),
+	.B2(n_7640),
+	.C1(n_8569),
+	.C2(n_29645),
+	.Y(n_9675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g990966 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [14]),
+	.A2(n_7614),
+	.B1(n_2208),
+	.B2(n_7640),
+	.C1(n_8573),
+	.C2(n_29645),
+	.Y(n_9674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g990967 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [18]),
+	.A2(n_7614),
+	.B1(n_2199),
+	.B2(n_7635),
+	.C1(n_8573),
+	.C2(n_29653),
+	.Y(n_9673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g990968 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [22]),
+	.A2(n_7614),
+	.B1(n_2202),
+	.B2(n_7635),
+	.C1(n_8573),
+	.C2(n_29661),
+	.Y(n_9672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g990969 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [26]),
+	.A2(n_7614),
+	.B1(n_2197),
+	.B2(n_7635),
+	.C1(n_8573),
+	.C2(n_29669),
+	.Y(n_9671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g990970 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [27]),
+	.A2(n_7614),
+	.B1(n_2188),
+	.B2(n_7635),
+	.C1(n_8569),
+	.C2(n_29673),
+	.Y(n_9670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g990971 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [28]),
+	.A2(n_7614),
+	.B1(n_2196),
+	.B2(n_7635),
+	.C1(n_8569),
+	.C2(n_29675),
+	.Y(n_9669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g990972 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [29]),
+	.A2(n_7614),
+	.B1(n_2191),
+	.B2(n_7635),
+	.C1(n_8569),
+	.C2(n_29677),
+	.Y(n_9668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g990973 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [30]),
+	.A2(n_7614),
+	.B1(n_2208),
+	.B2(n_7635),
+	.C1(n_8569),
+	.C2(n_29679),
+	.Y(n_9667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g990974 (
+	.A(n_8695),
+	.B(n_6909),
+	.C(n_6323),
+	.Y(n_9666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g990975 (
+	.A1(n_8631),
+	.A2(n_7626),
+	.B1(n_30703),
+	.B2(n_8566),
+	.C1(n_7086),
+	.C2(\soc_top_lsu_to_xbar[a_address] [30]),
+	.Y(n_9665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g990976 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [20]),
+	.A2(n_7614),
+	.B1(n_2186),
+	.B2(n_7635),
+	.C1(n_8573),
+	.C2(n_29657),
+	.Y(n_9664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g990977 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [21]),
+	.A2(n_7614),
+	.B1(n_2190),
+	.B2(n_7635),
+	.C1(n_8573),
+	.C2(n_29659),
+	.Y(n_9663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g990978 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [24]),
+	.A2(n_7614),
+	.B1(n_2184),
+	.B2(n_7635),
+	.C1(n_8569),
+	.C2(n_29667),
+	.Y(n_9662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g990979 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [25]),
+	.A2(n_7614),
+	.B1(n_2201),
+	.B2(n_7635),
+	.C1(n_8573),
+	.C2(n_29667),
+	.Y(n_9661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g990980 (
+	.A1(n_7679),
+	.A2(n_8601),
+	.B1(n_9501),
+	.X(n_9660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g990981 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [4]),
+	.A2(n_7614),
+	.B1(n_2186),
+	.B2(n_7640),
+	.C1(n_8569),
+	.C2(n_29627),
+	.Y(n_9659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g990982 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [5]),
+	.A2(n_7614),
+	.B1(n_2190),
+	.B2(n_7640),
+	.C1(n_8569),
+	.C2(n_29629),
+	.Y(n_9658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g990983 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [8]),
+	.A2(n_7614),
+	.B1(n_2184),
+	.B2(n_7640),
+	.C1(n_8569),
+	.C2(n_29635),
+	.Y(n_9657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g990984 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [9]),
+	.A2(n_7614),
+	.B1(n_2201),
+	.B2(n_7640),
+	.C1(n_8573),
+	.C2(n_29635),
+	.Y(n_9656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g990985 (
+	.A1(n_86471),
+	.A2(n_73963),
+	.B1(n_7459),
+	.Y(n_9655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g990986 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [17]),
+	.A2(n_8581),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [15]),
+	.B2(n_8570),
+	.C1(n_8583),
+	.C2(n_7698),
+	.Y(n_9654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g990987 (
+	.A1(n_3075),
+	.A2(n_1952),
+	.B1(n_3692),
+	.C1(n_3693),
+	.D1(n_8383),
+	.Y(n_9653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g990988 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [16]),
+	.A2(n_7614),
+	.B1(n_2181),
+	.B2(n_7635),
+	.C1(n_8569),
+	.C2(n_35503),
+	.Y(n_9652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g990989 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [13]),
+	.A2(n_8581),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [11]),
+	.B2(n_8570),
+	.C1(n_8583),
+	.C2(n_7703),
+	.Y(n_9651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g990990 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [17]),
+	.A2(n_7614),
+	.B1(n_2194),
+	.B2(n_7635),
+	.C1(n_8569),
+	.C2(n_29653),
+	.Y(n_9650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g990991 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [19]),
+	.A2(n_7614),
+	.B1(n_2203),
+	.B2(n_7635),
+	.C1(n_8569),
+	.C2(n_29657),
+	.Y(n_9649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g990992 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [23]),
+	.A2(n_7614),
+	.B1(n_2209),
+	.B2(n_7635),
+	.C1(n_8573),
+	.C2(n_35505),
+	.Y(n_9648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g990993 (
+	.A(n_9583),
+	.B(n_9241),
+	.C(n_8585),
+	.X(n_9647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g990994 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [12]),
+	.A2(n_8581),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [10]),
+	.B2(n_8570),
+	.C1(n_8583),
+	.C2(n_7702),
+	.Y(n_9646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g990995 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [0]),
+	.A2(n_7614),
+	.B1(n_2181),
+	.B2(n_7640),
+	.C1(n_8573),
+	.C2(n_29618),
+	.Y(n_9645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g990996 (
+	.A1(n_8570),
+	.A2(n_2256),
+	.B1_N(n_9590),
+	.Y(n_9644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g990997 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [1]),
+	.A2(n_7614),
+	.B1(n_2194),
+	.B2(n_7640),
+	.C1(n_8573),
+	.C2(n_29620),
+	.Y(n_9643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g990998 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [3]),
+	.A2(n_7614),
+	.B1(n_2203),
+	.B2(n_7640),
+	.C1(n_8569),
+	.C2(n_29680),
+	.Y(n_9642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g990999 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [7]),
+	.A2(n_7614),
+	.B1(n_2209),
+	.B2(n_7640),
+	.C1(n_8573),
+	.C2(n_35508),
+	.Y(n_9641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g991000 (
+	.A(n_9227),
+	.B(n_9224),
+	.C(n_8590),
+	.X(n_9640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g991001 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [15]),
+	.A2(n_7614),
+	.B1(n_2205),
+	.B2(n_7635),
+	.C1(n_8573),
+	.C2(n_29647),
+	.Y(n_9639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g991002 (
+	.A1(n_9244),
+	.A2(soc_top_u_spi_host_spi_host_clgen_cnt[8]),
+	.B1(n_9603),
+	.X(n_9638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g991003 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [4]),
+	.A2(n_8581),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [2]),
+	.B2(n_8570),
+	.C1(n_8583),
+	.C2(n_7705),
+	.Y(n_9637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g991004 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [5]),
+	.A2(n_8581),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [3]),
+	.B2(n_8570),
+	.C1(n_8583),
+	.C2(n_7707),
+	.Y(n_9636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g991005 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [6]),
+	.A2(n_8581),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [4]),
+	.B2(n_8570),
+	.C1(n_8583),
+	.C2(n_7704),
+	.Y(n_9635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g991006 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [7]),
+	.A2(n_8581),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [5]),
+	.B2(n_8570),
+	.C1(n_8583),
+	.C2(n_7701),
+	.Y(n_9634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g991007 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [10]),
+	.A2(n_8581),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [8]),
+	.B2(n_8570),
+	.C1(n_8583),
+	.C2(n_7676),
+	.Y(n_9633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g991008 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [11]),
+	.A2(n_8581),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [9]),
+	.B2(n_8570),
+	.C1(n_8583),
+	.C2(n_7674),
+	.Y(n_9632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g991203 (
+	.A1(n_2731),
+	.A2(n_9246),
+	.B1(soc_top_u_top_u_core_fp_alu_operator[2]),
+	.Y(n_9916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g991207 (
+	.A(n_9581),
+	.B(n_7152),
+	.Y(n_9915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g991245 (
+	.A(n_9586),
+	.B_N(n_9594),
+	.Y(n_9914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g991248 (
+	.A(n_9584),
+	.B_N(n_9229),
+	.Y(n_9913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g991249 (
+	.A(n_9224),
+	.B(n_9592),
+	.Y(n_9911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g991306 (
+	.A1(n_2271),
+	.A2(n_9249),
+	.B1_N(n_36342),
+	.Y(n_9910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g991398 (
+	.A(n_9250),
+	.B(n_8613),
+	.C(n_8608),
+	.D(n_8589),
+	.Y(n_9908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g991423 (
+	.A(n_9227),
+	.B(n_9224),
+	.C(n_8587),
+	.X(n_9907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g991434 (
+	.A(soc_top_u_spi_host_spi_host_clgen_cnt[12]),
+	.B(n_9612),
+	.Y(n_9906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g991435 (
+	.A(n_9600),
+	.B(n_54294),
+	.X(n_9905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g991436 (
+	.A(n_9594),
+	.B(n_9586),
+	.X(n_9904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g991437 (
+	.A(n_9589),
+	.B(n_9221),
+	.Y(n_9903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g991438 (
+	.A1(n_9247),
+	.A2(n_2284),
+	.B1(n_1509),
+	.Y(n_9902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g991439 (
+	.A(n_9239),
+	.B(FE_DBTN119_n_87578),
+	.C(n_84455),
+	.Y(n_9901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g991440 (
+	.A(n_9220),
+	.B(n_68561),
+	.Y(n_9900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g991441 (
+	.A(n_9362),
+	.B(\soc_top_timer0_reg2hw[ctrl][0][q] ),
+	.Y(n_9899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32a_1 g991442 (
+	.A1(n_3277),
+	.A2(n_3278),
+	.A3(n_8696),
+	.B1(n_29569),
+	.B2(n_29564),
+	.X(n_9898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g991443 (
+	.A(n_9250),
+	.B(n_8589),
+	.C(n_8609),
+	.D(n_8613),
+	.X(n_9897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g991444 (
+	.A(n_9585),
+	.B(n_9602),
+	.Y(n_9896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g991445 (
+	.A(n_9588),
+	.B(n_9586),
+	.Y(n_9894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g991447 (
+	.A(n_9586),
+	.B_N(n_9221),
+	.Y(n_9891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 g991448 (
+	.A_N(n_69231),
+	.B(n_9232),
+	.C(n_8607),
+	.D(n_8603),
+	.X(n_9890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g991449 (
+	.A(n_9583),
+	.B(n_8595),
+	.C(n_8585),
+	.X(n_9889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g991450 (
+	.A(n_9584),
+	.B(n_68561),
+	.Y(n_9888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g991451 (
+	.A(n_9596),
+	.B(FE_DBTN120_n_84419),
+	.C(FE_DBTN119_n_87578),
+	.Y(n_9886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g991453 (
+	.A(n_1387),
+	.B(n_9596),
+	.Y(n_9883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g991454 (
+	.A(n_8585),
+	.B(n_9584),
+	.Y(n_9882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g991455 (
+	.A(n_9595),
+	.B(FE_DBTN120_n_84419),
+	.C(n_87578),
+	.X(n_9881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g991456 (
+	.A(FE_DBTN119_n_87578),
+	.B(FE_DBTN120_n_84419),
+	.C(n_9595),
+	.X(n_9880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_2 g991458 (
+	.A(n_9597),
+	.B_N(n_31545),
+	.Y(n_9877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g991459 (
+	.A(n_86920),
+	.B(n_9215),
+	.Y(n_9876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g991460 (
+	.A(n_9377),
+	.B(n_9593),
+	.Y(n_9873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g991461 (
+	.A(n_9611),
+	.B(n_8419),
+	.Y(n_9872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g991462 (
+	.A(n_9601),
+	.B(n_6866),
+	.X(n_9870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g991464 (
+	.A(n_9630),
+	.Y(n_9629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g991465 (
+	.A(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.B(n_7711),
+	.COUT(n_9631),
+	.SUM(n_9628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g991466 (
+	.A(soc_top_u_uart_u_uart_core_write_fifo_waddr[6]),
+	.B(n_7715),
+	.COUT(n_9626),
+	.SUM(n_9627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g991467 (
+	.A(n_7210),
+	.B(n_70372),
+	.COUT(n_9630),
+	.SUM(n_9625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g991468 (
+	.A(soc_top_u_uart_u_uart_core_read_fifo_raddr[6]),
+	.B(n_7211),
+	.COUT(n_9623),
+	.SUM(n_9624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g992291 (
+	.A(n_9379),
+	.Y(n_9622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g992292 (
+	.A(n_9378),
+	.Y(n_9621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g992293 (
+	.A(n_9376),
+	.Y(n_9620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g992294 (
+	.A(n_9375),
+	.Y(n_9619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g992295 (
+	.A(n_9374),
+	.Y(n_9618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g992296 (
+	.A(n_9373),
+	.Y(n_9617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g992297 (
+	.A(n_9372),
+	.Y(n_9616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g992298 (
+	.A(n_9371),
+	.Y(n_9615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g992299 (
+	.A(n_9361),
+	.Y(n_9614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g992300 (
+	.A(n_9607),
+	.Y(n_9608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g992301 (
+	.A(n_9605),
+	.Y(n_9604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g992302 (
+	.A(n_9599),
+	.Y(n_9598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g992303 (
+	.A(n_9595),
+	.Y(n_9596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g992305 (
+	.A(n_9588),
+	.Y(n_9587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g992306 (
+	.A(n_9586),
+	.Y(n_9585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g992307 (
+	.A(n_9584),
+	.Y(n_9583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g992308 (
+	.A(n_9582),
+	.Y(n_9581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g992309 (
+	.A(n_86920),
+	.Y(n_9579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g992311 (
+	.A(n_9573),
+	.Y(n_9572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g992313 (
+	.A(n_9569),
+	.Y(n_9568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g992316 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [5]),
+	.B(n_8527),
+	.Y(n_9565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g992319 (
+	.A0(n_8567),
+	.A1(n_8574),
+	.S(n_29853),
+	.Y(n_9562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g992320 (
+	.A0(n_8567),
+	.A1(n_8574),
+	.S(n_29852),
+	.Y(n_9561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g992321 (
+	.A0(n_8567),
+	.A1(n_8574),
+	.S(n_29851),
+	.Y(n_9560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g992322 (
+	.A0(n_8567),
+	.A1(n_8574),
+	.S(n_29850),
+	.Y(n_9559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g992323 (
+	.A0(n_8567),
+	.A1(n_8574),
+	.S(n_29848),
+	.Y(n_9558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g992324 (
+	.A0(n_8567),
+	.A1(n_8574),
+	.S(n_29847),
+	.Y(n_9557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g992325 (
+	.A0(n_8567),
+	.A1(n_8574),
+	.S(n_29846),
+	.Y(n_9556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g992326 (
+	.A0(n_8567),
+	.A1(n_8574),
+	.S(n_29845),
+	.Y(n_9555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g992327 (
+	.A0(n_8567),
+	.A1(n_8574),
+	.S(n_29840),
+	.Y(n_9554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g992328 (
+	.A0(n_8567),
+	.A1(n_8574),
+	.S(n_29837),
+	.Y(n_9553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g992329 (
+	.A0(n_8566),
+	.A1(n_76235),
+	.S(n_29849),
+	.Y(n_9552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g992330 (
+	.A0(n_8566),
+	.A1(n_76235),
+	.S(n_29844),
+	.Y(n_9551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g992331 (
+	.A0(n_8566),
+	.A1(n_76235),
+	.S(n_29843),
+	.Y(n_9550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g992332 (
+	.A0(n_8566),
+	.A1(n_76235),
+	.S(n_29842),
+	.Y(n_9549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g992333 (
+	.A0(n_8566),
+	.A1(n_76235),
+	.S(n_29841),
+	.Y(n_9548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g992334 (
+	.A0(n_8567),
+	.A1(n_8574),
+	.S(n_29839),
+	.Y(n_9547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g992335 (
+	.A0(n_8566),
+	.A1(n_76235),
+	.S(n_29838),
+	.Y(n_9546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g992336 (
+	.A0(n_8567),
+	.A1(n_8574),
+	.S(n_29836),
+	.Y(n_9545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g992337 (
+	.A0(n_8566),
+	.A1(n_76235),
+	.S(n_29835),
+	.Y(n_9544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g992338 (
+	.A0(n_8566),
+	.A1(n_76235),
+	.S(n_29834),
+	.Y(n_9543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g992339 (
+	.A0(n_8567),
+	.A1(n_8574),
+	.S(n_29833),
+	.Y(n_9542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g992340 (
+	.A1_N(n_84188),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [3]),
+	.B1(n_34935),
+	.B2(n_84188),
+	.Y(n_9541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g992341 (
+	.A(n_727),
+	.B(n_8316),
+	.C(soc_top_u_pwm_pwm_core_period_2[4]),
+	.X(n_9540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g992342 (
+	.A1_N(n_29679),
+	.A2_N(n_8573),
+	.B1(n_1526),
+	.B2(n_8568),
+	.Y(n_9539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g992343 (
+	.A1(n_7082),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [31]),
+	.B1(n_8573),
+	.B2(n_29677),
+	.Y(n_9538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g992344 (
+	.A1(n_7082),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [30]),
+	.B1(n_8573),
+	.B2(n_29675),
+	.Y(n_9537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g992345 (
+	.A1(n_7082),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [29]),
+	.B1(n_8573),
+	.B2(n_29673),
+	.Y(n_9536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g992346 (
+	.A1(n_7082),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [28]),
+	.B1(n_8573),
+	.B2(n_75671),
+	.Y(n_9535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g992347 (
+	.A1(n_7082),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [27]),
+	.B1(n_8569),
+	.B2(n_75671),
+	.Y(n_9534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g992348 (
+	.A1(n_7082),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [26]),
+	.B1(n_8569),
+	.B2(n_29669),
+	.Y(n_9533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g992349 (
+	.A1(n_7082),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [25]),
+	.B1(n_8573),
+	.B2(n_29665),
+	.Y(n_9532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g992350 (
+	.A1(n_7082),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [24]),
+	.B1(n_8569),
+	.B2(n_29665),
+	.Y(n_9531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g992351 (
+	.A1(n_7082),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [23]),
+	.B1(n_8569),
+	.B2(n_35505),
+	.Y(n_9530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g992352 (
+	.A1(n_7082),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [22]),
+	.B1(n_8569),
+	.B2(n_29661),
+	.Y(n_9529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g992353 (
+	.A1(n_7082),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [21]),
+	.B1(n_8569),
+	.B2(n_29659),
+	.Y(n_9528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g992354 (
+	.A1(n_7082),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [20]),
+	.B1(n_8573),
+	.B2(n_29655),
+	.Y(n_9527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g992355 (
+	.A1(n_7082),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [19]),
+	.B1(n_8569),
+	.B2(n_29655),
+	.Y(n_9526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g992356 (
+	.A1(n_7082),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [18]),
+	.B1(n_8573),
+	.B2(n_35503),
+	.Y(n_9525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g992357 (
+	.A1(n_7082),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [17]),
+	.B1(n_8573),
+	.B2(n_35504),
+	.Y(n_9524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g992358 (
+	.A1(n_7082),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [16]),
+	.B1(n_8569),
+	.B2(n_35504),
+	.Y(n_9523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g992359 (
+	.A1(n_7082),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [15]),
+	.B1(n_8569),
+	.B2(n_29647),
+	.Y(n_9522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g992360 (
+	.A1(n_7082),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [14]),
+	.B1(n_8573),
+	.B2(n_29643),
+	.Y(n_9521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g992361 (
+	.A1(n_7082),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [13]),
+	.B1(n_8573),
+	.B2(n_29641),
+	.Y(n_9520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g992362 (
+	.A1(n_7082),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [12]),
+	.B1(n_8569),
+	.B2(n_29641),
+	.Y(n_9519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g992363 (
+	.A1(n_7082),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [11]),
+	.B1(n_8573),
+	.B2(n_29637),
+	.Y(n_9518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g992364 (
+	.A1(n_7082),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [10]),
+	.B1(n_8569),
+	.B2(n_29637),
+	.Y(n_9517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g992365 (
+	.A1(n_7082),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [9]),
+	.B1(n_8573),
+	.B2(n_29633),
+	.Y(n_9516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g992366 (
+	.A1(n_7082),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [8]),
+	.B1(n_8569),
+	.B2(n_29633),
+	.Y(n_9515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g992367 (
+	.A1(n_7082),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [7]),
+	.B1(n_8573),
+	.B2(n_29629),
+	.Y(n_9514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g992368 (
+	.A1(n_7082),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [6]),
+	.B1(n_8573),
+	.B2(n_29627),
+	.Y(n_9513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g992369 (
+	.A1(n_7082),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [5]),
+	.B1(n_8573),
+	.B2(n_29680),
+	.Y(n_9512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g992370 (
+	.A1(n_7082),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [4]),
+	.B1(n_8573),
+	.B2(n_29624),
+	.Y(n_9511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g992371 (
+	.A1(n_7082),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [3]),
+	.B1(n_8569),
+	.B2(n_29624),
+	.Y(n_9510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g992372 (
+	.A1(n_7082),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [2]),
+	.B1(n_8569),
+	.B2(n_29622),
+	.Y(n_9509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g992373 (
+	.A1(n_7082),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [1]),
+	.B1(n_8569),
+	.B2(n_29620),
+	.Y(n_9508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g992374 (
+	.A(n_8374),
+	.B(n_7425),
+	.C(n_7498),
+	.D(n_7497),
+	.Y(n_9507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g992375 (
+	.A1(n_4552),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[17]),
+	.B1(n_4094),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [17]),
+	.C1(n_8388),
+	.X(n_9506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g992376 (
+	.A1(n_2146),
+	.A2(soc_top_u_top_u_core_csr_depc[2]),
+	.B1(n_3575),
+	.C1(n_8513),
+	.X(n_9505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g992377 (
+	.A1(n_76235),
+	.A2(n_2895),
+	.B1(n_7110),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [30]),
+	.Y(n_9504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g992378 (
+	.A1(n_76235),
+	.A2(n_2893),
+	.B1(n_7086),
+	.B2(n_85990),
+	.Y(n_9503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g992379 (
+	.A1(n_76235),
+	.A2(n_2880),
+	.B1(n_7110),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [27]),
+	.Y(n_9502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g992380 (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [2]),
+	.A2_N(n_8581),
+	.B1(n_55),
+	.B2(n_8571),
+	.X(n_9501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g992381 (
+	.A1(n_76235),
+	.A2(n_2891),
+	.B1(n_7086),
+	.B2(\soc_top_lsu_to_xbar[a_address] [25]),
+	.Y(n_9500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g992382 (
+	.A1(n_76235),
+	.A2(n_2874),
+	.B1(n_7110),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [25]),
+	.Y(n_9499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g992383 (
+	.A1(n_76235),
+	.A2(n_2899),
+	.B1(n_7086),
+	.B2(\soc_top_lsu_to_xbar[a_address] [23]),
+	.Y(n_9498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g992384 (
+	.A1_N(n_35),
+	.A2_N(n_8580),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [1]),
+	.B2(n_8570),
+	.Y(n_9497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g992385 (
+	.A1(n_8566),
+	.A2(n_2883),
+	.B1(n_2590),
+	.B2(n_61467),
+	.Y(n_9496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g992386 (
+	.A1_N(soc_top_u_spi_host_spi_host_divider[15]),
+	.A2_N(n_8604),
+	.B1(n_68065),
+	.B2(n_7647),
+	.Y(n_9495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g992387 (
+	.A1_N(soc_top_u_spi_host_spi_host_divider[14]),
+	.A2_N(n_8604),
+	.B1(FE_DBTN162_soc_top_xbar_to_timer_a_data_14),
+	.B2(n_7647),
+	.Y(n_9494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g992388 (
+	.A1_N(soc_top_u_spi_host_spi_host_divider[13]),
+	.A2_N(n_8604),
+	.B1(FE_DBTN117_n_72176),
+	.B2(n_7647),
+	.Y(n_9493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g992389 (
+	.A1_N(soc_top_u_spi_host_spi_host_divider[12]),
+	.A2_N(n_8604),
+	.B1(FE_DBTN124_n_74612),
+	.B2(n_7647),
+	.Y(n_9492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g992390 (
+	.A1_N(soc_top_u_spi_host_spi_host_divider[11]),
+	.A2_N(n_8604),
+	.B1(n_6),
+	.B2(n_7647),
+	.Y(n_9491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g992391 (
+	.A1_N(soc_top_u_spi_host_spi_host_divider[10]),
+	.A2_N(n_8604),
+	.B1(n_576),
+	.B2(n_7647),
+	.Y(n_9490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g992392 (
+	.A1_N(soc_top_u_spi_host_spi_host_divider[9]),
+	.A2_N(n_8604),
+	.B1(n_68020),
+	.B2(n_7647),
+	.Y(n_9489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g992393 (
+	.A1_N(soc_top_u_spi_host_spi_host_divider[8]),
+	.A2_N(n_8604),
+	.B1(FE_DBTN3_n_93122),
+	.B2(n_7647),
+	.Y(n_9488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g992394 (
+	.A1_N(soc_top_u_spi_host_spi_host_ctrl[15]),
+	.A2_N(n_8605),
+	.B1(n_68065),
+	.B2(n_7649),
+	.Y(n_9487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g992395 (
+	.A1_N(soc_top_u_spi_host_spi_host_ctrl[14]),
+	.A2_N(n_8605),
+	.B1(FE_DBTN162_soc_top_xbar_to_timer_a_data_14),
+	.B2(n_7649),
+	.Y(n_9486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g992396 (
+	.A1_N(soc_top_u_spi_host_spi_host_ctrl[12]),
+	.A2_N(n_8605),
+	.B1(FE_DBTN124_n_74612),
+	.B2(n_7649),
+	.Y(n_9485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g992397 (
+	.A1_N(soc_top_u_spi_host_spi_host_ctrl[13]),
+	.A2_N(n_8605),
+	.B1(FE_DBTN117_n_72176),
+	.B2(n_7649),
+	.Y(n_9484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g992398 (
+	.A1_N(soc_top_u_spi_host_spi_host_ctrl[11]),
+	.A2_N(n_8605),
+	.B1(n_6),
+	.B2(n_7649),
+	.Y(n_9483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g992399 (
+	.A1_N(soc_top_u_spi_host_spi_host_ctrl[10]),
+	.A2_N(n_8605),
+	.B1(n_576),
+	.B2(n_7649),
+	.Y(n_9482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g992400 (
+	.A1_N(soc_top_u_spi_host_spi_host_ctrl[9]),
+	.A2_N(n_8605),
+	.B1(n_68020),
+	.B2(n_7649),
+	.Y(n_9481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g992402 (
+	.A1(n_614),
+	.A2(n_8580),
+	.B1(n_86),
+	.B2(n_8571),
+	.Y(n_9479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g992403 (
+	.A1(n_8581),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [24]),
+	.B1(n_8570),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [22]),
+	.X(n_9478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g992404 (
+	.A1(n_8581),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [23]),
+	.B1(n_8570),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [21]),
+	.X(n_9477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g992405 (
+	.A1(n_8581),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [22]),
+	.B1(n_8570),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [20]),
+	.X(n_9476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g992406 (
+	.A1(n_8581),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [21]),
+	.B1(n_8570),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [19]),
+	.X(n_9475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g992407 (
+	.A1(n_613),
+	.A2(n_8580),
+	.B1(n_623),
+	.B2(n_8571),
+	.Y(n_9474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g992408 (
+	.A1(n_7679),
+	.A2(n_8582),
+	.B1(n_623),
+	.B2(n_8580),
+	.Y(n_9473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g992409 (
+	.A1(n_8581),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [16]),
+	.B1(n_8570),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [14]),
+	.Y(n_9472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g992410 (
+	.A1(n_8581),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [15]),
+	.B1(n_8570),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [13]),
+	.Y(n_9471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g992411 (
+	.A1(n_8581),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [14]),
+	.B1(n_8570),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [12]),
+	.Y(n_9470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g992412 (
+	.A1(n_7143),
+	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[9]),
+	.B1(n_7645),
+	.B2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[9]),
+	.C1(n_7384),
+	.X(n_9469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g992413 (
+	.A1(n_8490),
+	.A2(n_7118),
+	.B1(n_1380),
+	.B2(n_72578),
+	.Y(n_9468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g992414 (
+	.A(n_8363),
+	.B(n_8512),
+	.C(n_4555),
+	.D(n_4490),
+	.Y(n_9467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g992415 (
+	.A1(n_7181),
+	.A2(soc_top_u_spi_host_spi_host_clgen_cnt[4]),
+	.B1(n_9245),
+	.X(n_9466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g992416 (
+	.A1(n_8634),
+	.A2(n_4564),
+	.B1(n_7169),
+	.C1(n_7457),
+	.Y(n_9465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g992417 (
+	.A1(n_8569),
+	.A2(n_29618),
+	.B1(n_7082),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [0]),
+	.C1(n_35316),
+	.X(n_9464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g992418 (
+	.A(n_7484),
+	.B(n_4347),
+	.C(n_7485),
+	.D(n_4014),
+	.Y(n_9463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g992419 (
+	.A(n_3846),
+	.B(n_3796),
+	.C(n_3741),
+	.D(n_8322),
+	.Y(n_9462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992420 (
+	.A1(n_29620),
+	.A2(n_7129),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
+	.B2(n_7283),
+	.C1(n_29970),
+	.C2(n_4592),
+	.Y(n_9461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g992421 (
+	.A1(n_8587),
+	.A2(n_1890),
+	.B1(n_8594),
+	.Y(n_9460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g992422 (
+	.A1(n_92682),
+	.A2(n_7137),
+	.B1(n_93145),
+	.Y(n_9459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g992423 (
+	.A1(n_2008),
+	.A2(n_7137),
+	.B1(n_8998),
+	.Y(n_9458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g992424 (
+	.A1(n_2010),
+	.A2(n_7137),
+	.B1(n_9001),
+	.Y(n_9457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g992425 (
+	.A1(n_1996),
+	.A2(n_7137),
+	.B1(n_8999),
+	.Y(n_9456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g992426 (
+	.A1(n_2012),
+	.A2(n_7137),
+	.B1(n_9000),
+	.Y(n_9455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g992427 (
+	.A1(n_4669),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[3]),
+	.B1(n_4096),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [3]),
+	.C1(n_8635),
+	.X(n_9454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992428 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [30]),
+	.A2(n_7084),
+	.B1(n_7628),
+	.B2(\soc_top_lsu_to_xbar[a_address] [30]),
+	.C1(n_35319),
+	.C2(n_29678),
+	.Y(n_9453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992429 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [29]),
+	.A2(n_7084),
+	.B1(n_7628),
+	.B2(n_71867),
+	.C1(n_35319),
+	.C2(n_29676),
+	.Y(n_9452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992430 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [28]),
+	.A2(n_7084),
+	.B1(n_7628),
+	.B2(n_69574),
+	.C1(n_35319),
+	.C2(n_29674),
+	.Y(n_9451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992431 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [27]),
+	.A2(n_7084),
+	.B1(n_7628),
+	.B2(n_85990),
+	.C1(n_35319),
+	.C2(n_29672),
+	.Y(n_9450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992432 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [26]),
+	.A2(n_7084),
+	.B1(n_7628),
+	.B2(\soc_top_lsu_to_xbar[a_address] [26]),
+	.C1(n_35319),
+	.C2(n_29670),
+	.Y(n_9449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992433 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [25]),
+	.A2(n_7084),
+	.B1(n_7628),
+	.B2(\soc_top_lsu_to_xbar[a_address] [25]),
+	.C1(n_35319),
+	.C2(n_29668),
+	.Y(n_9448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992434 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [24]),
+	.A2(n_7084),
+	.B1(n_7628),
+	.B2(n_74022),
+	.C1(n_35319),
+	.C2(n_29666),
+	.Y(n_9447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992435 (
+	.A1(n_29662),
+	.A2(n_7621),
+	.B1(n_7628),
+	.B2(\soc_top_lsu_to_xbar[a_address] [23]),
+	.C1(n_7113),
+	.C2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [24]),
+	.Y(n_9446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992436 (
+	.A1(n_29660),
+	.A2(n_7621),
+	.B1(n_7628),
+	.B2(n_85991),
+	.C1(n_35319),
+	.C2(n_29662),
+	.Y(n_9445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992437 (
+	.A1(n_29658),
+	.A2(n_7621),
+	.B1(n_7628),
+	.B2(\soc_top_lsu_to_xbar[a_address] [21]),
+	.C1(n_35319),
+	.C2(n_29660),
+	.Y(n_9444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992438 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [20]),
+	.A2(n_7084),
+	.B1(n_7628),
+	.B2(\soc_top_lsu_to_xbar[a_address] [20]),
+	.C1(n_35319),
+	.C2(n_29658),
+	.Y(n_9443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992439 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [19]),
+	.A2(n_7084),
+	.B1(n_7628),
+	.B2(\soc_top_lsu_to_xbar[a_address] [19]),
+	.C1(n_35319),
+	.C2(n_29656),
+	.Y(n_9442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992442 (
+	.A1(n_29648),
+	.A2(n_7621),
+	.B1(n_7628),
+	.B2(n_71266),
+	.C1(n_7113),
+	.C2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [17]),
+	.Y(n_9439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992443 (
+	.A1(n_29646),
+	.A2(n_7621),
+	.B1(n_7628),
+	.B2(soc_top_u_top_u_core_alu_adder_result_ex[15]),
+	.C1(n_35319),
+	.C2(n_29648),
+	.Y(n_9438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992444 (
+	.A1(n_29644),
+	.A2(n_7621),
+	.B1(n_7628),
+	.B2(soc_top_u_top_u_core_alu_adder_result_ex[14]),
+	.C1(n_35319),
+	.C2(n_29646),
+	.Y(n_9437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992445 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [13]),
+	.A2(n_7084),
+	.B1(n_7628),
+	.B2(\soc_top_lsu_to_xbar[a_address] [13]),
+	.C1(n_35319),
+	.C2(n_29644),
+	.Y(n_9436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992446 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [12]),
+	.A2(n_7084),
+	.B1(n_7628),
+	.B2(\soc_top_lsu_to_xbar[a_address] [12]),
+	.C1(n_35319),
+	.C2(n_29642),
+	.Y(n_9435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992447 (
+	.A1(n_29638),
+	.A2(n_7621),
+	.B1(n_7628),
+	.B2(\soc_top_lsu_to_xbar[a_address] [11]),
+	.C1(n_35319),
+	.C2(n_29640),
+	.Y(n_9434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992448 (
+	.A1(n_29636),
+	.A2(n_7621),
+	.B1(n_7628),
+	.B2(n_69940),
+	.C1(n_7113),
+	.C2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [11]),
+	.Y(n_9433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992449 (
+	.A1(n_29634),
+	.A2(n_7621),
+	.B1(n_7628),
+	.B2(\soc_top_lsu_to_xbar[a_address] [9]),
+	.C1(n_35319),
+	.C2(n_29636),
+	.Y(n_9432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992450 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [7]),
+	.A2(n_7084),
+	.B1(n_29632),
+	.B2(n_35319),
+	.C1(n_7113),
+	.C2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [8]),
+	.Y(n_9431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992451 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [6]),
+	.A2(n_7084),
+	.B1(n_29630),
+	.B2(n_35319),
+	.C1(n_7113),
+	.C2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [7]),
+	.Y(n_9430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992452 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [5]),
+	.A2(n_7084),
+	.B1(n_29628),
+	.B2(n_35319),
+	.C1(n_7113),
+	.C2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [6]),
+	.Y(n_9429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992453 (
+	.A1(n_29625),
+	.A2(n_7621),
+	.B1(n_29626),
+	.B2(n_35319),
+	.C1(n_7113),
+	.C2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [5]),
+	.Y(n_9428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992454 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [3]),
+	.A2(n_7084),
+	.B1(n_29625),
+	.B2(n_35319),
+	.C1(n_7113),
+	.C2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [4]),
+	.Y(n_9427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992455 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [2]),
+	.A2(n_7084),
+	.B1(n_35500),
+	.B2(n_35319),
+	.C1(n_7113),
+	.C2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [3]),
+	.Y(n_9426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992456 (
+	.A1(n_29619),
+	.A2(n_7621),
+	.B1(n_29621),
+	.B2(n_35319),
+	.C1(n_7113),
+	.C2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [2]),
+	.Y(n_9425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992457 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.A2(n_7084),
+	.B1(n_29619),
+	.B2(n_35319),
+	.C1(n_7113),
+	.C2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [1]),
+	.Y(n_9424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g992458 (
+	.A(n_8366),
+	.B(n_7482),
+	.C(n_7191),
+	.Y(n_9423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g992459 (
+	.A(n_8492),
+	.B(n_8364),
+	.C(n_4555),
+	.D(n_7480),
+	.Y(n_9422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992460 (
+	.A1(soc_top_u_top_u_core_csr_mtvec[2]),
+	.A2(n_4275),
+	.B1(soc_top_u_top_u_core_debug_single_step),
+	.B2(n_7644),
+	.C1(n_1232),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [2]),
+	.Y(n_9421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g992461 (
+	.A(soc_top_u_top_u_core_fp_alu_operator[3]),
+	.B(n_8619),
+	.C(n_6734),
+	.Y(n_9420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g992462 (
+	.A(soc_top_u_top_u_core_fp_flush),
+	.B_N(n_8918),
+	.Y(n_9419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g992463 (
+	.A(soc_top_u_pwm_pwm_core_counter_p1[12]),
+	.B_N(n_9236),
+	.Y(n_9418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g992464 (
+	.A(soc_top_u_pwm_pwm_core_counter_p2[12]),
+	.B_N(n_9235),
+	.Y(n_9417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g992465 (
+	.A(n_8756),
+	.B(n_7623),
+	.Y(n_9416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g992466 (
+	.A(n_8753),
+	.B(n_7623),
+	.Y(n_9415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g992467 (
+	.A(n_8752),
+	.B(n_7623),
+	.Y(n_9414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g992468 (
+	.A(n_8751),
+	.B(n_7623),
+	.Y(n_9413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g992469 (
+	.A(n_8750),
+	.B(n_7623),
+	.Y(n_9412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g992470 (
+	.A(n_8749),
+	.B(n_7623),
+	.Y(n_9411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g992471 (
+	.A(n_8740),
+	.B(n_7623),
+	.Y(n_9410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g992472 (
+	.A(n_8736),
+	.B(n_7623),
+	.Y(n_9409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g992473 (
+	.A(n_8734),
+	.B(n_7623),
+	.Y(n_9408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g992474 (
+	.A(n_8733),
+	.B(n_7623),
+	.Y(n_9407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992475 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [30]),
+	.A2(n_7631),
+	.B1(n_30033),
+	.B2(n_7111),
+	.C1(n_7625),
+	.C2(\soc_top_lsu_to_xbar[a_address] [30]),
+	.Y(n_9406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g992476 (
+	.A(n_9169),
+	.B(soc_top_u_top_u_core_instr_rdata_id[1]),
+	.Y(n_9405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992477 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [29]),
+	.A2(n_7631),
+	.B1(n_30032),
+	.B2(n_7111),
+	.C1(n_7625),
+	.C2(n_71867),
+	.Y(n_9404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g992478 (
+	.A(n_9169),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.Y(n_9403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992479 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [28]),
+	.A2(n_7631),
+	.B1(n_29999),
+	.B2(n_7624),
+	.C1(n_7625),
+	.C2(n_69574),
+	.Y(n_9402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g992480 (
+	.A(n_9315),
+	.B(n_7160),
+	.Y(n_9401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g992481 (
+	.A(soc_top_u_uart_u_uart_core_rx_fifo_rst),
+	.B_N(n_9317),
+	.Y(n_9400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g992482 (
+	.A(soc_top_u_uart_u_uart_core_tx_fifo_reset),
+	.B_N(n_9319),
+	.Y(n_9399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g992483 (
+	.A(soc_top_intr_controller_ip[36]),
+	.B_N(n_9239),
+	.Y(n_9398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g992484 (
+	.A(soc_top_u_top_u_core_fp_flush),
+	.B(n_8911),
+	.Y(n_9397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g992485 (
+	.A(soc_top_u_top_u_core_fp_flush),
+	.B(n_8931),
+	.Y(n_9396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g992486 (
+	.A(n_70174),
+	.B(soc_top_u_top_u_core_fp_alu_op_mod),
+	.Y(n_9395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g992487 (
+	.A1(n_8426),
+	.A2(n_3084),
+	.B1(soc_top_u_uart_u_uart_core_tx_fifo_reset),
+	.Y(n_9394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992488 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [27]),
+	.A2(n_7631),
+	.B1(n_30030),
+	.B2(n_7111),
+	.C1(n_7625),
+	.C2(n_85990),
+	.Y(n_9393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g992489 (
+	.A(n_4584),
+	.B_N(n_9233),
+	.Y(n_9392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g992490 (
+	.A1(n_7654),
+	.A2(n_7686),
+	.B1(n_7383),
+	.C1(n_8516),
+	.D1(n_8438),
+	.Y(n_9391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992491 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [26]),
+	.A2(n_7631),
+	.B1(n_30029),
+	.B2(n_7111),
+	.C1(n_7625),
+	.C2(\soc_top_lsu_to_xbar[a_address] [26]),
+	.Y(n_9390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g992492 (
+	.A(n_9225),
+	.B(n_4596),
+	.Y(n_9389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g992493 (
+	.A_N(n_9226),
+	.B(n_4595),
+	.Y(n_9388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g992494 (
+	.A_N(n_9226),
+	.B(n_4585),
+	.Y(n_9387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992495 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [25]),
+	.A2(n_7631),
+	.B1(n_30028),
+	.B2(n_7111),
+	.C1(n_7625),
+	.C2(\soc_top_lsu_to_xbar[a_address] [25]),
+	.Y(n_9386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992496 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [24]),
+	.A2(n_7631),
+	.B1(n_29995),
+	.B2(n_7624),
+	.C1(n_7625),
+	.C2(n_74022),
+	.Y(n_9385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992497 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [23]),
+	.A2(n_7631),
+	.B1(n_29994),
+	.B2(n_7624),
+	.C1(n_7625),
+	.C2(\soc_top_lsu_to_xbar[a_address] [23]),
+	.Y(n_9384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992498 (
+	.A1(n_29993),
+	.A2(n_7624),
+	.B1(n_30025),
+	.B2(n_7111),
+	.C1(n_76235),
+	.C2(n_2884),
+	.Y(n_9383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g992499 (
+	.A(n_70174),
+	.B(n_1524),
+	.Y(n_9382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g992500 (
+	.A(soc_top_u_uart_u_uart_core_rx_fifo_clr),
+	.B(n_9160),
+	.Y(n_9381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992501 (
+	.A1(n_30003),
+	.A2(n_7111),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [0]),
+	.B2(n_7110),
+	.C1(n_76235),
+	.C2(n_2882),
+	.Y(n_9380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992502 (
+	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[11]),
+	.A2(n_7645),
+	.B1(n_29875),
+	.B2(n_7145),
+	.C1(n_7143),
+	.C2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[11]),
+	.Y(n_9379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992503 (
+	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[10]),
+	.A2(n_7645),
+	.B1(n_76147),
+	.B2(n_7145),
+	.C1(n_7143),
+	.C2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[10]),
+	.Y(n_9378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g992504 (
+	.A(n_9215),
+	.B(n_3034),
+	.Y(n_9377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992505 (
+	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[8]),
+	.A2(n_7645),
+	.B1(n_76148),
+	.B2(n_7145),
+	.C1(n_7143),
+	.C2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[8]),
+	.Y(n_9376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992506 (
+	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[6]),
+	.A2(n_7645),
+	.B1(n_36115),
+	.B2(n_7145),
+	.C1(n_7143),
+	.C2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[6]),
+	.Y(n_9375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992507 (
+	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[7]),
+	.A2(n_7645),
+	.B1(n_36118),
+	.B2(n_7145),
+	.C1(n_7143),
+	.C2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[7]),
+	.Y(n_9374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992508 (
+	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[5]),
+	.A2(n_7645),
+	.B1(n_36117),
+	.B2(n_7145),
+	.C1(n_7143),
+	.C2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[5]),
+	.Y(n_9373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992509 (
+	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[4]),
+	.A2(n_7645),
+	.B1(n_36119),
+	.B2(n_7145),
+	.C1(n_7143),
+	.C2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[4]),
+	.Y(n_9372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992510 (
+	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[3]),
+	.A2(n_7645),
+	.B1(n_36116),
+	.B2(n_7145),
+	.C1(n_7143),
+	.C2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[3]),
+	.Y(n_9371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g992511 (
+	.A1(n_7458),
+	.A2(n_8494),
+	.B1(n_84186),
+	.X(n_9370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o311ai_1 g992512 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [4]),
+	.A2(n_1532),
+	.A3(n_7175),
+	.B1(n_7160),
+	.C1(n_7282),
+	.Y(n_9369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g992513 (
+	.A(n_8979),
+	.B(n_2316),
+	.Y(n_9368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g992514 (
+	.A(n_36342),
+	.B(n_8908),
+	.Y(n_9367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g992515 (
+	.A1(n_3849),
+	.A2(\soc_top_intr_controller_reg2hw[le][5][q] ),
+	.B1(n_3850),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_5_qs),
+	.C1(n_8919),
+	.X(n_9366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g992516 (
+	.A1(n_77415),
+	.A2(n_3048),
+	.B1(n_77417),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[DZ] ),
+	.C1(n_77422),
+	.X(n_9365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g992517 (
+	.A(n_7464),
+	.B(n_7466),
+	.C(n_6915),
+	.D(n_7465),
+	.Y(n_9364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g992518 (
+	.A1(n_8386),
+	.A2(n_8633),
+	.B1(n_8600),
+	.Y(n_9363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g992519 (
+	.A1(n_3386),
+	.A2(n_8452),
+	.B1(n_4372),
+	.Y(n_9362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992520 (
+	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[2]),
+	.A2(n_7645),
+	.B1(n_29867),
+	.B2(n_7145),
+	.C1(n_7143),
+	.C2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[2]),
+	.Y(n_9361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g992521 (
+	.A1(n_4094),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [0]),
+	.B1(n_4096),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [0]),
+	.C1(n_8925),
+	.Y(n_9360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g992522 (
+	.A1(n_8633),
+	.A2(n_7653),
+	.B1(n_8517),
+	.Y(n_9359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o311ai_1 g992523 (
+	.A1(n_7201),
+	.A2(n_3028),
+	.A3(n_7169),
+	.B1(n_7420),
+	.C1(n_8514),
+	.Y(n_9358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g992524 (
+	.A1(n_7644),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[cause] [1]),
+	.B1(n_6355),
+	.C1(n_6702),
+	.X(n_9357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g992525 (
+	.A1(n_4558),
+	.A2(soc_top_u_top_u_core_csr_mtvec[9]),
+	.B1(n_4671),
+	.B2(soc_top_u_top_u_core_csr_mepc[9]),
+	.C1(n_8905),
+	.X(n_9356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g992526 (
+	.A1(n_4558),
+	.A2(soc_top_u_top_u_core_csr_mtvec[10]),
+	.B1(n_4671),
+	.B2(soc_top_u_top_u_core_csr_mepc[10]),
+	.C1(n_8904),
+	.X(n_9355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g992527 (
+	.A1(n_4669),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[14]),
+	.B1(n_4552),
+	.B2(soc_top_u_top_u_core_cs_registers_i_mtval_q[14]),
+	.C1(n_8903),
+	.X(n_9354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g992528 (
+	.A(\soc_top_dccm_to_xbar[d_valid] ),
+	.B(n_35443),
+	.C(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.D(n_7452),
+	.Y(n_9353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g992529 (
+	.A(n_68745),
+	.B(n_1268),
+	.C(soc_top_u_top_data_we),
+	.D(\soc_top_xbar_to_timer[a_address] [3]),
+	.Y(n_9352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992530 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [8]),
+	.A2(n_7084),
+	.B1(n_7628),
+	.B2(n_77013),
+	.C1(n_35319),
+	.C2(n_29634),
+	.Y(n_9351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g992531 (
+	.A1(n_7364),
+	.A2(n_3565),
+	.B1(\soc_top_plic_resp[d_data] [0]),
+	.B2(n_2131),
+	.C1(n_3561),
+	.C2(n_7453),
+	.Y(n_9350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g992533 (
+	.A1(n_8626),
+	.A2(n_8584),
+	.B1(n_8595),
+	.X(n_9348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g992534 (
+	.A1(n_7084),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [31]),
+	.B1(n_7621),
+	.B2(n_29678),
+	.C1(n_9156),
+	.X(n_9347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g992535 (
+	.A1(n_35320),
+	.A2(n_68268),
+	.B1(n_7135),
+	.B2(gpio_o[31]),
+	.C1(n_7306),
+	.X(n_9346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g992536 (
+	.A1(FE_DBTN120_n_84419),
+	.A2(n_7629),
+	.B1(n_93154),
+	.B2(n_7081),
+	.C1(n_8352),
+	.Y(n_9345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g992537 (
+	.A1(FE_DBTN119_n_87578),
+	.A2(n_7629),
+	.B1(n_1307),
+	.B2(n_7081),
+	.C1(n_8351),
+	.Y(n_9344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g992538 (
+	.A1(n_1),
+	.A2(n_7629),
+	.B1(n_1309),
+	.B2(n_7081),
+	.C1(n_8353),
+	.Y(n_9343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g992539 (
+	.A1(FE_DBTN125_n_84427),
+	.A2(n_7629),
+	.B1(n_1306),
+	.B2(n_7081),
+	.C1(n_8350),
+	.Y(n_9342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g992540 (
+	.A1(FE_DBTN126_n_70008),
+	.A2(n_7629),
+	.B1(n_1308),
+	.B2(n_7081),
+	.C1(n_8349),
+	.Y(n_9341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g992541 (
+	.A1(FE_DBTN118_n_84455),
+	.A2(n_7629),
+	.B1(n_1304),
+	.B2(n_7081),
+	.C1(n_8348),
+	.Y(n_9340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g992542 (
+	.A1(FE_DBTN40_n_84431),
+	.A2(n_7629),
+	.B1(n_72891),
+	.B2(n_7081),
+	.C1(n_8347),
+	.Y(n_9339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g992543 (
+	.A1(FE_DBTN41_n_70004),
+	.A2(n_7629),
+	.B1(n_1292),
+	.B2(n_7081),
+	.C1(n_8346),
+	.Y(n_9338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g992544 (
+	.A1(FE_DBTN3_n_93122),
+	.A2(n_7629),
+	.B1(n_93125),
+	.B2(n_7081),
+	.C1(n_8345),
+	.Y(n_9337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g992545 (
+	.A1(n_68020),
+	.A2(n_7629),
+	.B1(n_1294),
+	.B2(n_7081),
+	.C1(n_8344),
+	.Y(n_9336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g992546 (
+	.A1(n_576),
+	.A2(n_7629),
+	.B1(n_68464),
+	.B2(n_7081),
+	.C1(n_8343),
+	.Y(n_9335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g992547 (
+	.A1(n_6),
+	.A2(n_7629),
+	.B1(n_1295),
+	.B2(n_7081),
+	.C1(n_8342),
+	.Y(n_9334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g992548 (
+	.A1(FE_DBTN124_n_74612),
+	.A2(n_7629),
+	.B1(n_1297),
+	.B2(n_7081),
+	.C1(n_8340),
+	.Y(n_9333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g992549 (
+	.A1(FE_DBTN117_n_72176),
+	.A2(n_7629),
+	.B1(n_1300),
+	.B2(n_7081),
+	.C1(n_8339),
+	.Y(n_9332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g992550 (
+	.A1(FE_DBTN162_soc_top_xbar_to_timer_a_data_14),
+	.A2(n_7629),
+	.B1(n_1298),
+	.B2(n_7081),
+	.C1(n_8338),
+	.Y(n_9331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g992551 (
+	.A1(n_68065),
+	.A2(n_7629),
+	.B1(n_1299),
+	.B2(n_7081),
+	.C1(n_8380),
+	.Y(n_9330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g992552 (
+	.A1(n_35320),
+	.A2(\soc_top_xbar_to_timer[a_data] [21]),
+	.B1(n_7135),
+	.B2(gpio_o[21]),
+	.C1(n_7296),
+	.X(n_9329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g992554 (
+	.A1(n_35320),
+	.A2(n_74743),
+	.B1(n_7135),
+	.B2(io_out[31]),
+	.C1(n_7298),
+	.X(n_9327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g992555 (
+	.A1(n_35320),
+	.A2(n_68259),
+	.B1(n_7135),
+	.B2(gpio_o[25]),
+	.C1(n_7300),
+	.X(n_9326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g992557 (
+	.A1(n_35320),
+	.A2(n_68334),
+	.B1(n_7135),
+	.B2(io_out[32]),
+	.C1(n_7299),
+	.X(n_9324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g992558 (
+	.A1(n_35320),
+	.A2(\soc_top_xbar_to_timer[a_data] [27]),
+	.B1(n_7135),
+	.B2(io_out[35]),
+	.C1(n_7302),
+	.X(n_9323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g992559 (
+	.A1(n_35320),
+	.A2(\soc_top_xbar_to_timer[a_data] [28]),
+	.B1(n_7135),
+	.B2(io_out[36]),
+	.C1(n_7303),
+	.X(n_9322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g992560 (
+	.A1(n_35320),
+	.A2(\soc_top_xbar_to_timer[a_data] [29]),
+	.B1(n_7135),
+	.B2(io_out[37]),
+	.C1(n_7304),
+	.X(n_9321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g992561 (
+	.A1(n_35320),
+	.A2(\soc_top_xbar_to_timer[a_data] [30]),
+	.B1(n_7135),
+	.B2(gpio_o[30]),
+	.C1(n_7305),
+	.X(n_9320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g992856 (
+	.A(n_9230),
+	.B(n_153),
+	.Y(n_9613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g992873 (
+	.A(n_29480),
+	.B(n_9244),
+	.X(n_9612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g992895 (
+	.A(n_8935),
+	.B(n_4748),
+	.Y(n_9611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g992904 (
+	.A(n_8587),
+	.B_N(n_9221),
+	.Y(n_9610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g992905 (
+	.A(n_9223),
+	.B(n_8585),
+	.Y(n_9609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g992910 (
+	.A_N(n_2256),
+	.B(n_9230),
+	.Y(n_9607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g992964 (
+	.A(n_9233),
+	.B(n_4584),
+	.X(n_9606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g992965 (
+	.A_N(n_4630),
+	.B(n_9233),
+	.X(n_9605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g992966 (
+	.A(soc_top_u_spi_host_spi_host_clgen_cnt[8]),
+	.B(n_9244),
+	.Y(n_9603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g992974 (
+	.A(n_8593),
+	.B(n_9220),
+	.Y(n_9602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g992980 (
+	.A(n_8501),
+	.B(n_3318),
+	.C(n_29305),
+	.Y(n_9601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g992989 (
+	.A(n_2309),
+	.B(n_592),
+	.C(n_9216),
+	.X(n_9600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g993010 (
+	.A(n_9219),
+	.B(n_9223),
+	.Y(n_9599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g993023 (
+	.A(n_8453),
+	.B(n_1523),
+	.C(n_2310),
+	.Y(n_9597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g993029 (
+	.A(n_9239),
+	.B(FE_DBTN118_n_84455),
+	.Y(n_9595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g993045 (
+	.A(n_8593),
+	.B(n_9240),
+	.X(n_9594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g993101 (
+	.A(n_70174),
+	.B(n_1830),
+	.Y(n_9593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g993117 (
+	.A(n_9167),
+	.B(n_1893),
+	.Y(n_9592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g993118 (
+	.A1(n_8570),
+	.A2(n_1237),
+	.B1(n_3968),
+	.Y(n_9590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g993119 (
+	.A(n_8591),
+	.B(n_8593),
+	.C(n_8586),
+	.X(n_9589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g993120 (
+	.A(n_9221),
+	.B(n_8587),
+	.Y(n_9588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g993123 (
+	.A(soc_top_u_top_u_core_id_in_ready),
+	.B(n_9222),
+	.Y(n_9586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g993124 (
+	.A(n_9240),
+	.B(n_8594),
+	.Y(n_9584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g993125 (
+	.A(n_37411),
+	.B(n_29464),
+	.Y(n_9582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g993127 (
+	.A1_N(\soc_top_timer0_reg2hw[ctrl][0][q] ),
+	.A2_N(n_4370),
+	.B1(n_161),
+	.B2(n_8379),
+	.X(n_9578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g993130 (
+	.A(n_9215),
+	.B(n_7140),
+	.X(n_9577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_2 g993132 (
+	.A1_N(n_4739),
+	.A2_N(n_2596),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [0]),
+	.B2(n_8636),
+	.X(n_9574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g993133 (
+	.A_N(soc_top_u_top_u_core_pc_set),
+	.B(n_9169),
+	.X(n_9573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g993134 (
+	.A_N(soc_top_u_top_u_core_pc_set),
+	.B(n_37411),
+	.X(n_9571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_4 g993135 (
+	.A(n_36322),
+	.B(n_2064),
+	.C(soc_top_u_top_u_core_alu_operator_ex[1]),
+	.D(n_715),
+	.X(n_9569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g993136 (
+	.A(soc_top_u_uart_u_uart_core_fifo_read_size[4]),
+	.B(n_7038),
+	.COUT(n_9318),
+	.SUM(n_9319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g993137 (
+	.A(soc_top_u_uart_u_uart_core_read_fifo_raddr[4]),
+	.B(n_7208),
+	.COUT(n_9316),
+	.SUM(n_9317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g993138 (
+	.A(n_738),
+	.B(n_7174),
+	.COUT(n_9314),
+	.SUM(n_9315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993875 (
+	.A(n_8827),
+	.Y(n_9312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993876 (
+	.A(n_8826),
+	.Y(n_9311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993877 (
+	.A(n_8825),
+	.Y(n_9310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993878 (
+	.A(n_8824),
+	.Y(n_9309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993879 (
+	.A(n_8823),
+	.Y(n_9308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993880 (
+	.A(n_8822),
+	.Y(n_9307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993881 (
+	.A(n_8821),
+	.Y(n_9306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993882 (
+	.A(n_8820),
+	.Y(n_9305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993883 (
+	.A(n_8819),
+	.Y(n_9304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993884 (
+	.A(n_8818),
+	.Y(n_9303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993885 (
+	.A(n_8817),
+	.Y(n_9302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993886 (
+	.A(n_8816),
+	.Y(n_9301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993887 (
+	.A(n_8815),
+	.Y(n_9300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993888 (
+	.A(n_8814),
+	.Y(n_9299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993889 (
+	.A(n_8813),
+	.Y(n_9298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993890 (
+	.A(n_8812),
+	.Y(n_9297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993891 (
+	.A(n_8811),
+	.Y(n_9296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993892 (
+	.A(n_8810),
+	.Y(n_9295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993893 (
+	.A(n_8809),
+	.Y(n_9294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993894 (
+	.A(n_8808),
+	.Y(n_9293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993895 (
+	.A(n_8807),
+	.Y(n_9292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993897 (
+	.A(n_8805),
+	.Y(n_9290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993899 (
+	.A(n_8803),
+	.Y(n_9288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993901 (
+	.A(n_8801),
+	.Y(n_9286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993903 (
+	.A(n_8799),
+	.Y(n_9284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993905 (
+	.A(n_8796),
+	.Y(n_9282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993911 (
+	.A(n_8727),
+	.Y(n_9276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993912 (
+	.A(n_8725),
+	.Y(n_9275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993913 (
+	.A(n_8724),
+	.Y(n_9274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993914 (
+	.A(n_8723),
+	.Y(n_9273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993915 (
+	.A(n_8722),
+	.Y(n_9272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993916 (
+	.A(n_8721),
+	.Y(n_9271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993917 (
+	.A(n_8720),
+	.Y(n_9270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993918 (
+	.A(n_8719),
+	.Y(n_9269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993919 (
+	.A(n_8718),
+	.Y(n_9268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993920 (
+	.A(n_8717),
+	.Y(n_9267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993921 (
+	.A(n_8716),
+	.Y(n_9266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993922 (
+	.A(n_8715),
+	.Y(n_9265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993923 (
+	.A(n_8714),
+	.Y(n_9264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993924 (
+	.A(n_8713),
+	.Y(n_9263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993925 (
+	.A(n_8712),
+	.Y(n_9262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993926 (
+	.A(n_8711),
+	.Y(n_9261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993927 (
+	.A(n_8710),
+	.Y(n_9260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993928 (
+	.A(n_8709),
+	.Y(n_9259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993929 (
+	.A(n_8708),
+	.Y(n_9258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993930 (
+	.A(n_8707),
+	.Y(n_9257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993931 (
+	.A(n_8706),
+	.Y(n_9256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993932 (
+	.A(n_8705),
+	.Y(n_9255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993933 (
+	.A(n_8704),
+	.Y(n_9254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993934 (
+	.A(n_8703),
+	.Y(n_9253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993935 (
+	.A(n_8702),
+	.Y(n_9252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g993936 (
+	.A(n_9248),
+	.Y(n_9249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g993937 (
+	.A(n_9246),
+	.Y(n_9247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g993940 (
+	.A(n_9228),
+	.Y(n_9227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g993941 (
+	.A(n_9225),
+	.Y(n_9226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g993942 (
+	.A(n_9220),
+	.Y(n_9219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g993943 (
+	.A(n_68544),
+	.Y(n_9217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g993944 (
+	.A(n_9216),
+	.Y(n_9215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g993984 (
+	.A(n_9167),
+	.Y(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g993996 (
+	.A(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B(n_7713),
+	.X(n_9161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g993997 (
+	.A(soc_top_u_uart_u_uart_core_rx_buffer_size[5]),
+	.B(n_7719),
+	.Y(n_9160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g993998 (
+	.A1_N(n_4563),
+	.A2_N(n_4733),
+	.B1(n_4563),
+	.B2(n_7677),
+	.X(n_9159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g993999 (
+	.A1(n_593),
+	.A2(n_7693),
+	.B1(n_1),
+	.B2(n_4600),
+	.Y(n_9158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994000 (
+	.A1(n_3961),
+	.A2(\soc_top_intr_controller_irq_id_o[0] [0]),
+	.B1(n_3962),
+	.B2(\soc_top_intr_controller_reg2hw[ie0][32][q] ),
+	.C1(n_7362),
+	.X(n_9157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994001 (
+	.A1_N(n_7628),
+	.A2_N(n_72440),
+	.B1(n_1289),
+	.B2(n_7615),
+	.Y(n_9156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994002 (
+	.A1(n_7621),
+	.A2(n_29676),
+	.B1(n_7113),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [31]),
+	.Y(n_9155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994003 (
+	.A1(n_7621),
+	.A2(n_29674),
+	.B1(n_7113),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [30]),
+	.Y(n_9154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994004 (
+	.A1(n_7621),
+	.A2(n_29672),
+	.B1(n_7113),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [29]),
+	.Y(n_9153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994005 (
+	.A1(n_7621),
+	.A2(n_29670),
+	.B1(n_7113),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [28]),
+	.Y(n_9152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994006 (
+	.A1(n_7621),
+	.A2(n_29668),
+	.B1(n_7113),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [27]),
+	.Y(n_9151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994007 (
+	.A1(n_7621),
+	.A2(n_29666),
+	.B1(n_7113),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [26]),
+	.Y(n_9150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994008 (
+	.A1(n_7621),
+	.A2(n_29664),
+	.B1(n_7113),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [25]),
+	.Y(n_9149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994009 (
+	.A1(n_7084),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [23]),
+	.B1(n_35319),
+	.B2(n_29664),
+	.Y(n_9148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994010 (
+	.A1(n_7621),
+	.A2(n_29656),
+	.B1(n_7113),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [21]),
+	.Y(n_9147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994011 (
+	.A1(n_7621),
+	.A2(n_84881),
+	.B1(n_7113),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [20]),
+	.Y(n_9146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994012 (
+	.A1(n_7621),
+	.A2(n_29650),
+	.B1(n_7113),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [18]),
+	.Y(n_9145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994013 (
+	.A1(n_7084),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [16]),
+	.B1(n_35319),
+	.B2(n_29650),
+	.Y(n_9144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994014 (
+	.A1(n_7621),
+	.A2(n_29642),
+	.B1(n_7113),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [14]),
+	.Y(n_9143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994015 (
+	.A1(n_7621),
+	.A2(n_29640),
+	.B1(n_7113),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [13]),
+	.Y(n_9142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994016 (
+	.A1(n_7084),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [10]),
+	.B1(n_35319),
+	.B2(n_29638),
+	.Y(n_9141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994017 (
+	.A1(n_72188),
+	.A2(n_7628),
+	.B1(n_7621),
+	.B2(n_29630),
+	.Y(n_9140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994018 (
+	.A1(\soc_top_xbar_to_timer[a_address] [6]),
+	.A2(n_7628),
+	.B1(n_7621),
+	.B2(n_29628),
+	.Y(n_9139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994019 (
+	.A1(n_69927),
+	.A2(n_7628),
+	.B1(n_7621),
+	.B2(n_29626),
+	.Y(n_9138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994020 (
+	.A1(n_7084),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [4]),
+	.B1(n_72952),
+	.B2(n_7628),
+	.Y(n_9137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994021 (
+	.A1(\soc_top_xbar_to_timer[a_address] [3]),
+	.A2(n_7628),
+	.B1(n_7621),
+	.B2(n_35500),
+	.Y(n_9136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994022 (
+	.A1(n_69931),
+	.A2(n_7628),
+	.B1(n_7621),
+	.B2(n_29621),
+	.Y(n_9135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994023 (
+	.A1(n_7084),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [1]),
+	.B1(n_7628),
+	.B2(soc_top_u_top_u_core_alu_adder_result_ex[1]),
+	.Y(n_9134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994024 (
+	.A1(n_7628),
+	.A2(n_72622),
+	.B1(n_7621),
+	.B2(soc_top_u_top_u_core_multdiv_operand_b_ex[0]),
+	.Y(n_9133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994025 (
+	.A1(n_7688),
+	.A2(n_2104),
+	.B1(n_29915),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
+	.X(n_9132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994026 (
+	.A1(n_7618),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[11]),
+	.B1(n_2127),
+	.B2(soc_top_u_top_u_core_csr_mtval[11]),
+	.Y(n_9131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994027 (
+	.A1(n_7618),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[3]),
+	.B1(n_2127),
+	.B2(soc_top_u_top_u_core_csr_mtval[3]),
+	.Y(n_9130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994028 (
+	.A1(n_7618),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[1]),
+	.B1(n_2127),
+	.B2(soc_top_u_top_u_core_csr_mtval[1]),
+	.Y(n_9129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994029 (
+	.A1(n_7618),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[0]),
+	.B1(n_2127),
+	.B2(soc_top_u_top_u_core_csr_mtval[0]),
+	.Y(n_9128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994030 (
+	.A1(n_7644),
+	.A2(soc_top_u_top_u_core_debug_ebreakm),
+	.B1(n_2146),
+	.B2(soc_top_u_top_u_core_csr_depc[15]),
+	.Y(n_9127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994031 (
+	.A1(n_7644),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[ebreaks] ),
+	.B1(n_4556),
+	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[13]),
+	.Y(n_9126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994032 (
+	.A1(n_7644),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[stepie] ),
+	.B1(n_3535),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [43]),
+	.Y(n_9125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994033 (
+	.A1(n_7644),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[cause] [0]),
+	.B1(n_36361),
+	.B2(soc_top_u_top_u_core_csr_depc[6]),
+	.Y(n_9124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994034 (
+	.A1(n_7624),
+	.A2(n_30001),
+	.B1(n_1229),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[30]),
+	.Y(n_9123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g994035 (
+	.A1(n_76237),
+	.A2(n_35325),
+	.B1(n_2618),
+	.B2(n_35326),
+	.X(n_9122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994036 (
+	.A1(n_7624),
+	.A2(n_30000),
+	.B1(n_1229),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[29]),
+	.Y(n_9121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994037 (
+	.A1(n_7624),
+	.A2(n_29998),
+	.B1(n_1229),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[27]),
+	.Y(n_9120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994038 (
+	.A1(n_7624),
+	.A2(n_29997),
+	.B1(n_1229),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[26]),
+	.Y(n_9119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994039 (
+	.A1(n_7624),
+	.A2(n_29996),
+	.B1(n_1229),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[25]),
+	.Y(n_9118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994040 (
+	.A1(n_7624),
+	.A2(n_29992),
+	.B1(n_7111),
+	.B2(n_30024),
+	.Y(n_9117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994041 (
+	.A1(n_7624),
+	.A2(n_29991),
+	.B1(n_7111),
+	.B2(n_30023),
+	.Y(n_9116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994042 (
+	.A1(n_7624),
+	.A2(n_29990),
+	.B1(n_7111),
+	.B2(n_30022),
+	.Y(n_9115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994043 (
+	.A1(n_7624),
+	.A2(n_29989),
+	.B1(n_7111),
+	.B2(n_30021),
+	.Y(n_9114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994044 (
+	.A1(n_7631),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [17]),
+	.B1(n_7110),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [17]),
+	.X(n_9113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994045 (
+	.A1(n_7625),
+	.A2(n_70129),
+	.B1(n_1229),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[17]),
+	.Y(n_9112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994046 (
+	.A1(n_7624),
+	.A2(n_29987),
+	.B1(n_7111),
+	.B2(n_30019),
+	.Y(n_9111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994047 (
+	.A1(n_7624),
+	.A2(n_29986),
+	.B1(n_7111),
+	.B2(n_30018),
+	.Y(n_9110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994048 (
+	.A1(n_7624),
+	.A2(n_29985),
+	.B1(n_7111),
+	.B2(n_30017),
+	.Y(n_9109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994049 (
+	.A1(n_7624),
+	.A2(n_29984),
+	.B1(n_7111),
+	.B2(n_30016),
+	.Y(n_9108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994050 (
+	.A1(n_7631),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [12]),
+	.B1(n_7110),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [12]),
+	.X(n_9107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994051 (
+	.A1(n_7624),
+	.A2(n_29983),
+	.B1(n_1229),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[12]),
+	.Y(n_9106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994052 (
+	.A1(n_7631),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [11]),
+	.B1(n_7110),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [11]),
+	.X(n_9105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994053 (
+	.A1(n_7625),
+	.A2(\soc_top_lsu_to_xbar[a_address] [11]),
+	.B1(n_1229),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[11]),
+	.Y(n_9104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994054 (
+	.A1(n_7631),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [10]),
+	.B1(n_7110),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [10]),
+	.X(n_9103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994055 (
+	.A1(n_7625),
+	.A2(n_69940),
+	.B1(n_1229),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[10]),
+	.Y(n_9102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994056 (
+	.A1(n_7624),
+	.A2(n_29980),
+	.B1(n_7111),
+	.B2(n_30012),
+	.Y(n_9101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994057 (
+	.A1(n_7631),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [8]),
+	.B1(n_7110),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [8]),
+	.X(n_9100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994058 (
+	.A1(n_7625),
+	.A2(n_72188),
+	.B1(n_1229),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[7]),
+	.Y(n_9099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994059 (
+	.A1(n_7624),
+	.A2(n_29978),
+	.B1(n_7086),
+	.B2(\soc_top_xbar_to_timer[a_address] [6]),
+	.Y(n_9098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994060 (
+	.A1(n_7624),
+	.A2(n_29977),
+	.B1(n_7111),
+	.B2(n_30009),
+	.Y(n_9097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994061 (
+	.A1(n_7631),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [5]),
+	.B1(n_7110),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [5]),
+	.X(n_9096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994062 (
+	.A1(n_7625),
+	.A2(n_69927),
+	.B1(n_1229),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[5]),
+	.Y(n_9095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994063 (
+	.A1(n_7625),
+	.A2(n_72952),
+	.B1(n_1229),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[4]),
+	.Y(n_9094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994064 (
+	.A1(n_7624),
+	.A2(n_29975),
+	.B1(n_7086),
+	.B2(\soc_top_xbar_to_timer[a_address] [3]),
+	.Y(n_9093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994065 (
+	.A1(n_7625),
+	.A2(\soc_top_xbar_to_timer[a_address] [3]),
+	.B1(n_1229),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[3]),
+	.Y(n_9092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994066 (
+	.A1(n_7624),
+	.A2(n_29973),
+	.B1(n_7111),
+	.B2(n_30005),
+	.Y(n_9091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994067 (
+	.A1(n_7625),
+	.A2(soc_top_u_top_u_core_alu_adder_result_ex[1]),
+	.B1(n_1229),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[1]),
+	.Y(n_9090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994068 (
+	.A1(n_7631),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [0]),
+	.B1(n_7625),
+	.B2(n_72622),
+	.Y(n_9089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994069 (
+	.A1(n_7666),
+	.A2(n_84427),
+	.B1(n_7663),
+	.B2(soc_top_u_spi_host_spi_host_ss[3]),
+	.X(n_9088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994070 (
+	.A1(n_7666),
+	.A2(n_87578),
+	.B1(n_7663),
+	.B2(soc_top_u_spi_host_spi_host_ss[2]),
+	.X(n_9087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994071 (
+	.A1(n_7666),
+	.A2(n_75549),
+	.B1(n_7663),
+	.B2(soc_top_u_spi_host_spi_host_ss[1]),
+	.X(n_9086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994072 (
+	.A1(n_7666),
+	.A2(n_84419),
+	.B1(n_7663),
+	.B2(soc_top_u_spi_host_spi_host_ss[0]),
+	.X(n_9085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994073 (
+	.A1_N(soc_top_u_spi_host_spi_host_divider[7]),
+	.A2_N(n_7650),
+	.B1(FE_DBTN41_n_70004),
+	.B2(n_7646),
+	.Y(n_9084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994074 (
+	.A1_N(soc_top_u_spi_host_spi_host_divider[6]),
+	.A2_N(n_7650),
+	.B1(FE_DBTN40_n_84431),
+	.B2(n_7646),
+	.Y(n_9083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994075 (
+	.A1_N(soc_top_u_spi_host_spi_host_divider[5]),
+	.A2_N(n_7650),
+	.B1(FE_DBTN118_n_84455),
+	.B2(n_7646),
+	.Y(n_9082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994076 (
+	.A1_N(soc_top_u_spi_host_spi_host_divider[4]),
+	.A2_N(n_7650),
+	.B1(FE_DBTN126_n_70008),
+	.B2(n_7646),
+	.Y(n_9081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994077 (
+	.A1_N(soc_top_u_spi_host_spi_host_divider[3]),
+	.A2_N(n_7650),
+	.B1(FE_DBTN125_n_84427),
+	.B2(n_7646),
+	.Y(n_9080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994078 (
+	.A1_N(soc_top_u_spi_host_spi_host_divider[0]),
+	.A2_N(n_7650),
+	.B1(FE_DBTN120_n_84419),
+	.B2(n_7646),
+	.Y(n_9079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994079 (
+	.A1_N(soc_top_u_spi_host_spi_host_divider[2]),
+	.A2_N(n_7650),
+	.B1(FE_DBTN119_n_87578),
+	.B2(n_7646),
+	.Y(n_9078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994080 (
+	.A1_N(soc_top_u_spi_host_spi_host_divider[1]),
+	.A2_N(n_7650),
+	.B1(n_1),
+	.B2(n_7646),
+	.Y(n_9077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994081 (
+	.A1(n_7657),
+	.A2(n_70008),
+	.B1(n_7662),
+	.B2(soc_top_u_spi_host_spi_host_ctrl[4]),
+	.X(n_9076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994082 (
+	.A1(n_7657),
+	.A2(n_84427),
+	.B1(n_7662),
+	.B2(soc_top_u_spi_host_spi_host_ctrl[3]),
+	.X(n_9075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994083 (
+	.A1(n_7657),
+	.A2(n_75549),
+	.B1(n_7662),
+	.B2(soc_top_u_spi_host_spi_host_ctrl[1]),
+	.X(n_9074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994084 (
+	.A1(n_7657),
+	.A2(n_87578),
+	.B1(n_7662),
+	.B2(soc_top_u_spi_host_spi_host_ctrl[2]),
+	.X(n_9073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994085 (
+	.A1(n_7657),
+	.A2(n_84419),
+	.B1(soc_top_u_spi_host_spi_host_ctrl[0]),
+	.B2(soc_top_system_rst_ni),
+	.X(n_9072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994086 (
+	.A1(n_7621),
+	.A2(n_29632),
+	.B1(n_7113),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [9]),
+	.Y(n_9071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994087 (
+	.A1_N(soc_top_u_pwm_pwm_core_period[15]),
+	.A2_N(n_7636),
+	.B1(n_68065),
+	.B2(n_4674),
+	.Y(n_9070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994088 (
+	.A1_N(soc_top_u_pwm_pwm_core_period[14]),
+	.A2_N(n_7636),
+	.B1(FE_DBTN162_soc_top_xbar_to_timer_a_data_14),
+	.B2(n_4674),
+	.Y(n_9069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994089 (
+	.A1_N(soc_top_u_pwm_pwm_core_period[13]),
+	.A2_N(n_7636),
+	.B1(FE_DBTN117_n_72176),
+	.B2(n_4674),
+	.Y(n_9068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994090 (
+	.A1_N(soc_top_u_pwm_pwm_core_period[12]),
+	.A2_N(n_7636),
+	.B1(FE_DBTN124_n_74612),
+	.B2(n_4674),
+	.Y(n_9067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994091 (
+	.A1_N(soc_top_u_pwm_pwm_core_period[11]),
+	.A2_N(n_7636),
+	.B1(n_6),
+	.B2(n_4674),
+	.Y(n_9066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994092 (
+	.A1_N(soc_top_u_pwm_pwm_core_period[10]),
+	.A2_N(n_7636),
+	.B1(n_576),
+	.B2(n_4674),
+	.Y(n_9065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994093 (
+	.A1_N(soc_top_u_pwm_pwm_core_period[9]),
+	.A2_N(n_7636),
+	.B1(n_68020),
+	.B2(n_4674),
+	.Y(n_9064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994094 (
+	.A1_N(soc_top_u_pwm_pwm_core_period[8]),
+	.A2_N(n_7636),
+	.B1(FE_DBTN3_n_93122),
+	.B2(n_4674),
+	.Y(n_9063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994095 (
+	.A1_N(soc_top_u_pwm_pwm_core_period[1]),
+	.A2_N(n_7636),
+	.B1(n_1),
+	.B2(n_4674),
+	.Y(n_9062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994096 (
+	.A1_N(soc_top_u_pwm_pwm_core_period[7]),
+	.A2_N(n_7636),
+	.B1(FE_DBTN41_n_70004),
+	.B2(n_4674),
+	.Y(n_9061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994097 (
+	.A1_N(soc_top_u_pwm_pwm_core_period[6]),
+	.A2_N(n_7636),
+	.B1(FE_DBTN40_n_84431),
+	.B2(n_4674),
+	.Y(n_9060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994098 (
+	.A1_N(soc_top_u_pwm_pwm_core_period[5]),
+	.A2_N(n_7636),
+	.B1(FE_DBTN118_n_84455),
+	.B2(n_4674),
+	.Y(n_9059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994099 (
+	.A1_N(soc_top_u_pwm_pwm_core_period[2]),
+	.A2_N(n_7636),
+	.B1(FE_DBTN119_n_87578),
+	.B2(n_4674),
+	.Y(n_9058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994100 (
+	.A1_N(soc_top_u_pwm_pwm_core_period[4]),
+	.A2_N(n_7636),
+	.B1(FE_DBTN126_n_70008),
+	.B2(n_4674),
+	.Y(n_9057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994101 (
+	.A1_N(soc_top_u_pwm_pwm_core_period[3]),
+	.A2_N(n_7636),
+	.B1(FE_DBTN125_n_84427),
+	.B2(n_4674),
+	.Y(n_9056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994102 (
+	.A1_N(soc_top_u_pwm_pwm_core_period[0]),
+	.A2_N(n_7636),
+	.B1(FE_DBTN120_n_84419),
+	.B2(n_4674),
+	.Y(n_9055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994103 (
+	.A1_N(soc_top_u_pwm_pwm_core_period_2[15]),
+	.A2_N(n_7639),
+	.B1(n_68065),
+	.B2(n_4679),
+	.Y(n_9054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994104 (
+	.A1_N(soc_top_u_pwm_pwm_core_period_2[14]),
+	.A2_N(n_7639),
+	.B1(FE_DBTN162_soc_top_xbar_to_timer_a_data_14),
+	.B2(n_4679),
+	.Y(n_9053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994105 (
+	.A1_N(soc_top_u_pwm_pwm_core_period_2[13]),
+	.A2_N(n_7639),
+	.B1(FE_DBTN117_n_72176),
+	.B2(n_4679),
+	.Y(n_9052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994106 (
+	.A1_N(soc_top_u_pwm_pwm_core_period_2[12]),
+	.A2_N(n_7639),
+	.B1(FE_DBTN124_n_74612),
+	.B2(n_4679),
+	.Y(n_9051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994107 (
+	.A1_N(soc_top_u_pwm_pwm_core_period_2[11]),
+	.A2_N(n_7639),
+	.B1(n_6),
+	.B2(n_4679),
+	.Y(n_9050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994108 (
+	.A1_N(soc_top_u_pwm_pwm_core_period_2[10]),
+	.A2_N(n_7639),
+	.B1(n_576),
+	.B2(n_4679),
+	.Y(n_9049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994109 (
+	.A1_N(soc_top_u_pwm_pwm_core_period_2[9]),
+	.A2_N(n_7639),
+	.B1(n_68020),
+	.B2(n_4679),
+	.Y(n_9048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994110 (
+	.A1_N(soc_top_u_pwm_pwm_core_period_2[4]),
+	.A2_N(n_7639),
+	.B1(FE_DBTN126_n_70008),
+	.B2(n_4679),
+	.Y(n_9047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994111 (
+	.A1_N(soc_top_u_pwm_pwm_core_period_2[8]),
+	.A2_N(n_7639),
+	.B1(FE_DBTN3_n_93122),
+	.B2(n_4679),
+	.Y(n_9046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994112 (
+	.A1_N(soc_top_u_pwm_pwm_core_period_2[7]),
+	.A2_N(n_7639),
+	.B1(FE_DBTN41_n_70004),
+	.B2(n_4679),
+	.Y(n_9045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994113 (
+	.A1_N(soc_top_u_pwm_pwm_core_period_2[5]),
+	.A2_N(n_7639),
+	.B1(FE_DBTN118_n_84455),
+	.B2(n_4679),
+	.Y(n_9044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994114 (
+	.A1_N(soc_top_u_pwm_pwm_core_period_2[6]),
+	.A2_N(n_7639),
+	.B1(FE_DBTN40_n_84431),
+	.B2(n_4679),
+	.Y(n_9043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994115 (
+	.A1_N(soc_top_u_pwm_pwm_core_period_2[3]),
+	.A2_N(n_7639),
+	.B1(FE_DBTN125_n_84427),
+	.B2(n_4679),
+	.Y(n_9042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994116 (
+	.A1_N(soc_top_u_pwm_pwm_core_period_2[2]),
+	.A2_N(n_7639),
+	.B1(FE_DBTN119_n_87578),
+	.B2(n_4679),
+	.Y(n_9041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994117 (
+	.A1_N(soc_top_u_pwm_pwm_core_period_2[1]),
+	.A2_N(n_7639),
+	.B1(n_1),
+	.B2(n_4679),
+	.Y(n_9040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994118 (
+	.A1_N(soc_top_u_pwm_pwm_core_period_2[0]),
+	.A2_N(n_7639),
+	.B1(FE_DBTN120_n_84419),
+	.B2(n_4679),
+	.Y(n_9039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994119 (
+	.A1_N(soc_top_u_pwm_pwm_core_divisor[15]),
+	.A2_N(n_7642),
+	.B1(n_68065),
+	.B2(n_4560),
+	.Y(n_9038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994120 (
+	.A1_N(soc_top_u_pwm_pwm_core_divisor[14]),
+	.A2_N(n_7642),
+	.B1(FE_DBTN162_soc_top_xbar_to_timer_a_data_14),
+	.B2(n_4560),
+	.Y(n_9037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994121 (
+	.A1_N(soc_top_u_pwm_pwm_core_divisor[13]),
+	.A2_N(n_7642),
+	.B1(FE_DBTN117_n_72176),
+	.B2(n_4560),
+	.Y(n_9036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994122 (
+	.A1_N(soc_top_u_pwm_pwm_core_divisor[11]),
+	.A2_N(n_7642),
+	.B1(n_6),
+	.B2(n_4560),
+	.Y(n_9035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994123 (
+	.A1_N(soc_top_u_pwm_pwm_core_divisor[12]),
+	.A2_N(n_7642),
+	.B1(FE_DBTN124_n_74612),
+	.B2(n_4560),
+	.Y(n_9034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994124 (
+	.A1_N(soc_top_u_pwm_pwm_core_divisor[7]),
+	.A2_N(n_7642),
+	.B1(FE_DBTN41_n_70004),
+	.B2(n_4560),
+	.Y(n_9033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994125 (
+	.A1_N(soc_top_u_pwm_pwm_core_divisor[10]),
+	.A2_N(n_7642),
+	.B1(n_576),
+	.B2(n_4560),
+	.Y(n_9032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994126 (
+	.A1_N(soc_top_u_pwm_pwm_core_divisor[9]),
+	.A2_N(n_7642),
+	.B1(n_68020),
+	.B2(n_4560),
+	.Y(n_9031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994127 (
+	.A1_N(soc_top_u_pwm_pwm_core_divisor[8]),
+	.A2_N(n_7642),
+	.B1(FE_DBTN3_n_93122),
+	.B2(n_4560),
+	.Y(n_9030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994128 (
+	.A1_N(soc_top_u_pwm_pwm_core_divisor[6]),
+	.A2_N(n_7642),
+	.B1(FE_DBTN40_n_84431),
+	.B2(n_4560),
+	.Y(n_9029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994129 (
+	.A1_N(soc_top_u_pwm_pwm_core_divisor[5]),
+	.A2_N(n_7642),
+	.B1(FE_DBTN118_n_84455),
+	.B2(n_4560),
+	.Y(n_9028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994130 (
+	.A1_N(soc_top_u_pwm_pwm_core_divisor[3]),
+	.A2_N(n_7642),
+	.B1(FE_DBTN125_n_84427),
+	.B2(n_4560),
+	.Y(n_9027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994131 (
+	.A1_N(soc_top_u_pwm_pwm_core_divisor[4]),
+	.A2_N(n_7642),
+	.B1(FE_DBTN126_n_70008),
+	.B2(n_4560),
+	.Y(n_9026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994132 (
+	.A1_N(soc_top_u_pwm_pwm_core_divisor[2]),
+	.A2_N(n_7642),
+	.B1(FE_DBTN119_n_87578),
+	.B2(n_4560),
+	.Y(n_9025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994133 (
+	.A1_N(soc_top_u_pwm_pwm_core_divisor[0]),
+	.A2_N(n_7642),
+	.B1(FE_DBTN120_n_84419),
+	.B2(n_4560),
+	.Y(n_9024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994134 (
+	.A1_N(soc_top_u_pwm_pwm_core_divisor[1]),
+	.A2_N(n_7642),
+	.B1(n_1),
+	.B2(n_4560),
+	.Y(n_9023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994135 (
+	.A1(n_7638),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[15]),
+	.B1(n_4675),
+	.B2(n_70012),
+	.X(n_9022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994136 (
+	.A1(n_7638),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[14]),
+	.B1(n_4675),
+	.B2(\soc_top_xbar_to_timer[a_data] [14]),
+	.X(n_9021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994137 (
+	.A1(n_7638),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[13]),
+	.B1(n_4675),
+	.B2(n_72176),
+	.X(n_9020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994138 (
+	.A1(n_7638),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[12]),
+	.B1(n_4675),
+	.B2(n_74612),
+	.X(n_9019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994139 (
+	.A1(n_7638),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[11]),
+	.B1(n_4675),
+	.B2(n_69280),
+	.X(n_9018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994140 (
+	.A1(n_7638),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[10]),
+	.B1(n_4675),
+	.B2(n_70064),
+	.X(n_9017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994141 (
+	.A1(n_7638),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[9]),
+	.B1(n_4675),
+	.B2(n_72528),
+	.X(n_9016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994143 (
+	.A1(n_7638),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[7]),
+	.B1(n_4675),
+	.B2(n_70004),
+	.X(n_9014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994144 (
+	.A1(n_7638),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[6]),
+	.B1(n_4675),
+	.B2(n_84431),
+	.X(n_9013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994145 (
+	.A1(n_7638),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[5]),
+	.B1(n_4675),
+	.B2(n_84455),
+	.X(n_9012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994146 (
+	.A1(n_7638),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[4]),
+	.B1(n_4675),
+	.B2(n_70008),
+	.X(n_9011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994147 (
+	.A1(n_7638),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[3]),
+	.B1(n_4675),
+	.B2(n_84427),
+	.X(n_9010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994148 (
+	.A1(n_7638),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[2]),
+	.B1(n_4675),
+	.B2(n_87578),
+	.X(n_9009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994149 (
+	.A1(n_7638),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[1]),
+	.B1(n_4675),
+	.B2(n_75549),
+	.X(n_9008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994150 (
+	.A1(n_7638),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[0]),
+	.B1(n_4675),
+	.B2(n_84419),
+	.X(n_9007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994151 (
+	.A1(n_7673),
+	.A2(soc_top_u_pwm_pwm_core_ctrl[2]),
+	.B1(n_4743),
+	.B2(n_87578),
+	.X(n_9006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994152 (
+	.A1_N(n_75549),
+	.A2_N(n_4743),
+	.B1(n_594),
+	.B2(n_7672),
+	.Y(n_9005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994153 (
+	.A1(n_7673),
+	.A2(soc_top_u_pwm_pwm_core_ctrl[0]),
+	.B1(n_4743),
+	.B2(n_84419),
+	.X(n_9004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994154 (
+	.A1_N(soc_top_u_pwm_pwm_core_ctrl_2[2]),
+	.A2_N(n_7692),
+	.B1(FE_DBTN119_n_87578),
+	.B2(n_4600),
+	.Y(n_9003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994155 (
+	.A1_N(soc_top_u_pwm_pwm_core_ctrl_2[0]),
+	.A2_N(n_7692),
+	.B1(FE_DBTN120_n_84419),
+	.B2(n_4600),
+	.Y(n_9002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994156 (
+	.A1(n_35320),
+	.A2(\soc_top_xbar_to_timer[a_data] [20]),
+	.B1(n_7135),
+	.B2(gpio_o[20]),
+	.Y(n_9001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994157 (
+	.A1(n_35320),
+	.A2(n_84182),
+	.B1(n_7135),
+	.B2(gpio_o[19]),
+	.Y(n_9000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994158 (
+	.A1(n_35320),
+	.A2(n_72578),
+	.B1(n_7135),
+	.B2(soc_top_GPIO_cio_gpio_q[18]),
+	.Y(n_8999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g994159 (
+	.A1(n_35320),
+	.A2(n_72527),
+	.B1(n_7135),
+	.B2(io_out[25]),
+	.Y(n_8998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994161 (
+	.A1_N(soc_top_u_pwm_pwm_core_DC_2[15]),
+	.A2_N(n_7637),
+	.B1(n_68065),
+	.B2(n_4676),
+	.Y(n_8996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994162 (
+	.A1_N(soc_top_u_pwm_pwm_core_DC_2[14]),
+	.A2_N(n_7637),
+	.B1(FE_DBTN162_soc_top_xbar_to_timer_a_data_14),
+	.B2(n_4676),
+	.Y(n_8995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994163 (
+	.A1_N(soc_top_u_pwm_pwm_core_DC_2[13]),
+	.A2_N(n_7637),
+	.B1(FE_DBTN117_n_72176),
+	.B2(n_4676),
+	.Y(n_8994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994164 (
+	.A1_N(soc_top_u_pwm_pwm_core_DC_2[12]),
+	.A2_N(n_7637),
+	.B1(FE_DBTN124_n_74612),
+	.B2(n_4676),
+	.Y(n_8993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994165 (
+	.A1_N(soc_top_u_pwm_pwm_core_DC_2[11]),
+	.A2_N(n_7637),
+	.B1(n_6),
+	.B2(n_4676),
+	.Y(n_8992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994166 (
+	.A1_N(soc_top_u_pwm_pwm_core_DC_2[10]),
+	.A2_N(n_7637),
+	.B1(n_576),
+	.B2(n_4676),
+	.Y(n_8991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994167 (
+	.A1_N(soc_top_u_pwm_pwm_core_DC_2[9]),
+	.A2_N(n_7637),
+	.B1(n_68020),
+	.B2(n_4676),
+	.Y(n_8990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994168 (
+	.A1_N(soc_top_u_pwm_pwm_core_DC_2[8]),
+	.A2_N(n_7637),
+	.B1(FE_DBTN3_n_93122),
+	.B2(n_4676),
+	.Y(n_8989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994169 (
+	.A1_N(soc_top_u_pwm_pwm_core_DC_2[7]),
+	.A2_N(n_7637),
+	.B1(FE_DBTN41_n_70004),
+	.B2(n_4676),
+	.Y(n_8988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994170 (
+	.A1_N(soc_top_u_pwm_pwm_core_DC_2[6]),
+	.A2_N(n_7637),
+	.B1(FE_DBTN40_n_84431),
+	.B2(n_4676),
+	.Y(n_8987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994171 (
+	.A1_N(soc_top_u_pwm_pwm_core_DC_2[5]),
+	.A2_N(n_7637),
+	.B1(FE_DBTN118_n_84455),
+	.B2(n_4676),
+	.Y(n_8986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994172 (
+	.A1_N(soc_top_u_pwm_pwm_core_DC_2[4]),
+	.A2_N(n_7637),
+	.B1(FE_DBTN126_n_70008),
+	.B2(n_4676),
+	.Y(n_8985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994173 (
+	.A1_N(soc_top_u_pwm_pwm_core_DC_2[3]),
+	.A2_N(n_7637),
+	.B1(FE_DBTN125_n_84427),
+	.B2(n_4676),
+	.Y(n_8984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994174 (
+	.A1_N(soc_top_u_pwm_pwm_core_DC_2[2]),
+	.A2_N(n_7637),
+	.B1(FE_DBTN119_n_87578),
+	.B2(n_4676),
+	.Y(n_8983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994175 (
+	.A1_N(soc_top_u_pwm_pwm_core_DC_2[1]),
+	.A2_N(n_7637),
+	.B1(n_1),
+	.B2(n_4676),
+	.Y(n_8982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994176 (
+	.A1_N(soc_top_u_pwm_pwm_core_DC_2[0]),
+	.A2_N(n_7637),
+	.B1(FE_DBTN120_n_84419),
+	.B2(n_4676),
+	.Y(n_8981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994177 (
+	.A1(n_7634),
+	.A2(soc_top_u_pwm_pwm_core_DC_1[15]),
+	.B1(n_4680),
+	.B2(n_70012),
+	.X(n_8980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g994178 (
+	.A_N(n_1541),
+	.B(n_8630),
+	.Y(n_8979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g994179 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [0]),
+	.B(n_8611),
+	.Y(n_8978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g994180 (
+	.A(n_8611),
+	.B_N(n_4660),
+	.Y(n_8977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g994181 (
+	.A(n_8611),
+	.B_N(n_8528),
+	.Y(n_8976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994182 (
+	.A1(n_7634),
+	.A2(soc_top_u_pwm_pwm_core_DC_1[14]),
+	.B1(n_4680),
+	.B2(\soc_top_xbar_to_timer[a_data] [14]),
+	.X(n_8975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994183 (
+	.A1(n_7634),
+	.A2(soc_top_u_pwm_pwm_core_DC_1[13]),
+	.B1(n_4680),
+	.B2(n_72176),
+	.X(n_8974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994184 (
+	.A1(n_7634),
+	.A2(soc_top_u_pwm_pwm_core_DC_1[12]),
+	.B1(n_4680),
+	.B2(n_74612),
+	.X(n_8973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994185 (
+	.A1(n_7634),
+	.A2(soc_top_u_pwm_pwm_core_DC_1[11]),
+	.B1(n_4680),
+	.B2(n_69280),
+	.X(n_8972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g994186 (
+	.A(n_85475),
+	.B(n_8567),
+	.Y(n_8971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g994187 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[2]),
+	.B(n_8567),
+	.Y(n_8970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g994188 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[6]),
+	.B(n_8567),
+	.Y(n_8969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g994189 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[9]),
+	.B(n_8567),
+	.Y(n_8968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g994190 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[13]),
+	.B(n_8567),
+	.Y(n_8967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g994191 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[14]),
+	.B(n_8567),
+	.Y(n_8966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g994192 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[15]),
+	.B(n_8567),
+	.Y(n_8965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g994193 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[16]),
+	.B(n_8567),
+	.Y(n_8964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g994194 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[18]),
+	.B(n_8567),
+	.Y(n_8963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g994195 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[19]),
+	.B(n_8567),
+	.Y(n_8962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g994196 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[20]),
+	.B(n_8567),
+	.Y(n_8961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g994197 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[21]),
+	.B(n_8567),
+	.Y(n_8960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994198 (
+	.A(n_8632),
+	.B(n_34),
+	.Y(n_8959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994199 (
+	.A1(n_7634),
+	.A2(soc_top_u_pwm_pwm_core_DC_1[10]),
+	.B1(n_4680),
+	.B2(n_70064),
+	.X(n_8958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994200 (
+	.A(n_8530),
+	.B(n_7160),
+	.Y(n_8957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994201 (
+	.A1(n_7634),
+	.A2(soc_top_u_pwm_pwm_core_DC_1[9]),
+	.B1(n_4680),
+	.B2(n_72528),
+	.X(n_8956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g994202 (
+	.A(n_8526),
+	.B(n_1501),
+	.Y(n_8955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g994203 (
+	.A(n_1674),
+	.B(n_8611),
+	.Y(n_8954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g994204 (
+	.A(soc_top_u_uart_u_uart_core_rx_fifo_clr),
+	.B(n_8470),
+	.Y(n_8953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994206 (
+	.A1(n_7634),
+	.A2(soc_top_u_pwm_pwm_core_DC_1[7]),
+	.B1(n_4680),
+	.B2(n_70004),
+	.X(n_8951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994207 (
+	.A(soc_top_u_top_u_core_id_in_ready),
+	.B(n_69231),
+	.Y(n_8950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994208 (
+	.A(n_8361),
+	.B(n_4555),
+	.Y(n_8949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994210 (
+	.A(n_8362),
+	.B(n_6261),
+	.Y(n_8947), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994212 (
+	.A1(n_7634),
+	.A2(soc_top_u_pwm_pwm_core_DC_1[6]),
+	.B1(n_4680),
+	.B2(n_84431),
+	.X(n_8945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994213 (
+	.A1(n_7634),
+	.A2(soc_top_u_pwm_pwm_core_DC_1[5]),
+	.B1(n_4680),
+	.B2(n_84455),
+	.X(n_8944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g994214 (
+	.A(soc_top_u_uart_u_uart_core_tx_fifo_clear),
+	.B(n_7721),
+	.Y(n_8943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994215 (
+	.A1(n_7634),
+	.A2(soc_top_u_pwm_pwm_core_DC_1[4]),
+	.B1(n_4680),
+	.B2(n_70008),
+	.X(n_8942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994216 (
+	.A1(n_7634),
+	.A2(soc_top_u_pwm_pwm_core_DC_1[3]),
+	.B1(n_4680),
+	.B2(n_84427),
+	.X(n_8941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g994217 (
+	.A(n_1647),
+	.B(n_8611),
+	.Y(n_8940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994218 (
+	.A1(n_7634),
+	.A2(soc_top_u_pwm_pwm_core_DC_1[2]),
+	.B1(n_4680),
+	.B2(n_87578),
+	.X(n_8939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994219 (
+	.A(n_8594),
+	.B(n_8587),
+	.Y(n_8938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994220 (
+	.A1(n_7634),
+	.A2(soc_top_u_pwm_pwm_core_DC_1[1]),
+	.B1(n_4680),
+	.B2(n_75549),
+	.X(n_8937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994221 (
+	.A1(n_7634),
+	.A2(soc_top_u_pwm_pwm_core_DC_1[0]),
+	.B1(n_4680),
+	.B2(n_84419),
+	.X(n_8936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 g994222 (
+	.A1(n_605),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [0]),
+	.A3(n_7655),
+	.B1(n_1319),
+	.B2(n_4622),
+	.Y(n_8935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994223 (
+	.A1(n_30090),
+	.A2(n_7068),
+	.B1(n_4509),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [1]),
+	.C1(n_7310),
+	.X(n_8934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 g994224 (
+	.A1(FE_DBTN103_n_71622),
+	.A2(n_2212),
+	.B1(\soc_top_u_top_u_core_fp_operands[2] [22]),
+	.B2(n_7204),
+	.C1(n_2740),
+	.X(n_8933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g994225 (
+	.A1(n_2277),
+	.A2(n_4697),
+	.B1(n_7206),
+	.B2(FE_DBTN123_soc_top_u_top_u_core_pc_set),
+	.C1(n_7577),
+	.Y(n_8932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g994226 (
+	.A1(n_1535),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_i_arbiter_gen_arbiter.rr_q [1]),
+	.B1(n_2466),
+	.B2(n_3972),
+	.C1(n_7387),
+	.Y(n_8931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g994227 (
+	.A(n_7470),
+	.B(n_7469),
+	.C(n_4555),
+	.Y(n_8930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g994228 (
+	.A(n_7472),
+	.B(n_7463),
+	.C(n_4555),
+	.Y(n_8929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g994230 (
+	.A1(n_3063),
+	.A2(n_1945),
+	.B1(n_3845),
+	.C1(n_3705),
+	.D1(n_7386),
+	.Y(n_8927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g994231 (
+	.A(n_7427),
+	.B(n_7426),
+	.C(n_4652),
+	.Y(n_8926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994232 (
+	.A1(n_4552),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[0]),
+	.B1(n_4696),
+	.B2(soc_top_u_top_u_core_cs_registers_i_mcause_q[0]),
+	.C1(n_7462),
+	.X(n_8925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994233 (
+	.A1(n_4696),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mcause_q[3]),
+	.B1(n_35336),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_fflags_q[DZ] ),
+	.C1(n_8491),
+	.X(n_8924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g994234 (
+	.A1(n_3535),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [57]),
+	.B1(n_4096),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [25]),
+	.C1(n_7493),
+	.Y(n_8923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994235 (
+	.A1(n_3962),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][34][q] ),
+	.B1(n_3561),
+	.B2(n_3605),
+	.C1(n_7346),
+	.X(n_8922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994236 (
+	.A1(n_3962),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][35][q] ),
+	.B1(n_3561),
+	.B2(n_3603),
+	.C1(n_7347),
+	.X(n_8921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994237 (
+	.A1(n_3962),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][36][q] ),
+	.B1(n_3561),
+	.B2(n_3685),
+	.C1(n_7348),
+	.X(n_8920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994238 (
+	.A1(n_4091),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][5][q] ),
+	.B1(n_3961),
+	.B2(\soc_top_intr_controller_irq_id_o[0] [5]),
+	.C1(n_7349),
+	.X(n_8919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g994239 (
+	.A1(n_1530),
+	.A2(n_7345),
+	.B1(n_29507),
+	.B2(n_7199),
+	.Y(n_8918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g994240 (
+	.A1(n_3961),
+	.A2(\soc_top_intr_controller_irq_id_o[0] [1]),
+	.B1(n_8439),
+	.Y(n_8917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994241 (
+	.A1(n_7163),
+	.A2(n_70418),
+	.B1(n_7164),
+	.B2(n_1743),
+	.C1(n_1799),
+	.X(n_8916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g994242 (
+	.A1(soc_top_u_pwm_pwm_core_period[4]),
+	.A2(n_710),
+	.B1(n_7723),
+	.Y(n_8915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g994243 (
+	.A1(soc_top_u_pwm_pwm_core_DC_2[4]),
+	.A2(n_727),
+	.B1(n_8315),
+	.Y(n_8914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994244 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [1]),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
+	.B1(n_8581),
+	.Y(n_8913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g994245 (
+	.A1(n_4564),
+	.A2(n_2618),
+	.B1(n_7682),
+	.C1(n_8463),
+	.Y(n_8912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g994246 (
+	.A0(n_7295),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_i_arbiter_gen_arbiter.rr_q [0]),
+	.S(n_1535),
+	.Y(n_8911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994247 (
+	.A(n_8620),
+	.B(n_8384),
+	.Y(n_8910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994248 (
+	.A(n_8620),
+	.B(n_8385),
+	.Y(n_8909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g994249 (
+	.A1(n_2271),
+	.A2(n_3029),
+	.B1_N(n_8619),
+	.Y(n_8908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994250 (
+	.A1(n_4563),
+	.A2(n_7685),
+	.B1(n_3576),
+	.Y(n_8907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994251 (
+	.A1(n_30411),
+	.A2(n_7068),
+	.B1(n_4509),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [2]),
+	.C1(n_7257),
+	.X(n_8906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994252 (
+	.A1(n_4553),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[9]),
+	.B1(n_1232),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [9]),
+	.C1(n_8471),
+	.X(n_8905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994253 (
+	.A1(n_4553),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[10]),
+	.B1(n_1232),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [10]),
+	.C1(n_8472),
+	.X(n_8904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994254 (
+	.A1(n_4553),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[14]),
+	.B1(n_1232),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [14]),
+	.C1(n_8506),
+	.X(n_8903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g994255 (
+	.A1(n_4107),
+	.A2(soc_top_GPIO_cio_gpio_en_q[0]),
+	.B1(n_8507),
+	.X(n_8902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g994256 (
+	.A1(n_4107),
+	.A2(soc_top_GPIO_cio_gpio_en_q[1]),
+	.B1(n_8508),
+	.X(n_8901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g994257 (
+	.A1(n_4107),
+	.A2(soc_top_GPIO_cio_gpio_en_q[2]),
+	.B1(n_8510),
+	.X(n_8900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g994258 (
+	.A1(n_4107),
+	.A2(soc_top_GPIO_cio_gpio_en_q[3]),
+	.B1(n_8335),
+	.X(n_8899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g994259 (
+	.A1(n_4107),
+	.A2(soc_top_GPIO_cio_gpio_en_q[4]),
+	.B1(n_8334),
+	.X(n_8898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g994260 (
+	.A1(n_4107),
+	.A2(soc_top_GPIO_cio_gpio_en_q[5]),
+	.B1(n_8332),
+	.X(n_8897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g994261 (
+	.A1(n_4107),
+	.A2(soc_top_GPIO_cio_gpio_en_q[6]),
+	.B1(n_8333),
+	.X(n_8896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g994262 (
+	.A1(n_4107),
+	.A2(soc_top_GPIO_cio_gpio_en_q[7]),
+	.B1(n_8331),
+	.X(n_8895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g994263 (
+	.A1(n_4107),
+	.A2(soc_top_GPIO_cio_gpio_en_q[8]),
+	.B1(n_8330),
+	.X(n_8894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g994264 (
+	.A1(n_4107),
+	.A2(soc_top_GPIO_cio_gpio_en_q[9]),
+	.B1(n_8329),
+	.X(n_8893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g994265 (
+	.A1(n_4107),
+	.A2(soc_top_GPIO_cio_gpio_en_q[11]),
+	.B1(n_8327),
+	.X(n_8892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g994266 (
+	.A1(n_4107),
+	.A2(soc_top_GPIO_cio_gpio_en_q[10]),
+	.B1(n_8328),
+	.X(n_8891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g994267 (
+	.A1(n_4107),
+	.A2(soc_top_GPIO_cio_gpio_en_q[12]),
+	.B1(n_8326),
+	.X(n_8890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g994268 (
+	.A1(n_4107),
+	.A2(soc_top_GPIO_cio_gpio_en_q[13]),
+	.B1(n_8325),
+	.X(n_8889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g994269 (
+	.A1(n_4107),
+	.A2(soc_top_GPIO_cio_gpio_en_q[14]),
+	.B1(n_8324),
+	.X(n_8888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g994270 (
+	.A1(n_4107),
+	.A2(soc_top_GPIO_cio_gpio_en_q[15]),
+	.B1(n_8323),
+	.X(n_8887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994271 (
+	.A1(n_29915),
+	.A2(n_7688),
+	.B1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [2]),
+	.Y(n_8886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994272 (
+	.A1(n_7671),
+	.A2(n_1970),
+	.B1(n_3963),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
+	.X(n_8885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g994273 (
+	.A1(n_3217),
+	.A2(soc_top_u_uart_u_uart_core_fifo_read_size[5]),
+	.B1(n_8317),
+	.X(n_8884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994274 (
+	.A1(n_3849),
+	.A2(\soc_top_intr_controller_reg2hw[le][6][q] ),
+	.B1(n_3850),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_6_qs),
+	.C1(n_7767),
+	.X(n_8883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994275 (
+	.A1(n_3849),
+	.A2(\soc_top_intr_controller_reg2hw[le][7][q] ),
+	.B1(n_3850),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_7_qs),
+	.C1(n_7766),
+	.X(n_8882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994276 (
+	.A1(n_3849),
+	.A2(\soc_top_intr_controller_reg2hw[le][8][q] ),
+	.B1(n_3850),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_8_qs),
+	.C1(n_7765),
+	.X(n_8881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994277 (
+	.A1(n_3849),
+	.A2(\soc_top_intr_controller_reg2hw[le][10][q] ),
+	.B1(n_3850),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_10_qs),
+	.C1(n_7763),
+	.X(n_8880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994278 (
+	.A1(n_3849),
+	.A2(\soc_top_intr_controller_reg2hw[le][11][q] ),
+	.B1(n_3850),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_11_qs),
+	.C1(n_7762),
+	.X(n_8879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994279 (
+	.A1(n_3849),
+	.A2(\soc_top_intr_controller_reg2hw[le][9][q] ),
+	.B1(n_3850),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_9_qs),
+	.C1(n_7764),
+	.X(n_8878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994280 (
+	.A1(n_3849),
+	.A2(\soc_top_intr_controller_reg2hw[le][12][q] ),
+	.B1(n_3850),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_12_qs),
+	.C1(n_7761),
+	.X(n_8877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994281 (
+	.A1(n_3849),
+	.A2(\soc_top_intr_controller_reg2hw[le][13][q] ),
+	.B1(n_3850),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_13_qs),
+	.C1(n_7760),
+	.X(n_8876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994282 (
+	.A1(n_3849),
+	.A2(\soc_top_intr_controller_reg2hw[le][15][q] ),
+	.B1(n_3850),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_15_qs),
+	.C1(n_7758),
+	.X(n_8875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994283 (
+	.A1(n_3849),
+	.A2(\soc_top_intr_controller_reg2hw[le][14][q] ),
+	.B1(n_3850),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_14_qs),
+	.C1(n_7759),
+	.X(n_8874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994284 (
+	.A1(n_3849),
+	.A2(\soc_top_intr_controller_reg2hw[le][16][q] ),
+	.B1(n_3850),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_16_qs),
+	.C1(n_7757),
+	.X(n_8873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994285 (
+	.A1(n_3849),
+	.A2(\soc_top_intr_controller_reg2hw[le][17][q] ),
+	.B1(n_3850),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_17_qs),
+	.C1(n_7756),
+	.X(n_8872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994286 (
+	.A1(n_3849),
+	.A2(\soc_top_intr_controller_reg2hw[le][18][q] ),
+	.B1(n_3850),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_18_qs),
+	.C1(n_7755),
+	.X(n_8871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994287 (
+	.A1(n_3849),
+	.A2(\soc_top_intr_controller_reg2hw[le][19][q] ),
+	.B1(n_3850),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_19_qs),
+	.C1(n_7754),
+	.X(n_8870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994288 (
+	.A1(n_3849),
+	.A2(\soc_top_intr_controller_reg2hw[le][20][q] ),
+	.B1(n_3850),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_20_qs),
+	.C1(n_7753),
+	.X(n_8869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994289 (
+	.A1(n_3849),
+	.A2(\soc_top_intr_controller_reg2hw[le][21][q] ),
+	.B1(n_3850),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_21_qs),
+	.C1(n_7752),
+	.X(n_8868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994290 (
+	.A1(n_3849),
+	.A2(\soc_top_intr_controller_reg2hw[le][22][q] ),
+	.B1(n_3850),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_22_qs),
+	.C1(n_7751),
+	.X(n_8867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994291 (
+	.A1(n_3849),
+	.A2(\soc_top_intr_controller_reg2hw[le][24][q] ),
+	.B1(n_3850),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_24_qs),
+	.C1(n_7750),
+	.X(n_8866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994292 (
+	.A1(n_3849),
+	.A2(\soc_top_intr_controller_reg2hw[le][25][q] ),
+	.B1(n_3850),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_25_qs),
+	.C1(n_7749),
+	.X(n_8865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994293 (
+	.A1(n_3849),
+	.A2(\soc_top_intr_controller_reg2hw[le][26][q] ),
+	.B1(n_3850),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_26_qs),
+	.C1(n_7747),
+	.X(n_8864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994294 (
+	.A1(n_3849),
+	.A2(\soc_top_intr_controller_reg2hw[le][23][q] ),
+	.B1(n_3850),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_23_qs),
+	.C1(n_7748),
+	.X(n_8863), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994295 (
+	.A1(n_3849),
+	.A2(\soc_top_intr_controller_reg2hw[le][27][q] ),
+	.B1(n_3850),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_27_qs),
+	.C1(n_7746),
+	.X(n_8862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994296 (
+	.A1(n_3849),
+	.A2(\soc_top_intr_controller_reg2hw[le][28][q] ),
+	.B1(n_3850),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_28_qs),
+	.C1(n_7745),
+	.X(n_8861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994297 (
+	.A1(n_3849),
+	.A2(\soc_top_intr_controller_reg2hw[le][29][q] ),
+	.B1(n_3850),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_29_qs),
+	.C1(n_7744),
+	.X(n_8860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994298 (
+	.A1(n_3849),
+	.A2(\soc_top_intr_controller_reg2hw[le][31][q] ),
+	.B1(n_3850),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_31_qs),
+	.C1(n_7742),
+	.X(n_8859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994299 (
+	.A1(n_3849),
+	.A2(\soc_top_intr_controller_reg2hw[le][30][q] ),
+	.B1(n_3850),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_30_qs),
+	.C1(n_7743),
+	.X(n_8858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994300 (
+	.A1(n_3295),
+	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [0]),
+	.B1(n_3296),
+	.B2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [0]),
+	.C1(n_8498),
+	.X(n_8857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994301 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
+	.A2(n_2633),
+	.B1(n_8583),
+	.Y(n_8856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994302 (
+	.A1(n_4433),
+	.A2(n_7202),
+	.B1(n_4666),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [0]),
+	.C1(n_8503),
+	.X(n_8855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994303 (
+	.A1(n_30410),
+	.A2(n_7068),
+	.B1(n_4509),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [1]),
+	.C1(n_7256),
+	.X(n_8854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4bb_1 g994304 (
+	.A_N(n_4733),
+	.B_N(n_4734),
+	.C(n_6704),
+	.D(n_4576),
+	.Y(n_8853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g994305 (
+	.A1(n_72622),
+	.A2(n_4592),
+	.B1(n_7129),
+	.B2(n_29622),
+	.C1(n_8245),
+	.Y(n_8852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994306 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[2] [0]),
+	.A2(n_7056),
+	.B1(n_8303),
+	.Y(n_8851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994307 (
+	.A1(soc_top_intr_controller_ip[2]),
+	.A2(n_2638),
+	.B1(n_8465),
+	.Y(n_8850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994308 (
+	.A1(soc_top_intr_controller_ip[4]),
+	.A2(n_2640),
+	.B1(n_8462),
+	.Y(n_8849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994309 (
+	.A1(soc_top_intr_controller_ip[7]),
+	.A2(n_2684),
+	.B1(n_8459),
+	.Y(n_8848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994310 (
+	.A1(soc_top_intr_controller_ip[6]),
+	.A2(n_2642),
+	.B1(n_8460),
+	.Y(n_8847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994311 (
+	.A1(soc_top_intr_controller_ip[14]),
+	.A2(n_2652),
+	.B1(n_8455),
+	.Y(n_8846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994312 (
+	.A1(soc_top_intr_controller_ip[22]),
+	.A2(n_2662),
+	.B1(n_8443),
+	.Y(n_8845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994313 (
+	.A1(soc_top_intr_controller_ip[3]),
+	.A2(n_2639),
+	.B1(n_8464),
+	.Y(n_8844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994314 (
+	.A1(soc_top_intr_controller_ip[5]),
+	.A2(n_2641),
+	.B1(n_8461),
+	.Y(n_8843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994315 (
+	.A1(soc_top_intr_controller_ip[10]),
+	.A2(n_2646),
+	.B1(n_8457),
+	.Y(n_8842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994316 (
+	.A1(soc_top_intr_controller_ip[12]),
+	.A2(n_2649),
+	.B1(n_8456),
+	.Y(n_8841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994317 (
+	.A1(soc_top_intr_controller_ip[18]),
+	.A2(n_2656),
+	.B1(n_8445),
+	.Y(n_8840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994318 (
+	.A1(soc_top_intr_controller_ip[20]),
+	.A2(n_2659),
+	.B1(n_8444),
+	.Y(n_8839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g994319 (
+	.A1(n_1986),
+	.A2(n_7136),
+	.B1(FE_DBTN41_n_70004),
+	.B2(n_35358),
+	.C1(n_7219),
+	.Y(n_8838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g994320 (
+	.A1(n_1988),
+	.A2(n_7136),
+	.B1(FE_DBTN118_n_84455),
+	.B2(n_35358),
+	.C1(n_7217),
+	.Y(n_8837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g994321 (
+	.A1(n_1984),
+	.A2(n_7136),
+	.B1(FE_DBTN3_n_93122),
+	.B2(n_35358),
+	.C1(n_7220),
+	.Y(n_8836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g994322 (
+	.A1(n_1987),
+	.A2(n_7136),
+	.B1(FE_DBTN40_n_84431),
+	.B2(n_35358),
+	.C1(n_7218),
+	.Y(n_8835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g994323 (
+	.A1(n_1983),
+	.A2(n_7136),
+	.B1(n_68020),
+	.B2(n_35358),
+	.C1(n_7221),
+	.Y(n_8834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g994324 (
+	.A1(n_1985),
+	.A2(n_7136),
+	.B1(n_576),
+	.B2(n_35358),
+	.C1(n_7222),
+	.Y(n_8833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g994325 (
+	.A1(n_1978),
+	.A2(n_7136),
+	.B1(FE_DBTN124_n_74612),
+	.B2(n_35358),
+	.C1(n_7224),
+	.Y(n_8832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g994326 (
+	.A1(n_1982),
+	.A2(n_7136),
+	.B1(n_6),
+	.B2(n_35358),
+	.C1(n_7223),
+	.Y(n_8831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g994327 (
+	.A1(n_1981),
+	.A2(n_7136),
+	.B1(FE_DBTN117_n_72176),
+	.B2(n_35358),
+	.C1(n_7225),
+	.Y(n_8830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g994328 (
+	.A1(n_1980),
+	.A2(n_7136),
+	.B1(FE_DBTN162_soc_top_xbar_to_timer_a_data_14),
+	.B2(n_35358),
+	.C1(n_7226),
+	.Y(n_8829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g994329 (
+	.A1(n_1979),
+	.A2(n_7136),
+	.B1(n_68065),
+	.B2(n_35358),
+	.C1(n_7227),
+	.Y(n_8828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994330 (
+	.A1(n_30089),
+	.A2(n_7060),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [2]),
+	.B2(n_4509),
+	.C1(n_30091),
+	.C2(n_7068),
+	.Y(n_8827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994331 (
+	.A1(n_7060),
+	.A2(n_30090),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [3]),
+	.B2(n_4509),
+	.C1(n_30092),
+	.C2(n_7068),
+	.Y(n_8826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994332 (
+	.A1(n_7060),
+	.A2(n_30091),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [4]),
+	.B2(n_4509),
+	.C1(n_30093),
+	.C2(n_7068),
+	.Y(n_8825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994333 (
+	.A1(n_7060),
+	.A2(n_30092),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [5]),
+	.B2(n_4509),
+	.C1(n_30094),
+	.C2(n_7068),
+	.Y(n_8824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994334 (
+	.A1(n_7060),
+	.A2(n_30093),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [6]),
+	.B2(n_4509),
+	.C1(n_30095),
+	.C2(n_7068),
+	.Y(n_8823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994335 (
+	.A1(n_7060),
+	.A2(n_30094),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [7]),
+	.B2(n_4509),
+	.C1(n_30096),
+	.C2(n_7068),
+	.Y(n_8822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994336 (
+	.A1(n_7060),
+	.A2(n_30095),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [8]),
+	.B2(n_4509),
+	.C1(n_30097),
+	.C2(n_7068),
+	.Y(n_8821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994337 (
+	.A1(n_7060),
+	.A2(n_30096),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [9]),
+	.B2(n_4509),
+	.C1(n_30098),
+	.C2(n_7068),
+	.Y(n_8820), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994338 (
+	.A1(n_7060),
+	.A2(n_30097),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [10]),
+	.B2(n_4509),
+	.C1(n_30099),
+	.C2(n_7068),
+	.Y(n_8819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994339 (
+	.A1(n_7060),
+	.A2(n_30098),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [11]),
+	.B2(n_4509),
+	.C1(n_30100),
+	.C2(n_7068),
+	.Y(n_8818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994340 (
+	.A1(n_7060),
+	.A2(n_30099),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [12]),
+	.B2(n_4509),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[2] [12]),
+	.C2(n_7068),
+	.Y(n_8817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994341 (
+	.A1(n_7060),
+	.A2(n_30100),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [13]),
+	.B2(n_4509),
+	.C1(n_30101),
+	.C2(n_7068),
+	.Y(n_8816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994342 (
+	.A1(n_7060),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[2] [12]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [14]),
+	.B2(n_4509),
+	.C1(n_30102),
+	.C2(n_7068),
+	.Y(n_8815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994343 (
+	.A1(n_7060),
+	.A2(n_30101),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [15]),
+	.B2(n_4509),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[2] [15]),
+	.C2(n_7068),
+	.Y(n_8814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994344 (
+	.A1(n_7060),
+	.A2(n_30102),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [16]),
+	.B2(n_4509),
+	.C1(n_30103),
+	.C2(n_7068),
+	.Y(n_8813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994345 (
+	.A1(n_7060),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[2] [15]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [17]),
+	.B2(n_4509),
+	.C1(n_30104),
+	.C2(n_7068),
+	.Y(n_8812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994346 (
+	.A1(n_7060),
+	.A2(n_30103),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [18]),
+	.B2(n_4509),
+	.C1(n_30105),
+	.C2(n_7068),
+	.Y(n_8811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994347 (
+	.A1(n_7060),
+	.A2(n_30104),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [19]),
+	.B2(n_4509),
+	.C1(n_30106),
+	.C2(n_7068),
+	.Y(n_8810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994348 (
+	.A1(n_7068),
+	.A2(n_30107),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [20]),
+	.B2(n_4509),
+	.C1(n_30105),
+	.C2(n_7060),
+	.Y(n_8809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994349 (
+	.A1(n_7068),
+	.A2(n_30108),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [21]),
+	.B2(n_4509),
+	.C1(n_30106),
+	.C2(n_7060),
+	.Y(n_8808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994350 (
+	.A1(n_7060),
+	.A2(n_30107),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [22]),
+	.B2(n_4509),
+	.C1(n_30109),
+	.C2(n_7068),
+	.Y(n_8807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994352 (
+	.A1(n_7068),
+	.A2(n_30111),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [24]),
+	.B2(n_4509),
+	.C1(n_30109),
+	.C2(n_7060),
+	.Y(n_8805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994354 (
+	.A1(n_7060),
+	.A2(n_30111),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [26]),
+	.B2(n_4509),
+	.C1(n_30113),
+	.C2(n_7068),
+	.Y(n_8803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994356 (
+	.A1(n_7068),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[2] [28]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [28]),
+	.B2(n_4509),
+	.C1(n_30113),
+	.C2(n_7060),
+	.Y(n_8801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994358 (
+	.A1(n_7060),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[2] [28]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [30]),
+	.B2(n_4509),
+	.C1(n_30116),
+	.C2(n_7068),
+	.Y(n_8799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g994359 (
+	.A1(n_2015),
+	.A2(n_7132),
+	.B1(FE_DBTN163_soc_top_xbar_to_timer_a_data_21),
+	.B2(n_35358),
+	.C1(n_7281),
+	.Y(n_8798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994361 (
+	.A1(n_7068),
+	.A2(n_30118),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [32]),
+	.B2(n_4509),
+	.C1(n_30116),
+	.C2(n_7060),
+	.Y(n_8796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994363 (
+	.A1(soc_top_intr_controller_ip[30]),
+	.A2(n_2674),
+	.B1(n_8441),
+	.Y(n_8794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g994364 (
+	.A1(n_2009),
+	.A2(n_7132),
+	.B1(FE_DBTN38_n_72887),
+	.B2(n_35358),
+	.C1(n_7236),
+	.Y(n_8793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g994367 (
+	.A1(n_2014),
+	.A2(n_7132),
+	.B1(n_68170),
+	.B2(n_35358),
+	.C1(n_7242),
+	.Y(n_8790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g994375 (
+	.A1(n_2019),
+	.A2(n_7132),
+	.B1(n_68317),
+	.B2(n_35358),
+	.C1(n_7253),
+	.Y(n_8782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g994383 (
+	.A1(n_2021),
+	.A2(n_7132),
+	.B1(FE_DBTN37_n_68466),
+	.B2(n_35358),
+	.C1(n_7258),
+	.Y(n_8774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g994384 (
+	.A(n_7247),
+	.B(n_7248),
+	.C(n_6933),
+	.Y(n_8773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994386 (
+	.A(n_7250),
+	.B(n_8278),
+	.Y(n_8771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994388 (
+	.A(n_7252),
+	.B(n_8276),
+	.Y(n_8769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994389 (
+	.A(n_7254),
+	.B(n_8275),
+	.Y(n_8768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g994390 (
+	.A1(n_2022),
+	.A2(n_7132),
+	.B1(FE_DBTN164_soc_top_xbar_to_timer_a_data_27),
+	.B2(n_35358),
+	.C1(n_7259),
+	.Y(n_8767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g994391 (
+	.A1(n_2020),
+	.A2(n_7132),
+	.B1(FE_DBTN39_n_68259),
+	.B2(n_35358),
+	.C1(n_7255),
+	.Y(n_8766), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g994392 (
+	.A1(n_2026),
+	.A2(n_7132),
+	.B1(n_68216),
+	.B2(n_35358),
+	.C1(n_7260),
+	.Y(n_8765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g994393 (
+	.A1(n_2025),
+	.A2(n_7132),
+	.B1(n_586),
+	.B2(n_35358),
+	.C1(n_7261),
+	.Y(n_8764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g994394 (
+	.A1(n_2024),
+	.A2(n_7132),
+	.B1(n_18),
+	.B2(n_35358),
+	.C1(n_7262),
+	.Y(n_8763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g994395 (
+	.A1(n_2018),
+	.A2(n_7132),
+	.B1(n_68266),
+	.B2(n_35358),
+	.C1(n_7263),
+	.Y(n_8762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994396 (
+	.A1(n_29624),
+	.A2(n_7129),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [2]),
+	.B2(n_4666),
+	.C1(n_7122),
+	.C2(n_29622),
+	.Y(n_8761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994397 (
+	.A1(n_29680),
+	.A2(n_7129),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [3]),
+	.B2(n_4666),
+	.C1(n_7122),
+	.C2(n_29624),
+	.Y(n_8760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994398 (
+	.A1(n_29627),
+	.A2(n_7129),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [4]),
+	.B2(n_4666),
+	.C1(n_7122),
+	.C2(n_29680),
+	.Y(n_8759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994399 (
+	.A1(n_29629),
+	.A2(n_7129),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [5]),
+	.B2(n_4666),
+	.C1(n_7122),
+	.C2(n_29627),
+	.Y(n_8758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994400 (
+	.A1(n_35508),
+	.A2(n_7129),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [6]),
+	.B2(n_4666),
+	.C1(n_7122),
+	.C2(n_29629),
+	.Y(n_8757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994401 (
+	.A1(n_29633),
+	.A2(n_7129),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [7]),
+	.B2(n_4666),
+	.C1(n_7122),
+	.C2(n_35508),
+	.Y(n_8756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994402 (
+	.A1(n_29635),
+	.A2(n_7129),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [8]),
+	.B2(n_4666),
+	.C1(n_7122),
+	.C2(n_29633),
+	.Y(n_8755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994403 (
+	.A1(n_29637),
+	.A2(n_7129),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [9]),
+	.B2(n_4666),
+	.C1(n_7122),
+	.C2(n_29635),
+	.Y(n_8754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994404 (
+	.A1(n_29639),
+	.A2(n_7129),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [10]),
+	.B2(n_4666),
+	.C1(n_7122),
+	.C2(n_29637),
+	.Y(n_8753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994405 (
+	.A1(n_29641),
+	.A2(n_7129),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [11]),
+	.B2(n_4666),
+	.C1(n_7122),
+	.C2(n_29639),
+	.Y(n_8752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994406 (
+	.A1(n_29643),
+	.A2(n_7129),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [12]),
+	.B2(n_4666),
+	.C1(n_7122),
+	.C2(n_29641),
+	.Y(n_8751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994407 (
+	.A1(n_29645),
+	.A2(n_7129),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [13]),
+	.B2(n_4666),
+	.C1(n_7122),
+	.C2(n_29643),
+	.Y(n_8750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994408 (
+	.A1(n_29647),
+	.A2(n_7129),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [14]),
+	.B2(n_4666),
+	.C1(n_7122),
+	.C2(n_29645),
+	.Y(n_8749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994409 (
+	.A1(n_35504),
+	.A2(n_7129),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [15]),
+	.B2(n_4666),
+	.C1(n_7122),
+	.C2(n_29647),
+	.Y(n_8748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994410 (
+	.A1(n_35503),
+	.A2(n_7129),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [16]),
+	.B2(n_4666),
+	.C1(n_7122),
+	.C2(n_35504),
+	.Y(n_8747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994411 (
+	.A1(n_29653),
+	.A2(n_7129),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [17]),
+	.B2(n_4666),
+	.C1(n_7122),
+	.C2(n_35503),
+	.Y(n_8746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994412 (
+	.A1(n_29655),
+	.A2(n_7129),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [18]),
+	.B2(n_4666),
+	.C1(n_7122),
+	.C2(n_29653),
+	.Y(n_8745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994413 (
+	.A1(n_29657),
+	.A2(n_7129),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [19]),
+	.B2(n_4666),
+	.C1(n_7122),
+	.C2(n_29655),
+	.Y(n_8744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994414 (
+	.A1(n_29659),
+	.A2(n_7129),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [20]),
+	.B2(n_4666),
+	.C1(n_7122),
+	.C2(n_29657),
+	.Y(n_8743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994415 (
+	.A1(n_29661),
+	.A2(n_7129),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [21]),
+	.B2(n_4666),
+	.C1(n_7122),
+	.C2(n_29659),
+	.Y(n_8742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994416 (
+	.A1(n_35505),
+	.A2(n_7129),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [22]),
+	.B2(n_4666),
+	.C1(n_7122),
+	.C2(n_29661),
+	.Y(n_8741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994417 (
+	.A1(n_29665),
+	.A2(n_7129),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [23]),
+	.B2(n_4666),
+	.C1(n_7122),
+	.C2(n_35505),
+	.Y(n_8740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994418 (
+	.A1(n_29667),
+	.A2(n_7129),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [24]),
+	.B2(n_4666),
+	.C1(n_7122),
+	.C2(n_29665),
+	.Y(n_8739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994419 (
+	.A1(n_29669),
+	.A2(n_7129),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [25]),
+	.B2(n_4666),
+	.C1(n_7122),
+	.C2(n_29667),
+	.Y(n_8738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994420 (
+	.A1(n_75671),
+	.A2(n_7129),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [26]),
+	.B2(n_4666),
+	.C1(n_7122),
+	.C2(n_29669),
+	.Y(n_8737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994421 (
+	.A1(n_29673),
+	.A2(n_7129),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [27]),
+	.B2(n_4666),
+	.C1(n_7122),
+	.C2(n_75671),
+	.Y(n_8736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994422 (
+	.A1(n_29675),
+	.A2(n_7129),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [28]),
+	.B2(n_4666),
+	.C1(n_7122),
+	.C2(n_29673),
+	.Y(n_8735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994423 (
+	.A1(n_29677),
+	.A2(n_7129),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [29]),
+	.B2(n_4666),
+	.C1(n_7122),
+	.C2(n_29675),
+	.Y(n_8734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994424 (
+	.A1(n_29677),
+	.A2(n_7122),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [30]),
+	.B2(n_4666),
+	.C1(n_7129),
+	.C2(n_29679),
+	.Y(n_8733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994425 (
+	.A1(n_29679),
+	.A2(n_7122),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [31]),
+	.B2(n_4666),
+	.C1(n_7128),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[0] [30]),
+	.Y(n_8732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994426 (
+	.A1(n_93140),
+	.A2(n_7094),
+	.B1(n_8231),
+	.Y(n_8731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994427 (
+	.A1(n_74746),
+	.A2(n_7094),
+	.B1(n_8230),
+	.Y(n_8730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994428 (
+	.A1(n_7094),
+	.A2(n_598),
+	.B1(n_8228),
+	.Y(n_8729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994429 (
+	.A1(n_7094),
+	.A2(n_23),
+	.B1(n_8227),
+	.Y(n_8728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994430 (
+	.A1(n_29629),
+	.A2(n_7083),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[1] [6]),
+	.B2(n_4668),
+	.C1(\soc_top_xbar_to_timer[a_address] [6]),
+	.C2(n_7095),
+	.Y(n_8727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994431 (
+	.A1(n_7094),
+	.A2(FE_DBTN115_n_72188),
+	.B1(n_8225),
+	.Y(n_8726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994432 (
+	.A1(n_29633),
+	.A2(n_7083),
+	.B1(n_7095),
+	.B2(n_77013),
+	.C1(n_4668),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [8]),
+	.Y(n_8725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994433 (
+	.A1(n_29635),
+	.A2(n_7083),
+	.B1(n_7095),
+	.B2(\soc_top_lsu_to_xbar[a_address] [9]),
+	.C1(n_4668),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [9]),
+	.Y(n_8724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994434 (
+	.A1(n_29637),
+	.A2(n_7083),
+	.B1(n_7095),
+	.B2(n_69940),
+	.C1(n_4668),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [10]),
+	.Y(n_8723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994435 (
+	.A1(n_29639),
+	.A2(n_7083),
+	.B1(n_7095),
+	.B2(\soc_top_lsu_to_xbar[a_address] [11]),
+	.C1(n_4668),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [11]),
+	.Y(n_8722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994436 (
+	.A1(n_29641),
+	.A2(n_7083),
+	.B1(n_7095),
+	.B2(\soc_top_lsu_to_xbar[a_address] [12]),
+	.C1(n_4668),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [12]),
+	.Y(n_8721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994437 (
+	.A1(n_29643),
+	.A2(n_7083),
+	.B1(n_7095),
+	.B2(\soc_top_lsu_to_xbar[a_address] [13]),
+	.C1(n_4668),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [13]),
+	.Y(n_8720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994438 (
+	.A1(n_29645),
+	.A2(n_7083),
+	.B1(n_7095),
+	.B2(soc_top_u_top_u_core_alu_adder_result_ex[14]),
+	.C1(n_4668),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [14]),
+	.Y(n_8719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994439 (
+	.A1(n_29647),
+	.A2(n_7083),
+	.B1(n_7095),
+	.B2(soc_top_u_top_u_core_alu_adder_result_ex[15]),
+	.C1(n_4668),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [15]),
+	.Y(n_8718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994440 (
+	.A1(n_35504),
+	.A2(n_7083),
+	.B1(n_7095),
+	.B2(n_71266),
+	.C1(n_4668),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [16]),
+	.Y(n_8717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994441 (
+	.A1(n_35503),
+	.A2(n_7083),
+	.B1(n_7095),
+	.B2(n_70129),
+	.C1(n_4668),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [17]),
+	.Y(n_8716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994442 (
+	.A1(n_29653),
+	.A2(n_7083),
+	.B1(n_7095),
+	.B2(n_87477),
+	.C1(n_4668),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [18]),
+	.Y(n_8715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994443 (
+	.A1(n_29655),
+	.A2(n_7083),
+	.B1(n_7095),
+	.B2(\soc_top_lsu_to_xbar[a_address] [19]),
+	.C1(n_4668),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [19]),
+	.Y(n_8714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994444 (
+	.A1(n_29657),
+	.A2(n_7083),
+	.B1(n_7095),
+	.B2(\soc_top_lsu_to_xbar[a_address] [20]),
+	.C1(n_4668),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [20]),
+	.Y(n_8713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994445 (
+	.A1(n_29659),
+	.A2(n_7083),
+	.B1(n_7095),
+	.B2(\soc_top_lsu_to_xbar[a_address] [21]),
+	.C1(n_4668),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [21]),
+	.Y(n_8712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994446 (
+	.A1(n_29661),
+	.A2(n_7083),
+	.B1(n_7095),
+	.B2(n_85991),
+	.C1(n_4668),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [22]),
+	.Y(n_8711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994447 (
+	.A1(n_35505),
+	.A2(n_7083),
+	.B1(n_7095),
+	.B2(\soc_top_lsu_to_xbar[a_address] [23]),
+	.C1(n_4668),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [23]),
+	.Y(n_8710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994448 (
+	.A1(n_29665),
+	.A2(n_7083),
+	.B1(n_7095),
+	.B2(n_74022),
+	.C1(n_4668),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [24]),
+	.Y(n_8709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994449 (
+	.A1(n_29667),
+	.A2(n_7083),
+	.B1(n_7095),
+	.B2(\soc_top_lsu_to_xbar[a_address] [25]),
+	.C1(n_4668),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [25]),
+	.Y(n_8708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994450 (
+	.A1(n_29669),
+	.A2(n_7083),
+	.B1(n_7095),
+	.B2(\soc_top_lsu_to_xbar[a_address] [26]),
+	.C1(n_4668),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [26]),
+	.Y(n_8707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994451 (
+	.A1(n_75671),
+	.A2(n_7083),
+	.B1(n_7095),
+	.B2(n_85990),
+	.C1(n_4668),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [27]),
+	.Y(n_8706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994452 (
+	.A1(n_29673),
+	.A2(n_7083),
+	.B1(n_7095),
+	.B2(n_69574),
+	.C1(n_4668),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [28]),
+	.Y(n_8705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994453 (
+	.A1(n_29675),
+	.A2(n_7083),
+	.B1(n_7095),
+	.B2(n_71867),
+	.C1(n_4668),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [29]),
+	.Y(n_8704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994454 (
+	.A1(n_29677),
+	.A2(n_7083),
+	.B1(\soc_top_lsu_to_xbar[a_address] [30]),
+	.B2(n_7095),
+	.C1(n_4668),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [30]),
+	.Y(n_8703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994455 (
+	.A1(n_29679),
+	.A2(n_7083),
+	.B1(n_7095),
+	.B2(n_72440),
+	.C1(n_4668),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [31]),
+	.Y(n_8702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g994456 (
+	.A1(soc_top_u_pwm_pwm_core_DC_1[5]),
+	.A2(n_728),
+	.B1(soc_top_u_pwm_pwm_core_DC_1[4]),
+	.B2(n_710),
+	.C1(n_8313),
+	.Y(n_8701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994457 (
+	.A1(soc_top_intr_controller_ip[23]),
+	.A2(n_2663),
+	.B1(n_8442),
+	.Y(n_8700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994458 (
+	.A1(soc_top_intr_controller_ip[15]),
+	.A2(n_2653),
+	.B1(n_8451),
+	.Y(n_8699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g994459 (
+	.A(n_71683),
+	.B(n_4276),
+	.C(n_7445),
+	.Y(n_8698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g994460 (
+	.A(n_76235),
+	.B(n_29863),
+	.C(soc_top_u_top_u_core_alu_operand_b_ex[31]),
+	.Y(n_8697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g994461 (
+	.A(n_6684),
+	.B(n_3280),
+	.C(n_3279),
+	.D(n_3281),
+	.Y(n_8696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994462 (
+	.A1(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[cause] [2]),
+	.A2(n_7644),
+	.B1(soc_top_u_top_u_core_cs_registers_i_mtval_q[8]),
+	.B2(n_4552),
+	.C1(n_2146),
+	.C2(soc_top_u_top_u_core_csr_depc[8]),
+	.Y(n_8695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g994463 (
+	.A1(n_4552),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[15]),
+	.B1(n_4558),
+	.B2(soc_top_u_top_u_core_csr_mtvec[15]),
+	.C1(n_8434),
+	.Y(n_8694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g994464 (
+	.A1(n_4669),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[19]),
+	.B1(n_4552),
+	.B2(soc_top_u_top_u_core_cs_registers_i_mtval_q[19]),
+	.C1(n_8433),
+	.Y(n_8693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g994465 (
+	.A1(n_35329),
+	.A2(n_84419),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [0]),
+	.C1(n_2769),
+	.Y(n_8692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g994466 (
+	.A1(n_35329),
+	.A2(n_75549),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [1]),
+	.C1(n_2736),
+	.Y(n_8691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g994467 (
+	.A1(n_35329),
+	.A2(n_87578),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [2]),
+	.C1(n_2722),
+	.Y(n_8690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g994468 (
+	.A1(n_35329),
+	.A2(n_84427),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [3]),
+	.C1(n_2741),
+	.Y(n_8689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g994469 (
+	.A1(n_35329),
+	.A2(n_70008),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [4]),
+	.C1(n_2745),
+	.Y(n_8688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g994470 (
+	.A1(n_35329),
+	.A2(n_84455),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [5]),
+	.C1(n_2782),
+	.Y(n_8687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g994471 (
+	.A1(n_35329),
+	.A2(n_84431),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [6]),
+	.C1(n_2743),
+	.Y(n_8686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g994472 (
+	.A1(n_35329),
+	.A2(n_70004),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [7]),
+	.C1(n_2764),
+	.Y(n_8685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g994474 (
+	.A1(n_35329),
+	.A2(n_72528),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [9]),
+	.C1(n_2794),
+	.Y(n_8683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g994475 (
+	.A1(n_35329),
+	.A2(n_70064),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [10]),
+	.C1(n_2715),
+	.Y(n_8682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g994476 (
+	.A1(n_35329),
+	.A2(n_69280),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [11]),
+	.C1(n_2784),
+	.Y(n_8681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g994477 (
+	.A1(n_35329),
+	.A2(n_74612),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [12]),
+	.C1(n_2779),
+	.Y(n_8680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g994478 (
+	.A1(n_35329),
+	.A2(n_72176),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [13]),
+	.C1(n_2778),
+	.Y(n_8679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g994479 (
+	.A1(n_35329),
+	.A2(\soc_top_xbar_to_timer[a_data] [14]),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [14]),
+	.C1(n_2777),
+	.Y(n_8678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g994480 (
+	.A1(n_35329),
+	.A2(n_70012),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [15]),
+	.C1(n_2775),
+	.Y(n_8677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g994482 (
+	.A1(n_35329),
+	.A2(n_72527),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [17]),
+	.C1(n_2770),
+	.Y(n_8675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g994483 (
+	.A1(n_35329),
+	.A2(n_84182),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [19]),
+	.C1(n_2768),
+	.Y(n_8674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g994484 (
+	.A1(n_35329),
+	.A2(\soc_top_xbar_to_timer[a_data] [20]),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [20]),
+	.C1(n_2766),
+	.Y(n_8673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g994485 (
+	.A1(n_35329),
+	.A2(\soc_top_xbar_to_timer[a_data] [21]),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [21]),
+	.C1(n_2754),
+	.Y(n_8672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g994487 (
+	.A1(n_35329),
+	.A2(n_74743),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [23]),
+	.C1(n_2868),
+	.Y(n_8670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g994488 (
+	.A1(n_35329),
+	.A2(n_68334),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [24]),
+	.C1(n_2739),
+	.Y(n_8669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g994489 (
+	.A1(n_35329),
+	.A2(n_68259),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [25]),
+	.C1(n_2733),
+	.Y(n_8668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g994491 (
+	.A1(n_35329),
+	.A2(\soc_top_xbar_to_timer[a_data] [27]),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [27]),
+	.C1(n_2763),
+	.Y(n_8666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g994492 (
+	.A1(n_35329),
+	.A2(\soc_top_xbar_to_timer[a_data] [28]),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [28]),
+	.C1(n_2765),
+	.Y(n_8665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g994493 (
+	.A1(n_35329),
+	.A2(\soc_top_xbar_to_timer[a_data] [29]),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [29]),
+	.C1(n_2712),
+	.Y(n_8664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g994494 (
+	.A1(n_35329),
+	.A2(\soc_top_xbar_to_timer[a_data] [30]),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [30]),
+	.C1(n_2774),
+	.Y(n_8663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g994495 (
+	.A1(n_35329),
+	.A2(n_68268),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [31]),
+	.C1(n_2783),
+	.Y(n_8662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g994496 (
+	.A(n_7078),
+	.B(n_7699),
+	.C(n_1357),
+	.Y(n_8661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994497 (
+	.A1(n_3561),
+	.A2(n_6800),
+	.B1(n_2131),
+	.B2(\soc_top_plic_resp[d_data] [1]),
+	.C1(n_7366),
+	.X(n_8660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994498 (
+	.A(n_8447),
+	.B(n_8600),
+	.Y(n_8659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994499 (
+	.A(n_8448),
+	.B(n_8600),
+	.Y(n_8658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g994500 (
+	.A1(n_1992),
+	.A2(n_7136),
+	.B1(FE_DBTN125_n_84427),
+	.B2(n_35358),
+	.C1(n_7215),
+	.Y(n_8657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g994501 (
+	.A1(n_2006),
+	.A2(n_7132),
+	.B1(n_92650),
+	.B2(n_35358),
+	.C1(n_7231),
+	.Y(n_8656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g994502 (
+	.A1(n_1993),
+	.A2(n_7136),
+	.B1(FE_DBTN119_n_87578),
+	.B2(n_35358),
+	.C1(n_7214),
+	.Y(n_8655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g994503 (
+	.A1(n_1995),
+	.A2(n_7136),
+	.B1(FE_DBTN126_n_70008),
+	.B2(n_35358),
+	.C1(n_7216),
+	.Y(n_8654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g994504 (
+	.A1(n_1994),
+	.A2(n_7132),
+	.B1(n_581),
+	.B2(n_35358),
+	.C1(n_7230),
+	.Y(n_8653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g994505 (
+	.A1(n_2007),
+	.A2(n_7132),
+	.B1(n_68213),
+	.B2(n_35358),
+	.C1(n_7232),
+	.Y(n_8652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g994506 (
+	.A1(n_30238),
+	.A2(n_7068),
+	.B1(n_8274),
+	.X(n_8651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g994507 (
+	.A1(n_1997),
+	.A2(n_7136),
+	.B1(n_1),
+	.B2(n_35358),
+	.C1(n_7213),
+	.Y(n_8650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g994508 (
+	.A1(n_2013),
+	.A2(n_7132),
+	.B1(FE_DBTN116_n_72527),
+	.B2(n_35358),
+	.C1(n_7229),
+	.Y(n_8649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g994509 (
+	.A1(n_1998),
+	.A2(n_7136),
+	.B1(FE_DBTN120_n_84419),
+	.B2(n_35358),
+	.C1(n_7212),
+	.Y(n_8648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g994510 (
+	.A1(n_2004),
+	.A2(n_7132),
+	.B1(FE_DBTN2_n_93141),
+	.B2(n_35358),
+	.C1(n_7228),
+	.Y(n_8647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994511 (
+	.A1(n_7094),
+	.A2(n_589),
+	.B1(n_8226),
+	.Y(n_8646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994512 (
+	.A1(soc_top_intr_controller_ip[16]),
+	.A2(n_2683),
+	.B1(n_8450),
+	.Y(n_8645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994513 (
+	.A1(n_7094),
+	.A2(n_16),
+	.B1(n_8229),
+	.Y(n_8644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994514 (
+	.A1(soc_top_intr_controller_ip[1]),
+	.A2(n_2637),
+	.B1(n_8483),
+	.Y(n_8643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994515 (
+	.A1(soc_top_intr_controller_ip[8]),
+	.A2(n_2643),
+	.B1(n_8458),
+	.Y(n_8642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g994522 (
+	.A(n_29328),
+	.B(soc_top_u_top_u_core_cs_registers_i_n_6269),
+	.C(n_6701),
+	.D(n_75503),
+	.Y(n_8641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994526 (
+	.A(n_8636),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [0]),
+	.Y(n_8640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g994539 (
+	.A(n_8595),
+	.B(n_8616),
+	.Y(n_9250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g994544 (
+	.A(n_8619),
+	.B(n_3029),
+	.Y(n_9248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g994568 (
+	.A(n_1560),
+	.B(n_1513),
+	.C(n_8454),
+	.Y(n_9246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g994629 (
+	.A(soc_top_u_spi_host_spi_host_clgen_cnt[4]),
+	.B(n_7181),
+	.Y(n_9245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g994630 (
+	.A(n_29479),
+	.B(n_7181),
+	.X(n_9244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994638 (
+	.A(n_8589),
+	.B(n_8595),
+	.Y(n_9241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g994641 (
+	.A(n_8586),
+	.B(n_8591),
+	.Y(n_9240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g994643 (
+	.A_N(n_3368),
+	.B(n_7699),
+	.C(soc_top_u_top_data_we),
+	.X(n_9239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g994698 (
+	.A(n_811),
+	.B(n_8622),
+	.Y(n_9236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g994699 (
+	.A(n_282),
+	.B(n_8621),
+	.Y(n_9235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g994704 (
+	.A(n_3593),
+	.B(n_8582),
+	.Y(n_9234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g994705 (
+	.A_N(n_8619),
+	.B(n_3029),
+	.C(n_80815),
+	.X(n_9233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g994708 (
+	.A(n_69225),
+	.B(n_8599),
+	.Y(n_9232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g994718 (
+	.A(n_1237),
+	.B(n_8571),
+	.Y(n_9230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994719 (
+	.A(n_8595),
+	.B(n_8588),
+	.Y(n_9229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994720 (
+	.A(n_8615),
+	.B(n_1893),
+	.Y(n_9228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g994721 (
+	.A(n_3593),
+	.B(n_8601),
+	.Y(n_9225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g994722 (
+	.A(n_8593),
+	.B(n_1890),
+	.Y(n_9224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g994723 (
+	.A(n_8586),
+	.B(n_8594),
+	.Y(n_9223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g994724 (
+	.A_N(n_1893),
+	.B(n_8615),
+	.C(n_1891),
+	.X(n_9222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g994725 (
+	.A(n_8590),
+	.B(n_8593),
+	.Y(n_9221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994726 (
+	.A(n_8590),
+	.B(n_8586),
+	.Y(n_9220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_1 g994728 (
+	.A(n_597),
+	.B(n_7417),
+	.C_N(n_34953),
+	.X(n_9216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_2 g994729 (
+	.A_N(n_29329),
+	.B(n_68385),
+	.C(n_7422),
+	.X(n_9214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g994734 (
+	.A(n_8357),
+	.Y(n_8635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g994736 (
+	.A(n_8617),
+	.Y(n_8616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g994737 (
+	.A(n_8615),
+	.Y(n_8614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g994738 (
+	.A(n_8613),
+	.Y(n_8612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g994739 (
+	.A(n_8609),
+	.Y(n_8608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g994740 (
+	.A(n_8607),
+	.Y(n_8606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g994741 (
+	.A(n_8603),
+	.Y(n_8602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g994742 (
+	.A(n_8601),
+	.Y(n_8600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g994744 (
+	.A(n_8596),
+	.Y(n_8595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g994745 (
+	.A(n_8594),
+	.Y(n_8593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g994746 (
+	.A(n_8591),
+	.Y(n_8590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g994747 (
+	.A(n_8589),
+	.Y(n_8588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g994748 (
+	.A(n_8587),
+	.Y(n_8586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g994749 (
+	.A(n_8585),
+	.Y(n_8584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g994750 (
+	.A(n_8583),
+	.Y(n_8582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g994751 (
+	.A(n_8581),
+	.Y(n_8580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g994752 (
+	.A(n_35318),
+	.Y(n_8576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g994753 (
+	.A(n_76235),
+	.Y(n_8574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g994754 (
+	.A(n_8573),
+	.Y(n_8572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g994755 (
+	.A(n_8571),
+	.Y(n_8570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g994756 (
+	.A(n_8569),
+	.Y(n_8568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g994757 (
+	.A(n_8567),
+	.Y(n_8566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g994758 (
+	.A(\soc_top_timer0_gen_harts[0].u_core_tick_count [3]),
+	.B(n_4661),
+	.COUT(n_8531),
+	.SUM(n_8532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g994759 (
+	.A(n_179),
+	.B(n_4691),
+	.COUT(n_8529),
+	.SUM(n_8530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g994760 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [4]),
+	.B(n_4659),
+	.COUT(n_8527),
+	.SUM(n_8528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g994761 (
+	.A(n_306),
+	.B(n_4663),
+	.COUT(n_8525),
+	.SUM(n_8526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g994762 (
+	.A1(n_1252),
+	.A2(n_4724),
+	.B1(n_1240),
+	.B2(n_69128),
+	.C1(n_2515),
+	.Y(n_8524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g994763 (
+	.A1(n_1252),
+	.A2(n_4578),
+	.B1(n_1240),
+	.B2(n_69118),
+	.C1(n_2354),
+	.Y(n_8523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994764 (
+	.A1(n_1251),
+	.A2(n_4699),
+	.B1(n_7411),
+	.Y(n_8522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g994765 (
+	.A1(n_68977),
+	.A2(n_1252),
+	.B1(n_1240),
+	.B2(n_69077),
+	.C1(n_2328),
+	.Y(n_8521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g994766 (
+	.A1(n_68957),
+	.A2(n_1252),
+	.B1(n_1240),
+	.B2(n_69057),
+	.C1(n_2330),
+	.Y(n_8520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g994767 (
+	.A1(n_1252),
+	.A2(n_4569),
+	.B1(n_1240),
+	.B2(n_69138),
+	.C1(n_2465),
+	.Y(n_8519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31a_1 g994768 (
+	.A1(n_31545),
+	.A2(n_3577),
+	.A3(n_77413),
+	.B1(n_2704),
+	.X(n_8518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g994769 (
+	.A1(n_76238),
+	.A2(n_36341),
+	.B1(n_35325),
+	.Y(n_8517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g994770 (
+	.A1(n_76242),
+	.A2(n_76239),
+	.B1(n_35326),
+	.X(n_8516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g994771 (
+	.A1(n_76242),
+	.A2(n_36343),
+	.B1(n_35325),
+	.Y(n_8515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g994772 (
+	.A1(n_3344),
+	.A2(n_3346),
+	.B1(n_35326),
+	.X(n_8514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994773 (
+	.A1(n_4553),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[2]),
+	.B1(n_1372),
+	.B2(soc_top_u_top_u_core_cs_registers_i_tmatch_control_rdata[2]),
+	.C1(n_7467),
+	.X(n_8513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g994774 (
+	.A1(n_4556),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[16]),
+	.B1(n_2146),
+	.B2(soc_top_u_top_u_core_csr_depc[16]),
+	.C1(n_7478),
+	.Y(n_8512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g994775 (
+	.A1(n_4094),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [22]),
+	.B1(n_4552),
+	.B2(soc_top_u_top_u_core_cs_registers_i_mtval_q[22]),
+	.C1(n_7487),
+	.Y(n_8511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g994776 (
+	.A(n_4420),
+	.B(n_6779),
+	.C(n_3644),
+	.D(n_4344),
+	.Y(n_8510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g994777 (
+	.A1(n_4094),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [23]),
+	.B1(n_4552),
+	.B2(soc_top_u_top_u_core_cs_registers_i_mtval_q[23]),
+	.C1(n_7489),
+	.Y(n_8509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g994778 (
+	.A(n_4384),
+	.B(n_6780),
+	.C(n_3645),
+	.D(n_4345),
+	.Y(n_8508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g994779 (
+	.A(n_4405),
+	.B(n_6781),
+	.C(n_3652),
+	.D(n_4346),
+	.Y(n_8507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994780 (
+	.A1(n_4556),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[14]),
+	.B1(n_2146),
+	.B2(soc_top_u_top_u_core_csr_depc[14]),
+	.C1(n_6692),
+	.X(n_8506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g994781 (
+	.A1(n_4094),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [24]),
+	.B1(n_4552),
+	.B2(soc_top_u_top_u_core_cs_registers_i_mtval_q[24]),
+	.C1(n_7491),
+	.Y(n_8505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g994782 (
+	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_rdata_outstanding_q[1]),
+	.A2(n_7197),
+	.B1(n_4712),
+	.X(n_8504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a311oi_1 g994783 (
+	.A1(n_3826),
+	.A2(n_3165),
+	.A3(n_3166),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [4]),
+	.C1(n_4698),
+	.Y(n_8503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a311oi_1 g994784 (
+	.A1(n_3828),
+	.A2(n_3163),
+	.A3(n_2757),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [4]),
+	.C1(n_4698),
+	.Y(n_8502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g994785 (
+	.A1(n_4468),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
+	.A3(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [0]),
+	.B1(n_2291),
+	.Y(n_8501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g994786 (
+	.A1(n_571),
+	.A2(n_7186),
+	.B1_N(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[0] [9]),
+	.Y(n_8500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g994787 (
+	.A1(n_4571),
+	.A2(n_2312),
+	.A3(n_1302),
+	.B1(n_7622),
+	.Y(n_8499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994788 (
+	.A1(n_3297),
+	.A2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [0]),
+	.B1(n_3293),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [0]),
+	.C1(n_7382),
+	.X(n_8498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994789 (
+	.A1(n_4572),
+	.A2(n_70406),
+	.B1(n_4573),
+	.B2(n_1750),
+	.C1(n_1800),
+	.X(n_8497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g994790 (
+	.A1(n_4094),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [26]),
+	.B1(n_4552),
+	.B2(soc_top_u_top_u_core_cs_registers_i_mtval_q[26]),
+	.C1(n_7575),
+	.Y(n_8496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g994791 (
+	.A(n_6722),
+	.B(n_3318),
+	.C(n_29305),
+	.X(n_8636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g994792 (
+	.A1(n_4552),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[27]),
+	.B1(n_4553),
+	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[27]),
+	.C1(n_3235),
+	.Y(n_8495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g994793 (
+	.A1(n_6796),
+	.A2(n_1359),
+	.A3(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_unit_done ),
+	.B1(n_30040),
+	.Y(n_8494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g994794 (
+	.A1(n_4094),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [28]),
+	.B1(n_4552),
+	.B2(soc_top_u_top_u_core_cs_registers_i_mtval_q[28]),
+	.C1(n_7496),
+	.Y(n_8493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g994795 (
+	.A1(n_4552),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[18]),
+	.B1(n_4094),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [18]),
+	.C1(n_6172),
+	.Y(n_8492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g994796 (
+	.A1(n_1232),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [3]),
+	.B1(n_3575),
+	.C1(n_6440),
+	.X(n_8491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g994797 (
+	.A1(n_35329),
+	.A2(n_72578),
+	.B1_N(n_1380),
+	.Y(n_8490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g994798 (
+	.A1(n_6749),
+	.A2(n_2756),
+	.B1_N(n_7202),
+	.Y(n_8489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g994799 (
+	.A(n_6577),
+	.B(n_6575),
+	.C(n_4646),
+	.D(n_6687),
+	.Y(n_8488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g994800 (
+	.A(n_6583),
+	.B(n_6582),
+	.C(n_4645),
+	.D(n_6688),
+	.Y(n_8487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g994801 (
+	.A(n_7324),
+	.B(n_3668),
+	.C(n_3219),
+	.D(n_3643),
+	.Y(n_8486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g994802 (
+	.A1(n_7193),
+	.A2(n_35370),
+	.B1(n_3574),
+	.B2(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.X(n_8485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g994803 (
+	.A1(n_7205),
+	.A2(soc_top_system_rst_ni),
+	.B1(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[1] [9]),
+	.X(n_8484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994804 (
+	.A1(n_1520),
+	.A2(n_7170),
+	.B1(soc_top_intr_controller_ip[1]),
+	.Y(n_8483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o41ai_1 g994805 (
+	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
+	.A2(n_29713),
+	.A3(n_1084),
+	.A4(n_3397),
+	.B1(n_7359),
+	.Y(n_8482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g994806 (
+	.A(n_7409),
+	.B(n_6539),
+	.C(n_6538),
+	.Y(n_8481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g994807 (
+	.A(n_7408),
+	.B(n_6542),
+	.C(n_6541),
+	.Y(n_8480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g994808 (
+	.A(n_7407),
+	.B(n_6545),
+	.C(n_6543),
+	.Y(n_8479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g994809 (
+	.A(n_7406),
+	.B(n_6548),
+	.C(n_6547),
+	.Y(n_8478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g994810 (
+	.A(n_7404),
+	.B(n_6550),
+	.C(n_6549),
+	.Y(n_8477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g994811 (
+	.A(n_7402),
+	.B(n_6552),
+	.C(n_6553),
+	.Y(n_8476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g994812 (
+	.A(n_7399),
+	.B(n_4977),
+	.C(n_6555),
+	.Y(n_8475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g994813 (
+	.A(n_7397),
+	.B(n_6556),
+	.C(n_6557),
+	.Y(n_8474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g994814 (
+	.A(n_7392),
+	.B(n_6562),
+	.C(n_6561),
+	.Y(n_8473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994815 (
+	.A1(n_4556),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[10]),
+	.B1(n_2146),
+	.B2(soc_top_u_top_u_core_csr_depc[10]),
+	.C1(n_6693),
+	.X(n_8472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994816 (
+	.A1(n_4556),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[9]),
+	.B1(n_2146),
+	.B2(soc_top_u_top_u_core_csr_depc[9]),
+	.C1(n_6694),
+	.X(n_8471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 g994817 (
+	.A1(n_2953),
+	.A2(soc_top_u_uart_u_uart_core_rx_buffer_size[4]),
+	.A3(n_2213),
+	.B1(n_3843),
+	.B2(soc_top_u_uart_u_uart_core_rx_buffer_size[8]),
+	.Y(n_8470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g994818 (
+	.A(n_7393),
+	.B(n_6559),
+	.C(n_6558),
+	.Y(n_8469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g994819 (
+	.A(n_7391),
+	.B(n_6563),
+	.C(n_6564),
+	.Y(n_8468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g994820 (
+	.A(n_7389),
+	.B(n_6568),
+	.C(n_6570),
+	.Y(n_8467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g994821 (
+	.A(n_7390),
+	.B(n_4955),
+	.C(n_6567),
+	.Y(n_8466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994822 (
+	.A1(n_1261),
+	.A2(n_7187),
+	.B1(soc_top_intr_controller_ip[2]),
+	.Y(n_8465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994823 (
+	.A1(n_1261),
+	.A2(n_7170),
+	.B1(soc_top_intr_controller_ip[3]),
+	.Y(n_8464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g994824 (
+	.A(n_4564),
+	.B(n_7704),
+	.Y(n_8463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994825 (
+	.A1(n_1267),
+	.A2(n_7187),
+	.B1(soc_top_intr_controller_ip[4]),
+	.Y(n_8462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994826 (
+	.A1(n_1267),
+	.A2(n_7170),
+	.B1(soc_top_intr_controller_ip[5]),
+	.Y(n_8461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994827 (
+	.A1(n_1269),
+	.A2(n_7187),
+	.B1(soc_top_intr_controller_ip[6]),
+	.Y(n_8460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994828 (
+	.A1(n_1269),
+	.A2(n_7170),
+	.B1(soc_top_intr_controller_ip[7]),
+	.Y(n_8459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994829 (
+	.A1(n_1520),
+	.A2(n_7176),
+	.B1(soc_top_intr_controller_ip[8]),
+	.Y(n_8458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994830 (
+	.A1(n_1261),
+	.A2(n_7176),
+	.B1(soc_top_intr_controller_ip[10]),
+	.Y(n_8457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994831 (
+	.A1(n_1267),
+	.A2(n_7176),
+	.B1(soc_top_intr_controller_ip[12]),
+	.Y(n_8456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994832 (
+	.A1(n_1269),
+	.A2(n_7176),
+	.B1(soc_top_intr_controller_ip[14]),
+	.Y(n_8455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g994833 (
+	.A1(n_1270),
+	.A2(n_6019),
+	.B1(n_1523),
+	.X(n_8454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994834 (
+	.A1(n_1270),
+	.A2(n_6020),
+	.B1(n_1512),
+	.Y(n_8453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994835 (
+	.A1(n_1959),
+	.A2(n_1363),
+	.B1(n_3385),
+	.B2(n_4371),
+	.C1(n_2350),
+	.C2(n_2290),
+	.Y(n_8452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994836 (
+	.A1(n_1269),
+	.A2(n_7182),
+	.B1(soc_top_intr_controller_ip[15]),
+	.Y(n_8451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994837 (
+	.A1(n_1520),
+	.A2(n_7179),
+	.B1(soc_top_intr_controller_ip[16]),
+	.Y(n_8450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g994838 (
+	.A(n_7674),
+	.B_N(n_7677),
+	.Y(n_8449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g994839 (
+	.A_N(n_7705),
+	.B(n_7706),
+	.Y(n_8448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g994840 (
+	.A_N(n_7707),
+	.B(n_7708),
+	.Y(n_8447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994842 (
+	.A1(n_1261),
+	.A2(n_7179),
+	.B1(soc_top_intr_controller_ip[18]),
+	.Y(n_8445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994843 (
+	.A1(n_1267),
+	.A2(n_7179),
+	.B1(soc_top_intr_controller_ip[20]),
+	.Y(n_8444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994844 (
+	.A1(n_1269),
+	.A2(n_7179),
+	.B1(soc_top_intr_controller_ip[22]),
+	.Y(n_8443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994845 (
+	.A1(n_1269),
+	.A2(n_7172),
+	.B1(soc_top_intr_controller_ip[23]),
+	.Y(n_8442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994846 (
+	.A1(n_1269),
+	.A2(n_7177),
+	.B1(soc_top_intr_controller_ip[30]),
+	.Y(n_8441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g994847 (
+	.A1(n_3561),
+	.A2(n_2168),
+	.A3(\soc_top_intr_controller_reg2hw[prio11][q] [0]),
+	.B1(n_7365),
+	.Y(n_8440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a311o_1 g994848 (
+	.A1(n_3565),
+	.A2(n_2168),
+	.A3(\soc_top_intr_controller_reg2hw[prio27][q] [1]),
+	.B1(n_7079),
+	.C1(n_7367),
+	.X(n_8439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994849 (
+	.A(n_7444),
+	.B(n_7156),
+	.Y(n_8438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g994850 (
+	.A1(n_3561),
+	.A2(n_2168),
+	.A3(\soc_top_intr_controller_reg2hw[prio11][q] [1]),
+	.B1(n_7368),
+	.Y(n_8437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g994851 (
+	.A(n_75185),
+	.B(n_7455),
+	.Y(n_8436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g994852 (
+	.A(n_685),
+	.B(n_7689),
+	.X(n_8435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994853 (
+	.A1_N(soc_top_u_top_u_core_csr_mepc[15]),
+	.A2_N(n_4671),
+	.B1(n_6907),
+	.B2(n_7154),
+	.Y(n_8434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g994854 (
+	.A1_N(soc_top_u_top_u_core_csr_mepc[19]),
+	.A2_N(n_4671),
+	.B1(n_6905),
+	.B2(n_7154),
+	.Y(n_8433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g994855 (
+	.A1_N(n_6904),
+	.A2_N(n_7154),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [22]),
+	.B2(n_4096),
+	.Y(n_8432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g994856 (
+	.A1_N(n_6877),
+	.A2_N(n_7154),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [23]),
+	.B2(n_4096),
+	.Y(n_8431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g994857 (
+	.A1_N(n_6903),
+	.A2_N(n_7154),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [24]),
+	.B2(n_4096),
+	.Y(n_8430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g994858 (
+	.A1_N(n_6902),
+	.A2_N(n_7154),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [26]),
+	.B2(n_4096),
+	.Y(n_8429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g994859 (
+	.A1_N(n_6900),
+	.A2_N(n_7154),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [28]),
+	.B2(n_4096),
+	.Y(n_8428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g994860 (
+	.A(soc_top_u_uart_u_uart_core_tx_fifo_clear),
+	.B_N(n_7716),
+	.Y(n_8427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g994861 (
+	.A_N(n_7717),
+	.B(soc_top_u_uart_u_uart_core_fifo_read_size[2]),
+	.Y(n_8426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g994862 (
+	.A(soc_top_u_uart_u_uart_core_tx_fifo_reset),
+	.B_N(n_7718),
+	.Y(n_8425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994863 (
+	.A1(n_4558),
+	.A2(soc_top_u_top_u_core_csr_mtvec[27]),
+	.B1(n_4559),
+	.B2(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[11]),
+	.C1(n_7161),
+	.X(n_8424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 g994864 (
+	.A1(n_3563),
+	.A2(\soc_top_intr_controller_reg2hw[prio28][q] [1]),
+	.A3(n_1505),
+	.B1(n_3565),
+	.B2(n_6799),
+	.Y(n_8423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g994866 (
+	.A(soc_top_u_uart_u_uart_core_rx_fifo_clr),
+	.B_N(n_7720),
+	.Y(n_8421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g994867 (
+	.A(n_3963),
+	.B(n_7671),
+	.X(n_8420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g994868 (
+	.A(n_7655),
+	.B(n_4285),
+	.C(n_1358),
+	.Y(n_8419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994869 (
+	.A(n_7627),
+	.B(soc_top_u_top_u_core_csr_mepc[31]),
+	.Y(n_8418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994870 (
+	.A(n_7627),
+	.B(soc_top_u_top_u_core_csr_mepc[30]),
+	.Y(n_8417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994871 (
+	.A(n_7627),
+	.B(soc_top_u_top_u_core_csr_mepc[29]),
+	.Y(n_8416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994872 (
+	.A(n_7627),
+	.B(soc_top_u_top_u_core_csr_mepc[28]),
+	.Y(n_8415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994873 (
+	.A(n_7627),
+	.B(soc_top_u_top_u_core_csr_mepc[27]),
+	.Y(n_8414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994874 (
+	.A(n_7627),
+	.B(soc_top_u_top_u_core_csr_mepc[26]),
+	.Y(n_8413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994875 (
+	.A(n_7627),
+	.B(soc_top_u_top_u_core_csr_mepc[25]),
+	.Y(n_8412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994876 (
+	.A(n_7627),
+	.B(soc_top_u_top_u_core_csr_mepc[24]),
+	.Y(n_8411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994877 (
+	.A(n_7627),
+	.B(soc_top_u_top_u_core_csr_mepc[23]),
+	.Y(n_8410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994878 (
+	.A(n_7627),
+	.B(soc_top_u_top_u_core_csr_mepc[22]),
+	.Y(n_8409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994879 (
+	.A(n_7627),
+	.B(soc_top_u_top_u_core_csr_mepc[21]),
+	.Y(n_8408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994880 (
+	.A(n_7627),
+	.B(soc_top_u_top_u_core_csr_mepc[20]),
+	.Y(n_8407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994881 (
+	.A(n_7627),
+	.B(soc_top_u_top_u_core_csr_mepc[19]),
+	.Y(n_8406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994882 (
+	.A(n_7627),
+	.B(soc_top_u_top_u_core_csr_mepc[18]),
+	.Y(n_8405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994883 (
+	.A(n_7627),
+	.B(soc_top_u_top_u_core_csr_mepc[17]),
+	.Y(n_8404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994884 (
+	.A(n_7627),
+	.B(soc_top_u_top_u_core_csr_mepc[16]),
+	.Y(n_8403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994885 (
+	.A(n_7627),
+	.B(soc_top_u_top_u_core_csr_mepc[15]),
+	.Y(n_8402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994886 (
+	.A(n_7627),
+	.B(soc_top_u_top_u_core_csr_mepc[14]),
+	.Y(n_8401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994887 (
+	.A(n_7627),
+	.B(soc_top_u_top_u_core_csr_mepc[13]),
+	.Y(n_8400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994888 (
+	.A(n_7627),
+	.B(soc_top_u_top_u_core_csr_mepc[12]),
+	.Y(n_8399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994889 (
+	.A(n_7627),
+	.B(soc_top_u_top_u_core_csr_mepc[11]),
+	.Y(n_8398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994890 (
+	.A(n_7627),
+	.B(soc_top_u_top_u_core_csr_mepc[10]),
+	.Y(n_8397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994891 (
+	.A(n_7627),
+	.B(soc_top_u_top_u_core_csr_mepc[9]),
+	.Y(n_8396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994892 (
+	.A(n_7627),
+	.B(soc_top_u_top_u_core_csr_mepc[8]),
+	.Y(n_8395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994893 (
+	.A(n_7627),
+	.B(soc_top_u_top_u_core_csr_mepc[7]),
+	.Y(n_8394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994894 (
+	.A(n_7627),
+	.B(soc_top_u_top_u_core_csr_mepc[6]),
+	.Y(n_8393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994895 (
+	.A(n_7627),
+	.B(soc_top_u_top_u_core_csr_mepc[5]),
+	.Y(n_8392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994896 (
+	.A(n_7627),
+	.B(soc_top_u_top_u_core_csr_mepc[4]),
+	.Y(n_8391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994897 (
+	.A(n_7627),
+	.B(soc_top_u_top_u_core_csr_mepc[3]),
+	.Y(n_8390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994898 (
+	.A(n_7627),
+	.B(soc_top_u_top_u_core_csr_mepc[2]),
+	.Y(n_8389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g994899 (
+	.A1(n_3323),
+	.A2(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[17]),
+	.B1(n_4671),
+	.B2(soc_top_u_top_u_core_csr_mepc[17]),
+	.C1(n_4554),
+	.X(n_8388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994900 (
+	.A(n_7627),
+	.B(soc_top_u_top_u_core_csr_mepc[1]),
+	.Y(n_8387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994901 (
+	.A(n_7685),
+	.B(n_7686),
+	.Y(n_8386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g994902 (
+	.A_N(n_4596),
+	.B(n_7679),
+	.Y(n_8385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g994903 (
+	.A_N(n_4595),
+	.B(n_7678),
+	.Y(n_8384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g994904 (
+	.A1(n_1953),
+	.A2(n_3073),
+	.B1(n_3074),
+	.B2(n_1571),
+	.C1(n_7380),
+	.Y(n_8383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994905 (
+	.A(n_7644),
+	.B(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[prv] [0]),
+	.Y(n_8382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994906 (
+	.A1(n_3794),
+	.A2(n_4571),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [32]),
+	.B2(n_4666),
+	.C1(n_7128),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[0] [31]),
+	.Y(n_8381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994907 (
+	.A1(n_1215),
+	.A2(n_7081),
+	.B1(io_out[23]),
+	.Y(n_8380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g994908 (
+	.A(n_1959),
+	.B(n_3384),
+	.C(n_3386),
+	.D(n_35361),
+	.Y(n_8379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g994909 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [11]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [12]),
+	.C(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [13]),
+	.D(n_4342),
+	.X(n_8378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994911 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_mscratch_q[31]),
+	.A2(n_4669),
+	.B1(soc_top_u_top_u_core_csr_mepc[31]),
+	.B2(n_4671),
+	.C1(n_4552),
+	.C2(soc_top_u_top_u_core_cs_registers_i_mtval_q[31]),
+	.Y(n_8376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994912 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[14]),
+	.A2(n_4559),
+	.B1(soc_top_u_top_u_core_csr_depc[30]),
+	.B2(n_2146),
+	.C1(n_4556),
+	.C2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[30]),
+	.Y(n_8375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994913 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_mscratch_q[29]),
+	.A2(n_4669),
+	.B1(soc_top_u_top_u_core_cs_registers_i_mtval_q[29]),
+	.B2(n_4552),
+	.C1(n_1232),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [29]),
+	.Y(n_8374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994914 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[12]),
+	.A2(n_4559),
+	.B1(soc_top_u_top_u_core_csr_mtvec[28]),
+	.B2(n_4558),
+	.C1(n_1232),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [28]),
+	.Y(n_8373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994915 (
+	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [59]),
+	.A2(n_3537),
+	.B1(soc_top_u_top_u_core_cs_registers_i_mscratch_q[27]),
+	.B2(n_4669),
+	.C1(n_4096),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [27]),
+	.Y(n_8372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994916 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[10]),
+	.A2(n_4559),
+	.B1(soc_top_u_top_u_core_csr_mtvec[26]),
+	.B2(n_4558),
+	.C1(n_1232),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [26]),
+	.Y(n_8371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994917 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[25]),
+	.A2(n_4556),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [25]),
+	.B2(n_1232),
+	.C1(n_4553),
+	.C2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[25]),
+	.Y(n_8370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994918 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[8]),
+	.A2(n_4559),
+	.B1(soc_top_u_top_u_core_csr_mtvec[24]),
+	.B2(n_4558),
+	.C1(n_1232),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [24]),
+	.Y(n_8369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994919 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[7]),
+	.A2(n_4559),
+	.B1(soc_top_u_top_u_core_csr_mtvec[23]),
+	.B2(n_4558),
+	.C1(n_1232),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [23]),
+	.Y(n_8368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994920 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[6]),
+	.A2(n_4559),
+	.B1(soc_top_u_top_u_core_csr_mtvec[22]),
+	.B2(n_4558),
+	.C1(n_1232),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [22]),
+	.Y(n_8367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994921 (
+	.A1(soc_top_u_top_u_core_csr_mepc[20]),
+	.A2(n_3960),
+	.B1(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[20]),
+	.B2(n_4553),
+	.C1(n_4096),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [20]),
+	.Y(n_8366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994922 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[3]),
+	.A2(n_4559),
+	.B1(soc_top_u_top_u_core_csr_mtvec[19]),
+	.B2(n_4558),
+	.C1(n_1232),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [19]),
+	.Y(n_8365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994923 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[18]),
+	.A2(n_4556),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [18]),
+	.B2(n_1232),
+	.C1(n_4553),
+	.C2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[18]),
+	.Y(n_8364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994924 (
+	.A1(soc_top_u_top_u_core_csr_mtvec[16]),
+	.A2(n_4558),
+	.B1(soc_top_u_top_u_core_cs_registers_i_mtval_q[16]),
+	.B2(n_4552),
+	.C1(n_3535),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [48]),
+	.Y(n_8363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994925 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[12]),
+	.A2(n_3323),
+	.B1(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[12]),
+	.B2(n_4553),
+	.C1(n_4556),
+	.C2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[12]),
+	.Y(n_8362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g994926 (
+	.A1(n_3323),
+	.A2(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[11]),
+	.B1(n_4559),
+	.B2(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[15]),
+	.C1(n_6700),
+	.Y(n_8361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994927 (
+	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [40]),
+	.A2(n_3537),
+	.B1(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[8]),
+	.B2(n_4556),
+	.C1(n_3535),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [40]),
+	.Y(n_8360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994928 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[16]),
+	.A2(n_4559),
+	.B1(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[7]),
+	.B2(n_4553),
+	.C1(n_4671),
+	.C2(soc_top_u_top_u_core_csr_mepc[7]),
+	.Y(n_8359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994929 (
+	.A1(soc_top_u_top_u_core_csr_mtvec[6]),
+	.A2(n_4558),
+	.B1(soc_top_u_top_u_core_cs_registers_i_mscratch_q[6]),
+	.B2(n_4669),
+	.C1(n_3535),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [38]),
+	.Y(n_8358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994930 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[17]),
+	.A2(n_4559),
+	.B1(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[3]),
+	.B2(n_4553),
+	.C1(n_4556),
+	.C2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[3]),
+	.Y(n_8357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g994931 (
+	.A(n_3572),
+	.B(n_7201),
+	.C(n_7169),
+	.X(n_8356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g994932 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[0]),
+	.A2(n_4556),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [0]),
+	.B2(n_1232),
+	.C1(n_3535),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [32]),
+	.Y(n_8355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g994933 (
+	.A_N(n_7709),
+	.B(n_2306),
+	.Y(n_8354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994934 (
+	.A1(n_1209),
+	.A2(n_7081),
+	.B1(io_out[9]),
+	.Y(n_8353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994935 (
+	.A1(n_93153),
+	.A2(n_7081),
+	.B1(io_out[8]),
+	.Y(n_8352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994936 (
+	.A1(n_1223),
+	.A2(n_7081),
+	.B1(io_out[10]),
+	.Y(n_8351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994937 (
+	.A1(n_1202),
+	.A2(n_7081),
+	.B1(io_out[11]),
+	.Y(n_8350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994938 (
+	.A1(n_1206),
+	.A2(n_7081),
+	.B1(io_out[12]),
+	.Y(n_8349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994939 (
+	.A1(n_1210),
+	.A2(n_7081),
+	.B1(io_out[13]),
+	.Y(n_8348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994940 (
+	.A1(n_72896),
+	.A2(n_7081),
+	.B1(io_out[14]),
+	.Y(n_8347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994941 (
+	.A1(n_1216),
+	.A2(n_7081),
+	.B1(io_out[15]),
+	.Y(n_8346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994942 (
+	.A1(n_1208),
+	.A2(n_7081),
+	.B1(io_out[16]),
+	.Y(n_8345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994943 (
+	.A1(n_1222),
+	.A2(n_7081),
+	.B1(io_out[17]),
+	.Y(n_8344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994944 (
+	.A1(n_68465),
+	.A2(n_7081),
+	.B1(io_out[18]),
+	.Y(n_8343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994945 (
+	.A1(n_1225),
+	.A2(n_7081),
+	.B1(io_out[19]),
+	.Y(n_8342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g994946 (
+	.A(n_7388),
+	.B(n_6574),
+	.C(n_6686),
+	.Y(n_8341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994947 (
+	.A1(n_1218),
+	.A2(n_7081),
+	.B1(io_out[20]),
+	.Y(n_8340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994948 (
+	.A1(n_1217),
+	.A2(n_7081),
+	.B1(io_out[21]),
+	.Y(n_8339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g994949 (
+	.A1(n_1224),
+	.A2(n_7081),
+	.B1(io_out[22]),
+	.Y(n_8338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_2 g994950 (
+	.A(n_7701),
+	.B_N(n_7700),
+	.Y(n_8634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g994955 (
+	.A(n_7696),
+	.B(n_7697),
+	.Y(n_8633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g994957 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [8]),
+	.B(n_7656),
+	.Y(n_8632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g994958 (
+	.A(n_7190),
+	.B(n_1528),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sign_z_D ),
+	.X(n_8631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g995019 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [8]),
+	.A2(n_6914),
+	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [12]),
+	.Y(n_8630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g995023 (
+	.A(n_7703),
+	.B(n_7702),
+	.Y(n_8628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g995024 (
+	.A(soc_top_u_top_u_core_multdiv_operator_ex[0]),
+	.B(FE_DBTN143_soc_top_u_top_u_core_multdiv_operator_ex_1),
+	.C(n_7160),
+	.X(n_8337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g995071 (
+	.A1(n_29729),
+	.A2(soc_top_u_top_u_core_fp_flush),
+	.A3(n_7200),
+	.B1(n_1595),
+	.Y(n_8336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g995086 (
+	.A1(n_1252),
+	.A2(n_69037),
+	.B1_N(n_7416),
+	.Y(n_8627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g995087 (
+	.A1(n_1240),
+	.A2(n_69148),
+	.B1_N(n_7450),
+	.Y(n_8626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g995089 (
+	.A1(n_4581),
+	.A2(n_1239),
+	.B1(n_7449),
+	.Y(n_8625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g995093 (
+	.A1(n_1240),
+	.A2(n_69097),
+	.B1_N(n_7413),
+	.Y(n_8624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g995094 (
+	.A1(n_1251),
+	.A2(n_4717),
+	.B1(n_7415),
+	.Y(n_8623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g995126 (
+	.A_N(n_7690),
+	.B(soc_top_u_pwm_pwm_core_counter_p1[9]),
+	.Y(n_8622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g995127 (
+	.A_N(n_7687),
+	.B(soc_top_u_pwm_pwm_core_counter_p2[9]),
+	.Y(n_8621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g995197 (
+	.A(n_7654),
+	.B_N(n_7173),
+	.Y(n_8620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_1 g995276 (
+	.A(n_17808),
+	.B(n_2419),
+	.C_N(n_7204),
+	.X(n_8619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g995283 (
+	.A(n_3591),
+	.B(n_7651),
+	.Y(n_8618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g995284 (
+	.A1(n_1255),
+	.A2(n_69177),
+	.B1_N(n_7396),
+	.Y(n_8617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g995285 (
+	.A1(n_7153),
+	.A2(n_2105),
+	.B1(n_29966),
+	.B2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_valid_q[0]),
+	.C1(n_2458),
+	.X(n_8615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g995287 (
+	.A1(n_4722),
+	.A2(n_1256),
+	.B1(n_7400),
+	.X(n_8613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g995288 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [0]),
+	.A2(n_4471),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Special_case_dly_SB ),
+	.C1(n_84186),
+	.Y(n_8611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g995291 (
+	.A1(n_1255),
+	.A2(n_69107),
+	.B1_N(n_7398),
+	.Y(n_8609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g995294 (
+	.A1(n_1240),
+	.A2(n_68957),
+	.B1_N(n_7405),
+	.Y(n_8607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g995295 (
+	.A1(n_69931),
+	.A2(n_7180),
+	.B1(soc_top_system_rst_ni),
+	.X(n_8605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g995296 (
+	.A1(n_16),
+	.A2(n_7180),
+	.B1(soc_top_system_rst_ni),
+	.X(n_8604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g995297 (
+	.A1(n_4730),
+	.A2(n_1256),
+	.B1(n_7403),
+	.X(n_8603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g995299 (
+	.A(n_4564),
+	.B(n_7682),
+	.X(n_8601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g995300 (
+	.A1(n_1240),
+	.A2(n_68987),
+	.B1(n_1255),
+	.B2(n_69087),
+	.C1(n_2551),
+	.X(n_8599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g995301 (
+	.A1(n_1240),
+	.A2(n_68997),
+	.B1_N(n_7401),
+	.Y(n_8598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g995302 (
+	.A1(n_1255),
+	.A2(n_69128),
+	.B1_N(n_7395),
+	.Y(n_8596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g995326 (
+	.A1(n_4707),
+	.A2(n_1256),
+	.B1(n_7446),
+	.X(n_8594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g995328 (
+	.A(n_68385),
+	.B(n_7644),
+	.Y(n_8592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g995329 (
+	.A1(n_4702),
+	.A2(n_1256),
+	.B1(n_7394),
+	.X(n_8591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g995331 (
+	.A1(n_4714),
+	.A2(n_1256),
+	.B1(n_7448),
+	.X(n_8589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g995332 (
+	.A1(n_4705),
+	.A2(n_1256),
+	.B1(n_7447),
+	.X(n_8587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g995333 (
+	.A1(n_1240),
+	.A2(n_4574),
+	.B1(n_1255),
+	.B2(n_4580),
+	.C1(n_2531),
+	.X(n_8585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g995334 (
+	.A(n_4563),
+	.B(n_7682),
+	.Y(n_8583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g995335 (
+	.A(n_6793),
+	.B(n_7656),
+	.Y(n_8581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g995337 (
+	.A(n_3591),
+	.B(n_7627),
+	.X(n_8578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g995340 (
+	.A(n_35319),
+	.B(n_30),
+	.X(n_8573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g995341 (
+	.A(n_7656),
+	.B(n_4748),
+	.C(n_605),
+	.Y(n_8571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g995342 (
+	.A(n_35319),
+	.B(soc_top_u_top_u_core_multdiv_operator_ex[0]),
+	.X(n_8569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_4 g995343 (
+	.A(n_1622),
+	.B(n_2473),
+	.C_N(n_36322),
+	.X(n_8567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g995344 (
+	.A(n_1265),
+	.B_N(n_7659),
+	.X(n_8565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g995345 (
+	.A_N(n_7661),
+	.B(n_1262),
+	.X(n_8564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g995346 (
+	.A_N(n_7661),
+	.B(n_1514),
+	.X(n_8563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g995347 (
+	.A_N(n_7661),
+	.B(n_1519),
+	.X(n_8562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g995348 (
+	.A_N(n_1265),
+	.B(n_7667),
+	.X(n_8561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g995349 (
+	.A_N(n_7661),
+	.B(n_1264),
+	.X(n_8560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g995350 (
+	.A_N(n_7683),
+	.B(n_1264),
+	.X(n_8559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g995351 (
+	.A_N(n_7683),
+	.B(n_1262),
+	.X(n_8558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g995352 (
+	.A_N(n_7683),
+	.B(n_1514),
+	.X(n_8557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g995353 (
+	.A_N(n_7660),
+	.B(n_1264),
+	.X(n_8556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g995354 (
+	.A_N(n_7664),
+	.B(n_1264),
+	.X(n_8555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g995355 (
+	.A_N(n_7660),
+	.B(n_1262),
+	.X(n_8554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g995356 (
+	.A_N(n_7664),
+	.B(n_1262),
+	.X(n_8553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g995357 (
+	.A_N(n_7660),
+	.B(n_1519),
+	.X(n_8552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g995358 (
+	.A(n_1263),
+	.B_N(n_7659),
+	.X(n_8551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g995359 (
+	.A(n_1263),
+	.B(n_7670),
+	.X(n_8550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g995360 (
+	.A(n_1515),
+	.B_N(n_7659),
+	.X(n_8549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g995361 (
+	.A_N(n_7664),
+	.B(n_1519),
+	.X(n_8548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g995362 (
+	.A_N(n_1265),
+	.B(n_7669),
+	.X(n_8547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g995363 (
+	.A_N(n_7665),
+	.B(n_1262),
+	.X(n_8546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g995364 (
+	.A(n_1515),
+	.B(n_7668),
+	.X(n_8545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g995365 (
+	.A_N(n_7665),
+	.B(n_1514),
+	.X(n_8544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g995366 (
+	.A_N(n_7664),
+	.B(n_1514),
+	.X(n_8543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g995367 (
+	.A(n_1263),
+	.B(n_7668),
+	.X(n_8542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g995368 (
+	.A_N(n_7660),
+	.B(n_1514),
+	.X(n_8541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g995369 (
+	.A(n_7668),
+	.B_N(n_1519),
+	.X(n_8540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g995370 (
+	.A(n_7670),
+	.B_N(n_1519),
+	.X(n_8539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g995371 (
+	.A(n_7665),
+	.B_N(n_1519),
+	.X(n_8538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g995372 (
+	.A_N(n_7665),
+	.B(n_1264),
+	.X(n_8537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g995373 (
+	.A(n_1515),
+	.B(n_7670),
+	.X(n_8536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_4 g995375 (
+	.A(n_7153),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_busy[0]),
+	.C(n_756),
+	.X(n_8534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g995377 (
+	.A(n_4404),
+	.B(n_6778),
+	.C(n_3682),
+	.D(n_4343),
+	.Y(n_8335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g995378 (
+	.A(n_4403),
+	.B(n_6777),
+	.C(n_3684),
+	.D(n_4365),
+	.Y(n_8334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g995379 (
+	.A(n_4378),
+	.B(n_6775),
+	.C(n_3600),
+	.D(n_4373),
+	.Y(n_8333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g995380 (
+	.A(n_4402),
+	.B(n_6776),
+	.C(n_3598),
+	.D(n_4377),
+	.Y(n_8332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g995381 (
+	.A(n_4379),
+	.B(n_6774),
+	.C(n_3608),
+	.D(n_4340),
+	.Y(n_8331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g995382 (
+	.A(n_4401),
+	.B(n_6773),
+	.C(n_3607),
+	.D(n_4366),
+	.Y(n_8330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g995383 (
+	.A(n_4400),
+	.B(n_6766),
+	.C(n_3613),
+	.D(n_4302),
+	.Y(n_8329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g995384 (
+	.A(n_4386),
+	.B(n_6772),
+	.C(n_3615),
+	.D(n_4307),
+	.Y(n_8328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g995385 (
+	.A(n_4388),
+	.B(n_6771),
+	.C(n_3631),
+	.D(n_4314),
+	.Y(n_8327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g995386 (
+	.A(n_4399),
+	.B(n_6770),
+	.C(n_3635),
+	.D(n_4318),
+	.Y(n_8326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g995387 (
+	.A(n_4423),
+	.B(n_6769),
+	.C(n_3639),
+	.D(n_4334),
+	.Y(n_8325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g995388 (
+	.A(n_4431),
+	.B(n_6768),
+	.C(n_3695),
+	.D(n_4369),
+	.Y(n_8324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g995389 (
+	.A(n_4411),
+	.B(n_6767),
+	.C(n_3689),
+	.D(n_4368),
+	.Y(n_8323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g995390 (
+	.A1(soc_top_u_pwm_pwm_core_divisor_2[4]),
+	.A2(n_3982),
+	.B1(n_3730),
+	.C1(n_4413),
+	.D1(n_6629),
+	.Y(n_8322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g995391 (
+	.A1(soc_top_u_top_u_core_pc_set),
+	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_rdata_outstanding_q[1]),
+	.B1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_branch_discard_q[1]),
+	.C1(n_7264),
+	.Y(n_8321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g995392 (
+	.A1(n_3314),
+	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[2]),
+	.B1(n_2594),
+	.B2(n_29919),
+	.C1(n_6869),
+	.X(n_8320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g995395 (
+	.A0(n_6703),
+	.A1(n_3267),
+	.S(soc_top_u_uart_u_uart_core_fifo_read_size[5]),
+	.Y(n_8317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g995396 (
+	.A(n_795),
+	.B(n_4298),
+	.C(soc_top_u_pwm_pwm_core_period_2[3]),
+	.X(n_8316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g995397 (
+	.A(n_795),
+	.B(n_4299),
+	.C(soc_top_u_pwm_pwm_core_DC_2[3]),
+	.X(n_8315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g995399 (
+	.A(n_771),
+	.B(n_4297),
+	.C(soc_top_u_pwm_pwm_core_DC_1[3]),
+	.X(n_8313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995400 (
+	.A1(n_7097),
+	.A2(n_84419),
+	.B1(n_7099),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[56] [1]),
+	.X(n_8312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995401 (
+	.A1(n_7097),
+	.A2(n_75549),
+	.B1(n_7099),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[56] [2]),
+	.X(n_8311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995402 (
+	.A1(n_7097),
+	.A2(n_84455),
+	.B1(n_7099),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[56] [6]),
+	.X(n_8310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995403 (
+	.A1(n_7097),
+	.A2(\soc_top_xbar_to_timer[a_data] [14]),
+	.B1(n_7099),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[57] [7]),
+	.X(n_8309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995404 (
+	.A1(n_7097),
+	.A2(\soc_top_xbar_to_timer[a_data] [29]),
+	.B1(n_7099),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[59] [6]),
+	.X(n_8308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995405 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[60] [4]),
+	.A2_N(n_7125),
+	.B1(FE_DBTN125_n_84427),
+	.B2(n_7106),
+	.Y(n_8307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995406 (
+	.A1(n_7097),
+	.A2(n_72527),
+	.B1(n_7099),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[58] [2]),
+	.X(n_8306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995407 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[62] [6]),
+	.A2_N(n_7125),
+	.B1(FE_DBTN163_soc_top_xbar_to_timer_a_data_21),
+	.B2(n_7106),
+	.Y(n_8305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995408 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[62] [8]),
+	.A2_N(n_7125),
+	.B1(n_68170),
+	.B2(n_7106),
+	.Y(n_8304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g995409 (
+	.A1(n_7068),
+	.A2(n_30089),
+	.B1(n_4509),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [0]),
+	.Y(n_8303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995410 (
+	.A1(n_30108),
+	.A2(n_7060),
+	.B1(n_4509),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [23]),
+	.X(n_8302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995411 (
+	.A1(n_30112),
+	.A2(n_7068),
+	.B1(n_4509),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [25]),
+	.X(n_8301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995412 (
+	.A1(n_30112),
+	.A2(n_7060),
+	.B1(n_4509),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [27]),
+	.X(n_8300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995413 (
+	.A1(n_30115),
+	.A2(n_7068),
+	.B1(n_4509),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [29]),
+	.X(n_8299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995414 (
+	.A1(n_30115),
+	.A2(n_7060),
+	.B1(n_4509),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [31]),
+	.X(n_8298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995415 (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [33]),
+	.A2_N(n_4509),
+	.B1(n_7069),
+	.B2(n_505),
+	.Y(n_8297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995416 (
+	.A1(n_30118),
+	.A2(n_7060),
+	.B1(n_4509),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [34]),
+	.X(n_8296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995418 (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [36]),
+	.A2_N(n_4509),
+	.B1(n_7069),
+	.B2(n_75213),
+	.Y(n_8294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995420 (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [38]),
+	.A2_N(n_4509),
+	.B1(n_7056),
+	.B2(n_75213),
+	.Y(n_8292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995422 (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [40]),
+	.A2_N(n_4509),
+	.B1(n_7069),
+	.B2(n_1095),
+	.Y(n_8290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g995423 (
+	.A1_N(n_1093),
+	.A2_N(n_4510),
+	.B1(n_7068),
+	.B2(n_30127),
+	.Y(n_8289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g995424 (
+	.A1(n_30126),
+	.A2(n_7060),
+	.B1(n_4509),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [42]),
+	.Y(n_8288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g995425 (
+	.A1_N(n_526),
+	.A2_N(n_4510),
+	.B1(n_7060),
+	.B2(n_30127),
+	.Y(n_8287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g995427 (
+	.A1_N(n_1104),
+	.A2_N(n_4510),
+	.B1(n_7060),
+	.B2(n_30129),
+	.Y(n_8285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g995428 (
+	.A1_N(n_1103),
+	.A2_N(n_4510),
+	.B1(n_7060),
+	.B2(n_30130),
+	.Y(n_8284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g995429 (
+	.A1_N(n_506),
+	.A2_N(n_4510),
+	.B1(n_7068),
+	.B2(n_30133),
+	.Y(n_8283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995432 (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [50]),
+	.A2_N(n_4509),
+	.B1(n_7056),
+	.B2(n_514),
+	.Y(n_8280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g995434 (
+	.A1(n_30137),
+	.A2(n_7060),
+	.B1(n_6932),
+	.Y(n_8278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g995435 (
+	.A1_N(n_528),
+	.A2_N(n_4510),
+	.B1(n_7060),
+	.B2(n_30138),
+	.Y(n_8277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g995436 (
+	.A1(n_30141),
+	.A2(n_7068),
+	.B1(n_6931),
+	.Y(n_8276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g995437 (
+	.A1(n_30142),
+	.A2(n_7068),
+	.B1(n_6874),
+	.Y(n_8275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g995438 (
+	.A1(n_7056),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[2] [28]),
+	.B1(n_55),
+	.B2(n_4510),
+	.Y(n_8274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995439 (
+	.A1(n_7103),
+	.A2(\soc_top_xbar_to_timer[a_data] [29]),
+	.B1(n_7121),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[23] [6]),
+	.X(n_8273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995440 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[7] [3]),
+	.A2_N(n_7107),
+	.B1(FE_DBTN37_n_68466),
+	.B2(n_7100),
+	.Y(n_8272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995442 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[32] [1]),
+	.A2_N(n_7101),
+	.B1(FE_DBTN120_n_84419),
+	.B2(n_7105),
+	.Y(n_8270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995443 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[7] [1]),
+	.A2_N(n_7107),
+	.B1(n_68317),
+	.B2(n_7100),
+	.Y(n_8269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995444 (
+	.A1(n_7104),
+	.A2(\soc_top_xbar_to_timer[a_data] [27]),
+	.B1(n_7126),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[19] [4]),
+	.X(n_8268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995445 (
+	.A1(n_7104),
+	.A2(n_68334),
+	.B1(n_7126),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[19] [1]),
+	.X(n_8267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995446 (
+	.A1(n_7088),
+	.A2(n_72578),
+	.B1(n_7108),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[26] [3]),
+	.X(n_8266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995447 (
+	.A1(n_7088),
+	.A2(n_68334),
+	.B1(n_7108),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[27] [1]),
+	.X(n_8265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995448 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[28] [5]),
+	.A2_N(n_7115),
+	.B1(FE_DBTN126_n_70008),
+	.B2(n_7098),
+	.Y(n_8264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995449 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[28] [1]),
+	.A2_N(n_7115),
+	.B1(FE_DBTN120_n_84419),
+	.B2(n_7098),
+	.Y(n_8263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995450 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[28] [2]),
+	.A2_N(n_7115),
+	.B1(n_1),
+	.B2(n_7098),
+	.Y(n_8262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995452 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[29] [7]),
+	.A2_N(n_7115),
+	.B1(FE_DBTN162_soc_top_xbar_to_timer_a_data_14),
+	.B2(n_7098),
+	.Y(n_8260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995453 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[28] [6]),
+	.A2_N(n_7115),
+	.B1(FE_DBTN118_n_84455),
+	.B2(n_7098),
+	.Y(n_8259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995454 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[28] [7]),
+	.A2_N(n_7115),
+	.B1(FE_DBTN40_n_84431),
+	.B2(n_7098),
+	.Y(n_8258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995455 (
+	.A1(n_7103),
+	.A2(n_72528),
+	.B1(n_7121),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[21] [2]),
+	.X(n_8257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995456 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[35] [3]),
+	.A2_N(n_7101),
+	.B1(FE_DBTN37_n_68466),
+	.B2(n_7105),
+	.Y(n_8256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995457 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[42] [2]),
+	.A2_N(n_7124),
+	.B1(FE_DBTN116_n_72527),
+	.B2(n_7090),
+	.Y(n_8255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995458 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[42] [8]),
+	.A2_N(n_7124),
+	.B1(n_68170),
+	.B2(n_7090),
+	.Y(n_8254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995459 (
+	.A1(n_7103),
+	.A2(n_72578),
+	.B1(n_7121),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[22] [3]),
+	.X(n_8253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995460 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[34] [4]),
+	.A2_N(n_7101),
+	.B1(n_92650),
+	.B2(n_7105),
+	.Y(n_8252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995461 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[42] [6]),
+	.A2_N(n_7124),
+	.B1(FE_DBTN163_soc_top_xbar_to_timer_a_data_21),
+	.B2(n_7090),
+	.Y(n_8251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995462 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[34] [8]),
+	.A2_N(n_7101),
+	.B1(n_68170),
+	.B2(n_7105),
+	.Y(n_8250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995463 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[40] [5]),
+	.A2_N(n_7124),
+	.B1(FE_DBTN126_n_70008),
+	.B2(n_7090),
+	.Y(n_8249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995464 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[35] [4]),
+	.A2_N(n_7101),
+	.B1(FE_DBTN164_soc_top_xbar_to_timer_a_data_27),
+	.B2(n_7105),
+	.Y(n_8248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995465 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[35] [8]),
+	.A2_N(n_7101),
+	.B1(n_68266),
+	.B2(n_7105),
+	.Y(n_8247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995466 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[35] [2]),
+	.A2_N(n_7101),
+	.B1(FE_DBTN39_n_68259),
+	.B2(n_7105),
+	.Y(n_8246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995467 (
+	.A1(n_7122),
+	.A2(n_29620),
+	.B1(n_4666),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [1]),
+	.X(n_8245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995468 (
+	.A1(n_7103),
+	.A2(n_72527),
+	.B1(n_7121),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[22] [2]),
+	.X(n_8244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995469 (
+	.A1(n_7103),
+	.A2(n_70064),
+	.B1(n_7121),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[21] [3]),
+	.X(n_8243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995470 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[40] [3]),
+	.A2_N(n_7124),
+	.B1(FE_DBTN119_n_87578),
+	.B2(n_7090),
+	.Y(n_8242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995471 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[43] [3]),
+	.A2_N(n_7124),
+	.B1(FE_DBTN37_n_68466),
+	.B2(n_7090),
+	.Y(n_8241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995472 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[30] [2]),
+	.A2_N(n_7115),
+	.B1(FE_DBTN116_n_72527),
+	.B2(n_7098),
+	.Y(n_8240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995473 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[34] [3]),
+	.A2_N(n_7101),
+	.B1(n_581),
+	.B2(n_7105),
+	.Y(n_8239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995474 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[42] [5]),
+	.A2_N(n_7124),
+	.B1(n_68213),
+	.B2(n_7090),
+	.Y(n_8238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995475 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[42] [7]),
+	.A2_N(n_7124),
+	.B1(FE_DBTN38_n_72887),
+	.B2(n_7090),
+	.Y(n_8237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995476 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[34] [2]),
+	.A2_N(n_7101),
+	.B1(FE_DBTN116_n_72527),
+	.B2(n_7105),
+	.Y(n_8236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995477 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[45] [7]),
+	.A2_N(n_7120),
+	.B1(FE_DBTN162_soc_top_xbar_to_timer_a_data_14),
+	.B2(n_7116),
+	.Y(n_8235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995478 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[43] [5]),
+	.A2_N(n_7124),
+	.B1(n_68216),
+	.B2(n_7090),
+	.Y(n_8234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995479 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[46] [5]),
+	.A2_N(n_7120),
+	.B1(n_68213),
+	.B2(n_7116),
+	.Y(n_8233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995480 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[30] [3]),
+	.A2_N(n_7115),
+	.B1(n_581),
+	.B2(n_7098),
+	.Y(n_8232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g995481 (
+	.A1(n_7083),
+	.A2(n_29618),
+	.B1(n_4668),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [0]),
+	.Y(n_8231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g995482 (
+	.A1(n_7083),
+	.A2(n_29620),
+	.B1(n_4668),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [1]),
+	.Y(n_8230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g995483 (
+	.A1(n_7083),
+	.A2(n_29622),
+	.B1(n_4668),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [2]),
+	.Y(n_8229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g995484 (
+	.A1(n_7083),
+	.A2(n_29624),
+	.B1(n_4668),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [3]),
+	.Y(n_8228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g995485 (
+	.A1(n_7083),
+	.A2(n_29680),
+	.B1(n_4668),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [4]),
+	.Y(n_8227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g995486 (
+	.A1(n_7083),
+	.A2(n_29627),
+	.B1(n_4668),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [5]),
+	.Y(n_8226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g995487 (
+	.A1(n_7083),
+	.A2(n_35508),
+	.B1(n_4668),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [7]),
+	.Y(n_8225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995488 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[47] [3]),
+	.A2_N(n_7120),
+	.B1(FE_DBTN37_n_68466),
+	.B2(n_7116),
+	.Y(n_8224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995489 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[35] [7]),
+	.A2_N(n_7101),
+	.B1(n_18),
+	.B2(n_7105),
+	.Y(n_8223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995490 (
+	.A1(n_7092),
+	.A2(\soc_top_xbar_to_timer[a_data] [30]),
+	.B1(n_7093),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[51] [7]),
+	.X(n_8222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995492 (
+	.A1(n_7092),
+	.A2(\soc_top_xbar_to_timer[a_data] [27]),
+	.B1(n_7093),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[51] [4]),
+	.X(n_8220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995493 (
+	.A1(n_7092),
+	.A2(\soc_top_xbar_to_timer[a_data] [28]),
+	.B1(n_7093),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[51] [5]),
+	.X(n_8219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995494 (
+	.A1(n_7092),
+	.A2(n_84182),
+	.B1(n_7093),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[50] [4]),
+	.X(n_8218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995495 (
+	.A1(n_7092),
+	.A2(n_68334),
+	.B1(n_7093),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[51] [1]),
+	.X(n_8217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995496 (
+	.A1(n_7092),
+	.A2(n_74743),
+	.B1(n_7093),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[50] [8]),
+	.X(n_8216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995497 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[43] [4]),
+	.A2_N(n_7124),
+	.B1(FE_DBTN164_soc_top_xbar_to_timer_a_data_27),
+	.B2(n_7090),
+	.Y(n_8215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995498 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[29] [4]),
+	.A2_N(n_7115),
+	.B1(n_6),
+	.B2(n_7098),
+	.Y(n_8214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995499 (
+	.A1(n_7092),
+	.A2(n_84419),
+	.B1(n_7093),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[48] [1]),
+	.X(n_8213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995500 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[46] [3]),
+	.A2_N(n_7120),
+	.B1(n_581),
+	.B2(n_7116),
+	.Y(n_8212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995501 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[14] [7]),
+	.A2_N(n_7117),
+	.B1(FE_DBTN38_n_72887),
+	.B2(n_7085),
+	.Y(n_8211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995502 (
+	.A1(n_7092),
+	.A2(n_70004),
+	.B1(n_7093),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[48] [8]),
+	.X(n_8210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995503 (
+	.A1(n_7092),
+	.A2(n_69280),
+	.B1(n_7093),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[49] [4]),
+	.X(n_8209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995504 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[15] [1]),
+	.A2_N(n_7117),
+	.B1(n_68317),
+	.B2(n_7085),
+	.Y(n_8208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995505 (
+	.A1(n_7092),
+	.A2(n_70064),
+	.B1(n_7093),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[49] [3]),
+	.X(n_8207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995506 (
+	.A1(n_7092),
+	.A2(n_84427),
+	.B1(n_7093),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[48] [4]),
+	.X(n_8206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995508 (
+	.A1(n_7092),
+	.A2(n_70012),
+	.B1(n_7093),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[49] [8]),
+	.X(n_8204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995509 (
+	.A1(n_7092),
+	.A2(n_87578),
+	.B1(n_7093),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[48] [3]),
+	.X(n_8203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995510 (
+	.A1(n_7092),
+	.A2(n_68268),
+	.B1(n_7093),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[51] [8]),
+	.X(n_8202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995511 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[12] [1]),
+	.A2_N(n_7117),
+	.B1(FE_DBTN120_n_84419),
+	.B2(n_7085),
+	.Y(n_8201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995512 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[14] [6]),
+	.A2_N(n_7117),
+	.B1(FE_DBTN163_soc_top_xbar_to_timer_a_data_21),
+	.B2(n_7085),
+	.Y(n_8200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995513 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[14] [3]),
+	.A2_N(n_7117),
+	.B1(n_581),
+	.B2(n_7085),
+	.Y(n_8199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995514 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[14] [5]),
+	.A2_N(n_7117),
+	.B1(n_68213),
+	.B2(n_7085),
+	.Y(n_8198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995515 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[29] [5]),
+	.A2_N(n_7115),
+	.B1(FE_DBTN124_n_74612),
+	.B2(n_7098),
+	.Y(n_8197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995516 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[13] [6]),
+	.A2_N(n_7117),
+	.B1(FE_DBTN117_n_72176),
+	.B2(n_7085),
+	.Y(n_8196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995517 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[42] [1]),
+	.A2_N(n_7124),
+	.B1(FE_DBTN2_n_93141),
+	.B2(n_7090),
+	.Y(n_8195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995518 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[14] [2]),
+	.A2_N(n_7117),
+	.B1(FE_DBTN116_n_72527),
+	.B2(n_7085),
+	.Y(n_8194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995519 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[14] [1]),
+	.A2_N(n_7117),
+	.B1(FE_DBTN2_n_93141),
+	.B2(n_7085),
+	.Y(n_8193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995520 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[13] [5]),
+	.A2_N(n_7117),
+	.B1(FE_DBTN124_n_74612),
+	.B2(n_7085),
+	.Y(n_8192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995521 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[13] [7]),
+	.A2_N(n_7117),
+	.B1(FE_DBTN162_soc_top_xbar_to_timer_a_data_14),
+	.B2(n_7085),
+	.Y(n_8191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995522 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[31] [2]),
+	.A2_N(n_7115),
+	.B1(FE_DBTN39_n_68259),
+	.B2(n_7098),
+	.Y(n_8190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995523 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[4] [8]),
+	.A2_N(n_7107),
+	.B1(FE_DBTN41_n_70004),
+	.B2(n_7100),
+	.Y(n_8189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995524 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[11] [5]),
+	.A2_N(n_7109),
+	.B1(n_68216),
+	.B2(n_7102),
+	.Y(n_8188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995525 (
+	.A1(n_7104),
+	.A2(n_70064),
+	.B1(n_7126),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[17] [3]),
+	.X(n_8187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995526 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[33] [2]),
+	.A2_N(n_7101),
+	.B1(n_68020),
+	.B2(n_7105),
+	.Y(n_8186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995527 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[6] [2]),
+	.A2_N(n_7107),
+	.B1(FE_DBTN116_n_72527),
+	.B2(n_7100),
+	.Y(n_8185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995528 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[31] [6]),
+	.A2_N(n_7115),
+	.B1(n_586),
+	.B2(n_7098),
+	.Y(n_8184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995529 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[31] [5]),
+	.A2_N(n_7115),
+	.B1(n_68216),
+	.B2(n_7098),
+	.Y(n_8183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995530 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[9] [5]),
+	.A2_N(n_7109),
+	.B1(FE_DBTN124_n_74612),
+	.B2(n_7102),
+	.Y(n_8182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995532 (
+	.A1(n_7104),
+	.A2(n_72887),
+	.B1(n_7126),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[18] [7]),
+	.X(n_8180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995533 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[4] [5]),
+	.A2_N(n_7107),
+	.B1(FE_DBTN126_n_70008),
+	.B2(n_7100),
+	.Y(n_8179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995534 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[7] [5]),
+	.A2_N(n_7107),
+	.B1(n_68216),
+	.B2(n_7100),
+	.Y(n_8178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995535 (
+	.A1(n_7103),
+	.A2(n_70012),
+	.B1(n_7121),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[21] [8]),
+	.X(n_8177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995536 (
+	.A1(n_7088),
+	.A2(n_84182),
+	.B1(n_7108),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[26] [4]),
+	.X(n_8176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995537 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[47] [5]),
+	.A2_N(n_7120),
+	.B1(n_68216),
+	.B2(n_7116),
+	.Y(n_8175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995538 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[9] [3]),
+	.A2_N(n_7109),
+	.B1(n_576),
+	.B2(n_7102),
+	.Y(n_8174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995539 (
+	.A1(n_7104),
+	.A2(n_68268),
+	.B1(n_7126),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[19] [8]),
+	.X(n_8173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995540 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[40] [7]),
+	.A2_N(n_7124),
+	.B1(FE_DBTN40_n_84431),
+	.B2(n_7090),
+	.Y(n_8172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995541 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[6] [8]),
+	.A2_N(n_7107),
+	.B1(n_68170),
+	.B2(n_7100),
+	.Y(n_8171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995542 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[28] [3]),
+	.A2_N(n_7115),
+	.B1(FE_DBTN119_n_87578),
+	.B2(n_7098),
+	.Y(n_8170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995543 (
+	.A1(n_7092),
+	.A2(\soc_top_xbar_to_timer[a_data] [14]),
+	.B1(n_7093),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[49] [7]),
+	.X(n_8169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995544 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[43] [1]),
+	.A2_N(n_7124),
+	.B1(n_68317),
+	.B2(n_7090),
+	.Y(n_8168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995545 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[7] [2]),
+	.A2_N(n_7107),
+	.B1(FE_DBTN39_n_68259),
+	.B2(n_7100),
+	.Y(n_8167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995546 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[6] [3]),
+	.A2_N(n_7107),
+	.B1(n_581),
+	.B2(n_7100),
+	.Y(n_8166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995547 (
+	.A1(n_7104),
+	.A2(n_74743),
+	.B1(n_7126),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[18] [8]),
+	.X(n_8165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995548 (
+	.A1(n_7097),
+	.A2(n_70008),
+	.B1(n_7099),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[56] [5]),
+	.X(n_8164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995549 (
+	.A1(n_7097),
+	.A2(n_84427),
+	.B1(n_7099),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[56] [4]),
+	.X(n_8163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995551 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[47] [7]),
+	.A2_N(n_7120),
+	.B1(n_18),
+	.B2(n_7116),
+	.Y(n_8161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995552 (
+	.A1(n_7097),
+	.A2(n_72528),
+	.B1(n_7099),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[57] [2]),
+	.X(n_8160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995553 (
+	.A1(n_7097),
+	.A2(n_69280),
+	.B1(n_7099),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[57] [4]),
+	.X(n_8159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995554 (
+	.A1(n_7097),
+	.A2(n_74612),
+	.B1(n_7099),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[57] [5]),
+	.X(n_8158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995555 (
+	.A1(n_7097),
+	.A2(n_72176),
+	.B1(n_7099),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[57] [6]),
+	.X(n_8157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995556 (
+	.A1(n_7088),
+	.A2(n_72887),
+	.B1(n_7108),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[26] [7]),
+	.X(n_8156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995557 (
+	.A1(n_7097),
+	.A2(n_70012),
+	.B1(n_7099),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[57] [8]),
+	.X(n_8155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995559 (
+	.A1(n_7097),
+	.A2(n_74743),
+	.B1(n_7099),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[58] [8]),
+	.X(n_8153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995560 (
+	.A1(n_7104),
+	.A2(n_72578),
+	.B1(n_7126),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[18] [3]),
+	.X(n_8152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995562 (
+	.A1(n_7097),
+	.A2(\soc_top_xbar_to_timer[a_data] [20]),
+	.B1(n_7099),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[58] [5]),
+	.X(n_8150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995563 (
+	.A1(n_7097),
+	.A2(\soc_top_xbar_to_timer[a_data] [21]),
+	.B1(n_7099),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[58] [6]),
+	.X(n_8149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995564 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[35] [1]),
+	.A2_N(n_7101),
+	.B1(n_68317),
+	.B2(n_7105),
+	.Y(n_8148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995565 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[5] [1]),
+	.A2_N(n_7107),
+	.B1(FE_DBTN3_n_93122),
+	.B2(n_7100),
+	.Y(n_8147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995566 (
+	.A1(n_7097),
+	.A2(n_68334),
+	.B1(n_7099),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[59] [1]),
+	.X(n_8146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995567 (
+	.A1(n_7097),
+	.A2(n_68259),
+	.B1(n_7099),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[59] [2]),
+	.X(n_8145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995568 (
+	.A1(n_7097),
+	.A2(\soc_top_xbar_to_timer[a_data] [27]),
+	.B1(n_7099),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[59] [4]),
+	.X(n_8144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995569 (
+	.A1(n_7097),
+	.A2(\soc_top_xbar_to_timer[a_data] [28]),
+	.B1(n_7099),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[59] [5]),
+	.X(n_8143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995570 (
+	.A1(n_7104),
+	.A2(n_70004),
+	.B1(n_7126),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[16] [8]),
+	.X(n_8142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995571 (
+	.A1(n_7097),
+	.A2(n_84182),
+	.B1(n_7099),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[58] [4]),
+	.X(n_8141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995572 (
+	.A1(n_7088),
+	.A2(n_68268),
+	.B1(n_7108),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[27] [8]),
+	.X(n_8140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995573 (
+	.A1(n_7097),
+	.A2(n_68268),
+	.B1(n_7099),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[59] [8]),
+	.X(n_8139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995574 (
+	.A1(n_7097),
+	.A2(n_70004),
+	.B1(n_7099),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[56] [8]),
+	.X(n_8138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995575 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[60] [8]),
+	.A2_N(n_7125),
+	.B1(FE_DBTN41_n_70004),
+	.B2(n_7106),
+	.Y(n_8137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995576 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[60] [6]),
+	.A2_N(n_7125),
+	.B1(FE_DBTN118_n_84455),
+	.B2(n_7106),
+	.Y(n_8136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995577 (
+	.A1(n_7104),
+	.A2(n_84182),
+	.B1(n_7126),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[18] [4]),
+	.X(n_8135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995578 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[61] [6]),
+	.A2_N(n_7125),
+	.B1(FE_DBTN117_n_72176),
+	.B2(n_7106),
+	.Y(n_8134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995579 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[61] [4]),
+	.A2_N(n_7125),
+	.B1(n_6),
+	.B2(n_7106),
+	.Y(n_8133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995581 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[62] [4]),
+	.A2_N(n_7125),
+	.B1(n_92650),
+	.B2(n_7106),
+	.Y(n_8131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995582 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[61] [8]),
+	.A2_N(n_7125),
+	.B1(n_68065),
+	.B2(n_7106),
+	.Y(n_8130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995583 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[63] [4]),
+	.A2_N(n_7125),
+	.B1(FE_DBTN164_soc_top_xbar_to_timer_a_data_27),
+	.B2(n_7106),
+	.Y(n_8129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995584 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[63] [6]),
+	.A2_N(n_7125),
+	.B1(n_586),
+	.B2(n_7106),
+	.Y(n_8128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995585 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[10] [1]),
+	.A2_N(n_7109),
+	.B1(FE_DBTN2_n_93141),
+	.B2(n_7102),
+	.Y(n_8127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995586 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[63] [8]),
+	.A2_N(n_7125),
+	.B1(n_68266),
+	.B2(n_7106),
+	.Y(n_8126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g995587 (
+	.A1(n_7086),
+	.A2(\soc_top_lsu_to_xbar[a_address] [21]),
+	.B1(n_7110),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [22]),
+	.Y(n_8125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g995588 (
+	.A1(n_7111),
+	.A2(n_30026),
+	.B1(n_1229),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[23]),
+	.Y(n_8124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995589 (
+	.A1(n_7104),
+	.A2(n_84431),
+	.B1(n_7126),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[16] [7]),
+	.X(n_8123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g995590 (
+	.A1(n_7111),
+	.A2(n_30027),
+	.B1(n_1229),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[24]),
+	.Y(n_8122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g995591 (
+	.A1(n_7111),
+	.A2(n_30031),
+	.B1(n_1229),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[28]),
+	.Y(n_8121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995592 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[44] [5]),
+	.A2_N(n_7120),
+	.B1(FE_DBTN126_n_70008),
+	.B2(n_7116),
+	.Y(n_8120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g995593 (
+	.A1(n_7110),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [31]),
+	.B1(n_1229),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[31]),
+	.Y(n_8119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995595 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[46] [7]),
+	.A2_N(n_7120),
+	.B1(FE_DBTN38_n_72887),
+	.B2(n_7116),
+	.Y(n_8117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995596 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[34] [6]),
+	.A2_N(n_7101),
+	.B1(FE_DBTN163_soc_top_xbar_to_timer_a_data_21),
+	.B2(n_7105),
+	.Y(n_8116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995597 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[4] [3]),
+	.A2_N(n_7107),
+	.B1(FE_DBTN119_n_87578),
+	.B2(n_7100),
+	.Y(n_8115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995598 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[6] [6]),
+	.A2_N(n_7107),
+	.B1(FE_DBTN163_soc_top_xbar_to_timer_a_data_21),
+	.B2(n_7100),
+	.Y(n_8114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995599 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[40] [1]),
+	.A2_N(n_7124),
+	.B1(FE_DBTN120_n_84419),
+	.B2(n_7090),
+	.Y(n_8113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995600 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[7] [7]),
+	.A2_N(n_7107),
+	.B1(n_18),
+	.B2(n_7100),
+	.Y(n_8112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995601 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[5] [5]),
+	.A2_N(n_7107),
+	.B1(FE_DBTN124_n_74612),
+	.B2(n_7100),
+	.Y(n_8111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995602 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[4] [2]),
+	.A2_N(n_7107),
+	.B1(n_1),
+	.B2(n_7100),
+	.Y(n_8110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995603 (
+	.A1(n_7103),
+	.A2(n_87578),
+	.B1(n_7121),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[20] [3]),
+	.X(n_8109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995604 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[4] [6]),
+	.A2_N(n_7107),
+	.B1(FE_DBTN118_n_84455),
+	.B2(n_7100),
+	.Y(n_8108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995605 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[11] [1]),
+	.A2_N(n_7109),
+	.B1(n_68317),
+	.B2(n_7102),
+	.Y(n_8107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995606 (
+	.A1(n_7104),
+	.A2(n_70012),
+	.B1(n_7126),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[17] [8]),
+	.X(n_8106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995607 (
+	.A1(n_7088),
+	.A2(n_87578),
+	.B1(n_7108),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[24] [3]),
+	.X(n_8105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995609 (
+	.A1(n_7104),
+	.A2(\soc_top_xbar_to_timer[a_data] [30]),
+	.B1(n_7126),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[19] [7]),
+	.X(n_8103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995610 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[33] [1]),
+	.A2_N(n_7101),
+	.B1(FE_DBTN3_n_93122),
+	.B2(n_7105),
+	.Y(n_8102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995611 (
+	.A1(n_7088),
+	.A2(\soc_top_xbar_to_timer[a_data] [28]),
+	.B1(n_7108),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[27] [5]),
+	.X(n_8101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995612 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[12] [6]),
+	.A2_N(n_7117),
+	.B1(FE_DBTN118_n_84455),
+	.B2(n_7085),
+	.Y(n_8100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995613 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[13] [3]),
+	.A2_N(n_7117),
+	.B1(n_576),
+	.B2(n_7085),
+	.Y(n_8099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995614 (
+	.A1(n_7088),
+	.A2(n_84427),
+	.B1(n_7108),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[24] [4]),
+	.X(n_8098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995615 (
+	.A1(n_7104),
+	.A2(n_70008),
+	.B1(n_7126),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[16] [5]),
+	.X(n_8097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995616 (
+	.A1(n_7103),
+	.A2(n_70008),
+	.B1(n_7121),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[20] [5]),
+	.X(n_8096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995617 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[5] [6]),
+	.A2_N(n_7107),
+	.B1(FE_DBTN117_n_72176),
+	.B2(n_7100),
+	.Y(n_8095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995618 (
+	.A1(n_7088),
+	.A2(\soc_top_xbar_to_timer[a_data] [27]),
+	.B1(n_7108),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[27] [4]),
+	.X(n_8094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995619 (
+	.A1(n_7088),
+	.A2(\soc_top_xbar_to_timer[a_data] [29]),
+	.B1(n_7108),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[27] [6]),
+	.X(n_8093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995620 (
+	.A1(n_7088),
+	.A2(n_70008),
+	.B1(n_7108),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[24] [5]),
+	.X(n_8092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995621 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[33] [6]),
+	.A2_N(n_7101),
+	.B1(FE_DBTN117_n_72176),
+	.B2(n_7105),
+	.Y(n_8091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995623 (
+	.A1(n_7104),
+	.A2(\soc_top_xbar_to_timer[a_data] [14]),
+	.B1(n_7126),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[17] [7]),
+	.X(n_8089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995624 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[32] [3]),
+	.A2_N(n_7101),
+	.B1(FE_DBTN119_n_87578),
+	.B2(n_7105),
+	.Y(n_8088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995625 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[12] [3]),
+	.A2_N(n_7117),
+	.B1(FE_DBTN119_n_87578),
+	.B2(n_7085),
+	.Y(n_8087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995626 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[33] [8]),
+	.A2_N(n_7101),
+	.B1(n_68065),
+	.B2(n_7105),
+	.Y(n_8086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995627 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[41] [1]),
+	.A2_N(n_7124),
+	.B1(FE_DBTN3_n_93122),
+	.B2(n_7090),
+	.Y(n_8085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995628 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[41] [7]),
+	.A2_N(n_7124),
+	.B1(FE_DBTN162_soc_top_xbar_to_timer_a_data_14),
+	.B2(n_7090),
+	.Y(n_8084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995629 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[41] [5]),
+	.A2_N(n_7124),
+	.B1(FE_DBTN124_n_74612),
+	.B2(n_7090),
+	.Y(n_8083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995630 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[43] [8]),
+	.A2_N(n_7124),
+	.B1(n_68266),
+	.B2(n_7090),
+	.Y(n_8082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995631 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[5] [7]),
+	.A2_N(n_7107),
+	.B1(FE_DBTN162_soc_top_xbar_to_timer_a_data_14),
+	.B2(n_7100),
+	.Y(n_8081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995632 (
+	.A1(n_7088),
+	.A2(n_84419),
+	.B1(n_7108),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[24] [1]),
+	.X(n_8080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995633 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[32] [6]),
+	.A2_N(n_7101),
+	.B1(FE_DBTN118_n_84455),
+	.B2(n_7105),
+	.Y(n_8079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995634 (
+	.A1(n_7088),
+	.A2(n_70012),
+	.B1(n_7108),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[25] [8]),
+	.X(n_8078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995635 (
+	.A1(n_7104),
+	.A2(n_84427),
+	.B1(n_7126),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[16] [4]),
+	.X(n_8077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995636 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[12] [7]),
+	.A2_N(n_7117),
+	.B1(FE_DBTN40_n_84431),
+	.B2(n_7085),
+	.Y(n_8076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995637 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[32] [4]),
+	.A2_N(n_7101),
+	.B1(FE_DBTN125_n_84427),
+	.B2(n_7105),
+	.Y(n_8075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995638 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[41] [6]),
+	.A2_N(n_7124),
+	.B1(FE_DBTN117_n_72176),
+	.B2(n_7090),
+	.Y(n_8074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995639 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[33] [7]),
+	.A2_N(n_7101),
+	.B1(FE_DBTN162_soc_top_xbar_to_timer_a_data_14),
+	.B2(n_7105),
+	.Y(n_8073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995640 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[31] [1]),
+	.A2_N(n_7115),
+	.B1(n_68317),
+	.B2(n_7098),
+	.Y(n_8072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995643 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[9] [1]),
+	.A2_N(n_7109),
+	.B1(FE_DBTN3_n_93122),
+	.B2(n_7102),
+	.Y(n_8069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995644 (
+	.A1(n_7088),
+	.A2(n_72176),
+	.B1(n_7108),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[25] [6]),
+	.X(n_8068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995645 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[15] [3]),
+	.A2_N(n_7117),
+	.B1(FE_DBTN37_n_68466),
+	.B2(n_7085),
+	.Y(n_8067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995646 (
+	.A1(n_7088),
+	.A2(\soc_top_xbar_to_timer[a_data] [14]),
+	.B1(n_7108),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[25] [7]),
+	.X(n_8066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995647 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[13] [2]),
+	.A2_N(n_7117),
+	.B1(n_68020),
+	.B2(n_7085),
+	.Y(n_8065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995648 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[12] [2]),
+	.A2_N(n_7117),
+	.B1(n_1),
+	.B2(n_7085),
+	.Y(n_8064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995649 (
+	.A1(n_7104),
+	.A2(n_87578),
+	.B1(n_7126),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[16] [3]),
+	.X(n_8063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995650 (
+	.A1(n_7088),
+	.A2(\soc_top_xbar_to_timer[a_data] [30]),
+	.B1(n_7108),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[27] [7]),
+	.X(n_8062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995651 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[29] [3]),
+	.A2_N(n_7115),
+	.B1(n_576),
+	.B2(n_7098),
+	.Y(n_8061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995652 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[13] [1]),
+	.A2_N(n_7117),
+	.B1(FE_DBTN3_n_93122),
+	.B2(n_7085),
+	.Y(n_8060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995653 (
+	.A1(n_7104),
+	.A2(n_72527),
+	.B1(n_7126),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[18] [2]),
+	.X(n_8059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995654 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[5] [2]),
+	.A2_N(n_7107),
+	.B1(n_68020),
+	.B2(n_7100),
+	.Y(n_8058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995655 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[12] [5]),
+	.A2_N(n_7117),
+	.B1(FE_DBTN126_n_70008),
+	.B2(n_7085),
+	.Y(n_8057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995656 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[6] [1]),
+	.A2_N(n_7107),
+	.B1(FE_DBTN2_n_93141),
+	.B2(n_7100),
+	.Y(n_8056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995657 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[31] [3]),
+	.A2_N(n_7115),
+	.B1(FE_DBTN37_n_68466),
+	.B2(n_7098),
+	.Y(n_8055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995658 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[5] [3]),
+	.A2_N(n_7107),
+	.B1(n_576),
+	.B2(n_7100),
+	.Y(n_8054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995659 (
+	.A1(n_7104),
+	.A2(\soc_top_xbar_to_timer[a_data] [28]),
+	.B1(n_7126),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[19] [5]),
+	.X(n_8053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995660 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[40] [8]),
+	.A2_N(n_7124),
+	.B1(FE_DBTN41_n_70004),
+	.B2(n_7090),
+	.Y(n_8052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995661 (
+	.A1(n_7103),
+	.A2(n_84431),
+	.B1(n_7121),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[20] [7]),
+	.X(n_8051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995662 (
+	.A1(n_7088),
+	.A2(\soc_top_xbar_to_timer[a_data] [20]),
+	.B1(n_7108),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[26] [5]),
+	.X(n_8050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995663 (
+	.A1(n_7088),
+	.A2(n_70004),
+	.B1(n_7108),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[24] [8]),
+	.X(n_8049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995664 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[32] [8]),
+	.A2_N(n_7101),
+	.B1(FE_DBTN41_n_70004),
+	.B2(n_7105),
+	.Y(n_8048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995665 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[8] [7]),
+	.A2_N(n_7109),
+	.B1(FE_DBTN40_n_84431),
+	.B2(n_7102),
+	.Y(n_8047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995666 (
+	.A1(n_7103),
+	.A2(n_68334),
+	.B1(n_7121),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[23] [1]),
+	.X(n_8046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995667 (
+	.A1(n_7103),
+	.A2(\soc_top_xbar_to_timer[a_data] [21]),
+	.B1(n_7121),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[22] [6]),
+	.X(n_8045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995670 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[30] [7]),
+	.A2_N(n_7115),
+	.B1(FE_DBTN38_n_72887),
+	.B2(n_7098),
+	.Y(n_8042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995671 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[10] [7]),
+	.A2_N(n_7109),
+	.B1(FE_DBTN38_n_72887),
+	.B2(n_7102),
+	.Y(n_8041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995672 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[4] [7]),
+	.A2_N(n_7107),
+	.B1(FE_DBTN40_n_84431),
+	.B2(n_7100),
+	.Y(n_8040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995673 (
+	.A1(n_7103),
+	.A2(n_68259),
+	.B1(n_7121),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[23] [2]),
+	.X(n_8039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995674 (
+	.A1(n_7088),
+	.A2(n_74743),
+	.B1(n_7108),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[26] [8]),
+	.X(n_8038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995675 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[32] [2]),
+	.A2_N(n_7101),
+	.B1(n_1),
+	.B2(n_7105),
+	.Y(n_8037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995676 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[32] [7]),
+	.A2_N(n_7101),
+	.B1(FE_DBTN40_n_84431),
+	.B2(n_7105),
+	.Y(n_8036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995677 (
+	.A1(n_7104),
+	.A2(n_74612),
+	.B1(n_7126),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[17] [5]),
+	.X(n_8035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995678 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[11] [3]),
+	.A2_N(n_7109),
+	.B1(FE_DBTN37_n_68466),
+	.B2(n_7102),
+	.Y(n_8034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995679 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[15] [6]),
+	.A2_N(n_7117),
+	.B1(n_586),
+	.B2(n_7085),
+	.Y(n_8033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995680 (
+	.A1(n_7088),
+	.A2(n_69280),
+	.B1(n_7108),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[25] [4]),
+	.X(n_8032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995681 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[30] [5]),
+	.A2_N(n_7115),
+	.B1(n_68213),
+	.B2(n_7098),
+	.Y(n_8031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995682 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[10] [5]),
+	.A2_N(n_7109),
+	.B1(n_68213),
+	.B2(n_7102),
+	.Y(n_8030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995683 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[15] [7]),
+	.A2_N(n_7117),
+	.B1(n_18),
+	.B2(n_7085),
+	.Y(n_8029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995684 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[0] [1]),
+	.A2_N(n_7114),
+	.B1(FE_DBTN120_n_84419),
+	.B2(n_7096),
+	.Y(n_8028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995685 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[8] [5]),
+	.A2_N(n_7109),
+	.B1(FE_DBTN126_n_70008),
+	.B2(n_7102),
+	.Y(n_8027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995686 (
+	.A1(n_7103),
+	.A2(\soc_top_xbar_to_timer[a_data] [20]),
+	.B1(n_7121),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[22] [5]),
+	.X(n_8026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995687 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[30] [6]),
+	.A2_N(n_7115),
+	.B1(FE_DBTN163_soc_top_xbar_to_timer_a_data_21),
+	.B2(n_7098),
+	.Y(n_8025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995688 (
+	.A1(n_7104),
+	.A2(n_69280),
+	.B1(n_7126),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[17] [4]),
+	.X(n_8024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995689 (
+	.A1(n_7088),
+	.A2(n_84431),
+	.B1(n_7108),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[24] [7]),
+	.X(n_8023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995690 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[42] [4]),
+	.A2_N(n_7124),
+	.B1(n_92650),
+	.B2(n_7090),
+	.Y(n_8022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995691 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[29] [2]),
+	.A2_N(n_7115),
+	.B1(n_68020),
+	.B2(n_7098),
+	.Y(n_8021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995692 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[10] [3]),
+	.A2_N(n_7109),
+	.B1(n_581),
+	.B2(n_7102),
+	.Y(n_8020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995693 (
+	.A1(n_7103),
+	.A2(n_84419),
+	.B1(n_7121),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[20] [1]),
+	.X(n_8019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995694 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[12] [4]),
+	.A2_N(n_7117),
+	.B1(FE_DBTN125_n_84427),
+	.B2(n_7085),
+	.Y(n_8018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995695 (
+	.A1(n_7103),
+	.A2(n_84455),
+	.B1(n_7121),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[20] [6]),
+	.X(n_8017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995696 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[35] [6]),
+	.A2_N(n_7101),
+	.B1(n_586),
+	.B2(n_7105),
+	.Y(n_8016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995697 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[15] [5]),
+	.A2_N(n_7117),
+	.B1(n_68216),
+	.B2(n_7085),
+	.Y(n_8015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995698 (
+	.A1(n_7104),
+	.A2(n_84419),
+	.B1(n_7126),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[16] [1]),
+	.X(n_8014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995699 (
+	.A1(n_7103),
+	.A2(\soc_top_xbar_to_timer[a_data] [30]),
+	.B1(n_7121),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[23] [7]),
+	.X(n_8013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995700 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[33] [4]),
+	.A2_N(n_7101),
+	.B1(n_6),
+	.B2(n_7105),
+	.Y(n_8012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995701 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[40] [4]),
+	.A2_N(n_7124),
+	.B1(FE_DBTN125_n_84427),
+	.B2(n_7090),
+	.Y(n_8011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995702 (
+	.A1(n_7088),
+	.A2(n_74612),
+	.B1(n_7108),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[25] [5]),
+	.X(n_8010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995703 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[7] [6]),
+	.A2_N(n_7107),
+	.B1(n_586),
+	.B2(n_7100),
+	.Y(n_8009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995704 (
+	.A1(n_7103),
+	.A2(n_75549),
+	.B1(n_7121),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[20] [2]),
+	.X(n_8008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995705 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[41] [4]),
+	.A2_N(n_7124),
+	.B1(n_6),
+	.B2(n_7090),
+	.Y(n_8007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995706 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[45] [5]),
+	.A2_N(n_7120),
+	.B1(FE_DBTN124_n_74612),
+	.B2(n_7116),
+	.Y(n_8006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995707 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[29] [1]),
+	.A2_N(n_7115),
+	.B1(FE_DBTN3_n_93122),
+	.B2(n_7098),
+	.Y(n_8005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995708 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[31] [7]),
+	.A2_N(n_7115),
+	.B1(n_18),
+	.B2(n_7098),
+	.Y(n_8004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995709 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[11] [7]),
+	.A2_N(n_7109),
+	.B1(n_18),
+	.B2(n_7102),
+	.Y(n_8003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995710 (
+	.A1(n_7092),
+	.A2(\soc_top_xbar_to_timer[a_data] [20]),
+	.B1(n_7093),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[50] [5]),
+	.X(n_8002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g995712 (
+	.A1(n_7084),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [9]),
+	.B1(n_7113),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [10]),
+	.Y(n_8000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g995713 (
+	.A1(n_7084),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [14]),
+	.B1(n_7113),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [15]),
+	.Y(n_7999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g995714 (
+	.A1(n_7084),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [15]),
+	.B1(n_7113),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [16]),
+	.Y(n_7998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g995715 (
+	.A1(n_7084),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [18]),
+	.B1(n_7113),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [19]),
+	.Y(n_7997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g995716 (
+	.A1(n_7084),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [21]),
+	.B1(n_7113),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [22]),
+	.Y(n_7996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g995717 (
+	.A1(n_7084),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [22]),
+	.B1(n_7113),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [23]),
+	.Y(n_7995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995718 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[0] [2]),
+	.A2_N(n_7114),
+	.B1(n_1),
+	.B2(n_7096),
+	.Y(n_7994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995719 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[0] [3]),
+	.A2_N(n_7114),
+	.B1(FE_DBTN119_n_87578),
+	.B2(n_7096),
+	.Y(n_7993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995720 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[0] [4]),
+	.A2_N(n_7114),
+	.B1(FE_DBTN125_n_84427),
+	.B2(n_7096),
+	.Y(n_7992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995721 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[0] [5]),
+	.A2_N(n_7114),
+	.B1(FE_DBTN126_n_70008),
+	.B2(n_7096),
+	.Y(n_7991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995722 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[0] [6]),
+	.A2_N(n_7114),
+	.B1(FE_DBTN118_n_84455),
+	.B2(n_7096),
+	.Y(n_7990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995723 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[0] [7]),
+	.A2_N(n_7114),
+	.B1(FE_DBTN40_n_84431),
+	.B2(n_7096),
+	.Y(n_7989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995724 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[0] [8]),
+	.A2_N(n_7114),
+	.B1(FE_DBTN41_n_70004),
+	.B2(n_7096),
+	.Y(n_7988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995725 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[1] [1]),
+	.A2_N(n_7114),
+	.B1(FE_DBTN3_n_93122),
+	.B2(n_7096),
+	.Y(n_7987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995726 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[1] [2]),
+	.A2_N(n_7114),
+	.B1(n_68020),
+	.B2(n_7096),
+	.Y(n_7986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995727 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[1] [3]),
+	.A2_N(n_7114),
+	.B1(n_576),
+	.B2(n_7096),
+	.Y(n_7985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995728 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[1] [4]),
+	.A2_N(n_7114),
+	.B1(n_6),
+	.B2(n_7096),
+	.Y(n_7984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995729 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[1] [5]),
+	.A2_N(n_7114),
+	.B1(FE_DBTN124_n_74612),
+	.B2(n_7096),
+	.Y(n_7983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995730 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[1] [6]),
+	.A2_N(n_7114),
+	.B1(FE_DBTN117_n_72176),
+	.B2(n_7096),
+	.Y(n_7982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995731 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[1] [7]),
+	.A2_N(n_7114),
+	.B1(FE_DBTN162_soc_top_xbar_to_timer_a_data_14),
+	.B2(n_7096),
+	.Y(n_7981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995732 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[1] [8]),
+	.A2_N(n_7114),
+	.B1(n_68065),
+	.B2(n_7096),
+	.Y(n_7980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995733 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[2] [1]),
+	.A2_N(n_7114),
+	.B1(FE_DBTN2_n_93141),
+	.B2(n_7096),
+	.Y(n_7979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995734 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[2] [2]),
+	.A2_N(n_7114),
+	.B1(FE_DBTN116_n_72527),
+	.B2(n_7096),
+	.Y(n_7978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995735 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[2] [3]),
+	.A2_N(n_7114),
+	.B1(n_581),
+	.B2(n_7096),
+	.Y(n_7977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995736 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[2] [4]),
+	.A2_N(n_7114),
+	.B1(n_92650),
+	.B2(n_7096),
+	.Y(n_7976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995737 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[2] [5]),
+	.A2_N(n_7114),
+	.B1(n_68213),
+	.B2(n_7096),
+	.Y(n_7975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995738 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[2] [6]),
+	.A2_N(n_7114),
+	.B1(FE_DBTN163_soc_top_xbar_to_timer_a_data_21),
+	.B2(n_7096),
+	.Y(n_7974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995739 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[2] [7]),
+	.A2_N(n_7114),
+	.B1(FE_DBTN38_n_72887),
+	.B2(n_7096),
+	.Y(n_7973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995740 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[2] [8]),
+	.A2_N(n_7114),
+	.B1(n_68170),
+	.B2(n_7096),
+	.Y(n_7972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995741 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[3] [1]),
+	.A2_N(n_7114),
+	.B1(n_68317),
+	.B2(n_7096),
+	.Y(n_7971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995742 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[3] [2]),
+	.A2_N(n_7114),
+	.B1(FE_DBTN39_n_68259),
+	.B2(n_7096),
+	.Y(n_7970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995743 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[3] [3]),
+	.A2_N(n_7114),
+	.B1(FE_DBTN37_n_68466),
+	.B2(n_7096),
+	.Y(n_7969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995744 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[3] [4]),
+	.A2_N(n_7114),
+	.B1(FE_DBTN164_soc_top_xbar_to_timer_a_data_27),
+	.B2(n_7096),
+	.Y(n_7968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995745 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[3] [5]),
+	.A2_N(n_7114),
+	.B1(n_68216),
+	.B2(n_7096),
+	.Y(n_7967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995746 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[3] [6]),
+	.A2_N(n_7114),
+	.B1(n_586),
+	.B2(n_7096),
+	.Y(n_7966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995747 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[3] [7]),
+	.A2_N(n_7114),
+	.B1(n_18),
+	.B2(n_7096),
+	.Y(n_7965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995748 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[3] [8]),
+	.A2_N(n_7114),
+	.B1(n_68266),
+	.B2(n_7096),
+	.Y(n_7964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995749 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[4] [1]),
+	.A2_N(n_7107),
+	.B1(FE_DBTN120_n_84419),
+	.B2(n_7100),
+	.Y(n_7963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995750 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[4] [4]),
+	.A2_N(n_7107),
+	.B1(FE_DBTN125_n_84427),
+	.B2(n_7100),
+	.Y(n_7962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995751 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[5] [4]),
+	.A2_N(n_7107),
+	.B1(n_6),
+	.B2(n_7100),
+	.Y(n_7961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995752 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[5] [8]),
+	.A2_N(n_7107),
+	.B1(n_68065),
+	.B2(n_7100),
+	.Y(n_7960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995753 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[6] [4]),
+	.A2_N(n_7107),
+	.B1(n_92650),
+	.B2(n_7100),
+	.Y(n_7959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995754 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[6] [7]),
+	.A2_N(n_7107),
+	.B1(FE_DBTN38_n_72887),
+	.B2(n_7100),
+	.Y(n_7958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995755 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[7] [4]),
+	.A2_N(n_7107),
+	.B1(FE_DBTN164_soc_top_xbar_to_timer_a_data_27),
+	.B2(n_7100),
+	.Y(n_7957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995756 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[7] [8]),
+	.A2_N(n_7107),
+	.B1(n_68266),
+	.B2(n_7100),
+	.Y(n_7956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995757 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[8] [1]),
+	.A2_N(n_7109),
+	.B1(FE_DBTN120_n_84419),
+	.B2(n_7102),
+	.Y(n_7955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995758 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[8] [2]),
+	.A2_N(n_7109),
+	.B1(n_1),
+	.B2(n_7102),
+	.Y(n_7954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995759 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[8] [3]),
+	.A2_N(n_7109),
+	.B1(FE_DBTN119_n_87578),
+	.B2(n_7102),
+	.Y(n_7953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995760 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[8] [4]),
+	.A2_N(n_7109),
+	.B1(FE_DBTN125_n_84427),
+	.B2(n_7102),
+	.Y(n_7952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995761 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[8] [6]),
+	.A2_N(n_7109),
+	.B1(FE_DBTN118_n_84455),
+	.B2(n_7102),
+	.Y(n_7951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995762 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[8] [8]),
+	.A2_N(n_7109),
+	.B1(FE_DBTN41_n_70004),
+	.B2(n_7102),
+	.Y(n_7950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995763 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[9] [2]),
+	.A2_N(n_7109),
+	.B1(n_68020),
+	.B2(n_7102),
+	.Y(n_7949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995764 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[9] [4]),
+	.A2_N(n_7109),
+	.B1(n_6),
+	.B2(n_7102),
+	.Y(n_7948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995765 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[9] [6]),
+	.A2_N(n_7109),
+	.B1(FE_DBTN117_n_72176),
+	.B2(n_7102),
+	.Y(n_7947), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995766 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[9] [8]),
+	.A2_N(n_7109),
+	.B1(n_68065),
+	.B2(n_7102),
+	.Y(n_7946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995767 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[10] [2]),
+	.A2_N(n_7109),
+	.B1(FE_DBTN116_n_72527),
+	.B2(n_7102),
+	.Y(n_7945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995768 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[10] [4]),
+	.A2_N(n_7109),
+	.B1(n_92650),
+	.B2(n_7102),
+	.Y(n_7944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995769 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[10] [6]),
+	.A2_N(n_7109),
+	.B1(FE_DBTN163_soc_top_xbar_to_timer_a_data_21),
+	.B2(n_7102),
+	.Y(n_7943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995770 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[10] [8]),
+	.A2_N(n_7109),
+	.B1(n_68170),
+	.B2(n_7102),
+	.Y(n_7942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995771 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[11] [2]),
+	.A2_N(n_7109),
+	.B1(FE_DBTN39_n_68259),
+	.B2(n_7102),
+	.Y(n_7941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995772 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[11] [4]),
+	.A2_N(n_7109),
+	.B1(FE_DBTN164_soc_top_xbar_to_timer_a_data_27),
+	.B2(n_7102),
+	.Y(n_7940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995773 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[11] [6]),
+	.A2_N(n_7109),
+	.B1(n_586),
+	.B2(n_7102),
+	.Y(n_7939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995774 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[11] [8]),
+	.A2_N(n_7109),
+	.B1(n_68266),
+	.B2(n_7102),
+	.Y(n_7938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995775 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[12] [8]),
+	.A2_N(n_7117),
+	.B1(FE_DBTN41_n_70004),
+	.B2(n_7085),
+	.Y(n_7937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995776 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[13] [4]),
+	.A2_N(n_7117),
+	.B1(n_6),
+	.B2(n_7085),
+	.Y(n_7936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995777 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[13] [8]),
+	.A2_N(n_7117),
+	.B1(n_68065),
+	.B2(n_7085),
+	.Y(n_7935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995778 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[14] [4]),
+	.A2_N(n_7117),
+	.B1(n_92650),
+	.B2(n_7085),
+	.Y(n_7934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995779 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[14] [8]),
+	.A2_N(n_7117),
+	.B1(n_68170),
+	.B2(n_7085),
+	.Y(n_7933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995780 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[15] [4]),
+	.A2_N(n_7117),
+	.B1(FE_DBTN164_soc_top_xbar_to_timer_a_data_27),
+	.B2(n_7085),
+	.Y(n_7932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995781 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[15] [8]),
+	.A2_N(n_7117),
+	.B1(n_68266),
+	.B2(n_7085),
+	.Y(n_7931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995782 (
+	.A1(n_7104),
+	.A2(n_75549),
+	.B1(n_7126),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[16] [2]),
+	.X(n_7930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995783 (
+	.A1(n_7104),
+	.A2(n_84455),
+	.B1(n_7126),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[16] [6]),
+	.X(n_7929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995784 (
+	.A1(n_7104),
+	.A2(n_72528),
+	.B1(n_7126),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[17] [2]),
+	.X(n_7928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995785 (
+	.A1(n_7104),
+	.A2(n_72176),
+	.B1(n_7126),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[17] [6]),
+	.X(n_7927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995786 (
+	.A1(n_7104),
+	.A2(\soc_top_xbar_to_timer[a_data] [21]),
+	.B1(n_7126),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[18] [6]),
+	.X(n_7926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995787 (
+	.A1(n_7104),
+	.A2(n_68259),
+	.B1(n_7126),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[19] [2]),
+	.X(n_7925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995788 (
+	.A1(n_7104),
+	.A2(\soc_top_xbar_to_timer[a_data] [29]),
+	.B1(n_7126),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[19] [6]),
+	.X(n_7924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995789 (
+	.A1(n_7103),
+	.A2(n_84427),
+	.B1(n_7121),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[20] [4]),
+	.X(n_7923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995790 (
+	.A1(n_7103),
+	.A2(n_70004),
+	.B1(n_7121),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[20] [8]),
+	.X(n_7922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995791 (
+	.A1(n_7103),
+	.A2(n_69280),
+	.B1(n_7121),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[21] [4]),
+	.X(n_7921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995792 (
+	.A1(n_7103),
+	.A2(n_84182),
+	.B1(n_7121),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[22] [4]),
+	.X(n_7920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995793 (
+	.A1(n_7103),
+	.A2(n_74743),
+	.B1(n_7121),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[22] [8]),
+	.X(n_7919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995794 (
+	.A1(n_7103),
+	.A2(\soc_top_xbar_to_timer[a_data] [27]),
+	.B1(n_7121),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[23] [4]),
+	.X(n_7918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995795 (
+	.A1(n_7103),
+	.A2(n_68268),
+	.B1(n_7121),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[23] [8]),
+	.X(n_7917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995796 (
+	.A1(n_7088),
+	.A2(n_75549),
+	.B1(n_7108),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[24] [2]),
+	.X(n_7916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995797 (
+	.A1(n_7088),
+	.A2(n_84455),
+	.B1(n_7108),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[24] [6]),
+	.X(n_7915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995798 (
+	.A1(n_7088),
+	.A2(n_72528),
+	.B1(n_7108),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[25] [2]),
+	.X(n_7914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995799 (
+	.A1(n_7088),
+	.A2(n_72527),
+	.B1(n_7108),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[26] [2]),
+	.X(n_7913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995800 (
+	.A1(n_7088),
+	.A2(\soc_top_xbar_to_timer[a_data] [21]),
+	.B1(n_7108),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[26] [6]),
+	.X(n_7912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995801 (
+	.A1(n_7088),
+	.A2(n_68259),
+	.B1(n_7108),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[27] [2]),
+	.X(n_7911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995802 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[28] [4]),
+	.A2_N(n_7115),
+	.B1(FE_DBTN125_n_84427),
+	.B2(n_7098),
+	.Y(n_7910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995803 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[28] [8]),
+	.A2_N(n_7115),
+	.B1(FE_DBTN41_n_70004),
+	.B2(n_7098),
+	.Y(n_7909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995804 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[29] [6]),
+	.A2_N(n_7115),
+	.B1(FE_DBTN117_n_72176),
+	.B2(n_7098),
+	.Y(n_7908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995805 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[29] [8]),
+	.A2_N(n_7115),
+	.B1(n_68065),
+	.B2(n_7098),
+	.Y(n_7907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995806 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[30] [1]),
+	.A2_N(n_7115),
+	.B1(FE_DBTN2_n_93141),
+	.B2(n_7098),
+	.Y(n_7906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995807 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[30] [4]),
+	.A2_N(n_7115),
+	.B1(n_92650),
+	.B2(n_7098),
+	.Y(n_7905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995808 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[30] [8]),
+	.A2_N(n_7115),
+	.B1(n_68170),
+	.B2(n_7098),
+	.Y(n_7904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995809 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[31] [4]),
+	.A2_N(n_7115),
+	.B1(FE_DBTN164_soc_top_xbar_to_timer_a_data_27),
+	.B2(n_7098),
+	.Y(n_7903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995810 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[31] [8]),
+	.A2_N(n_7115),
+	.B1(n_68266),
+	.B2(n_7098),
+	.Y(n_7902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995811 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[32] [5]),
+	.A2_N(n_7101),
+	.B1(FE_DBTN126_n_70008),
+	.B2(n_7105),
+	.Y(n_7901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995812 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[33] [5]),
+	.A2_N(n_7101),
+	.B1(FE_DBTN124_n_74612),
+	.B2(n_7105),
+	.Y(n_7900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995813 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[34] [1]),
+	.A2_N(n_7101),
+	.B1(FE_DBTN2_n_93141),
+	.B2(n_7105),
+	.Y(n_7899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995814 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[34] [5]),
+	.A2_N(n_7101),
+	.B1(n_68213),
+	.B2(n_7105),
+	.Y(n_7898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995815 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[35] [5]),
+	.A2_N(n_7101),
+	.B1(n_68216),
+	.B2(n_7105),
+	.Y(n_7897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995816 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[36] [2]),
+	.A2_N(n_7089),
+	.B1(n_1),
+	.B2(n_7112),
+	.Y(n_7896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995817 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[36] [3]),
+	.A2_N(n_7089),
+	.B1(FE_DBTN119_n_87578),
+	.B2(n_7112),
+	.Y(n_7895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995818 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[36] [4]),
+	.A2_N(n_7089),
+	.B1(FE_DBTN125_n_84427),
+	.B2(n_7112),
+	.Y(n_7894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995819 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[36] [5]),
+	.A2_N(n_7089),
+	.B1(FE_DBTN126_n_70008),
+	.B2(n_7112),
+	.Y(n_7893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995820 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[36] [6]),
+	.A2_N(n_7089),
+	.B1(FE_DBTN118_n_84455),
+	.B2(n_7112),
+	.Y(n_7892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995821 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[36] [7]),
+	.A2_N(n_7089),
+	.B1(FE_DBTN40_n_84431),
+	.B2(n_7112),
+	.Y(n_7891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995822 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[36] [8]),
+	.A2_N(n_7089),
+	.B1(FE_DBTN41_n_70004),
+	.B2(n_7112),
+	.Y(n_7890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995823 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[37] [1]),
+	.A2_N(n_7089),
+	.B1(FE_DBTN3_n_93122),
+	.B2(n_7112),
+	.Y(n_7889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995824 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[37] [2]),
+	.A2_N(n_7089),
+	.B1(n_68020),
+	.B2(n_7112),
+	.Y(n_7888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995825 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[37] [3]),
+	.A2_N(n_7089),
+	.B1(n_576),
+	.B2(n_7112),
+	.Y(n_7887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995826 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[37] [4]),
+	.A2_N(n_7089),
+	.B1(n_6),
+	.B2(n_7112),
+	.Y(n_7886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995827 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[37] [5]),
+	.A2_N(n_7089),
+	.B1(FE_DBTN124_n_74612),
+	.B2(n_7112),
+	.Y(n_7885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995828 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[37] [6]),
+	.A2_N(n_7089),
+	.B1(FE_DBTN117_n_72176),
+	.B2(n_7112),
+	.Y(n_7884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995829 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[37] [7]),
+	.A2_N(n_7089),
+	.B1(FE_DBTN162_soc_top_xbar_to_timer_a_data_14),
+	.B2(n_7112),
+	.Y(n_7883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995830 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[37] [8]),
+	.A2_N(n_7089),
+	.B1(n_68065),
+	.B2(n_7112),
+	.Y(n_7882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995831 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[38] [1]),
+	.A2_N(n_7089),
+	.B1(FE_DBTN2_n_93141),
+	.B2(n_7112),
+	.Y(n_7881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995832 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[38] [2]),
+	.A2_N(n_7089),
+	.B1(FE_DBTN116_n_72527),
+	.B2(n_7112),
+	.Y(n_7880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995833 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[38] [3]),
+	.A2_N(n_7089),
+	.B1(n_581),
+	.B2(n_7112),
+	.Y(n_7879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995834 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[38] [4]),
+	.A2_N(n_7089),
+	.B1(n_92650),
+	.B2(n_7112),
+	.Y(n_7878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995835 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[38] [5]),
+	.A2_N(n_7089),
+	.B1(n_68213),
+	.B2(n_7112),
+	.Y(n_7877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995836 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[38] [6]),
+	.A2_N(n_7089),
+	.B1(FE_DBTN163_soc_top_xbar_to_timer_a_data_21),
+	.B2(n_7112),
+	.Y(n_7876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995837 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[38] [7]),
+	.A2_N(n_7089),
+	.B1(FE_DBTN38_n_72887),
+	.B2(n_7112),
+	.Y(n_7875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995838 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[38] [8]),
+	.A2_N(n_7089),
+	.B1(n_68170),
+	.B2(n_7112),
+	.Y(n_7874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995839 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[39] [1]),
+	.A2_N(n_7089),
+	.B1(n_68317),
+	.B2(n_7112),
+	.Y(n_7873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995840 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[39] [2]),
+	.A2_N(n_7089),
+	.B1(FE_DBTN39_n_68259),
+	.B2(n_7112),
+	.Y(n_7872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995841 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[39] [3]),
+	.A2_N(n_7089),
+	.B1(FE_DBTN37_n_68466),
+	.B2(n_7112),
+	.Y(n_7871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995842 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[39] [4]),
+	.A2_N(n_7089),
+	.B1(FE_DBTN164_soc_top_xbar_to_timer_a_data_27),
+	.B2(n_7112),
+	.Y(n_7870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995843 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[39] [5]),
+	.A2_N(n_7089),
+	.B1(n_68216),
+	.B2(n_7112),
+	.Y(n_7869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995844 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[39] [6]),
+	.A2_N(n_7089),
+	.B1(n_586),
+	.B2(n_7112),
+	.Y(n_7868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995845 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[39] [7]),
+	.A2_N(n_7089),
+	.B1(n_18),
+	.B2(n_7112),
+	.Y(n_7867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995846 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[39] [8]),
+	.A2_N(n_7089),
+	.B1(n_68266),
+	.B2(n_7112),
+	.Y(n_7866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995847 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[40] [2]),
+	.A2_N(n_7124),
+	.B1(n_1),
+	.B2(n_7090),
+	.Y(n_7865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995848 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[40] [6]),
+	.A2_N(n_7124),
+	.B1(FE_DBTN118_n_84455),
+	.B2(n_7090),
+	.Y(n_7864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995849 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[41] [2]),
+	.A2_N(n_7124),
+	.B1(n_68020),
+	.B2(n_7090),
+	.Y(n_7863), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995850 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[43] [2]),
+	.A2_N(n_7124),
+	.B1(FE_DBTN39_n_68259),
+	.B2(n_7090),
+	.Y(n_7862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995851 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[43] [6]),
+	.A2_N(n_7124),
+	.B1(n_586),
+	.B2(n_7090),
+	.Y(n_7861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995852 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[44] [1]),
+	.A2_N(n_7120),
+	.B1(FE_DBTN120_n_84419),
+	.B2(n_7116),
+	.Y(n_7860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995853 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[44] [2]),
+	.A2_N(n_7120),
+	.B1(n_1),
+	.B2(n_7116),
+	.Y(n_7859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995854 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[44] [4]),
+	.A2_N(n_7120),
+	.B1(FE_DBTN125_n_84427),
+	.B2(n_7116),
+	.Y(n_7858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995855 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[44] [6]),
+	.A2_N(n_7120),
+	.B1(FE_DBTN118_n_84455),
+	.B2(n_7116),
+	.Y(n_7857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995856 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[44] [7]),
+	.A2_N(n_7120),
+	.B1(FE_DBTN40_n_84431),
+	.B2(n_7116),
+	.Y(n_7856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995857 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[44] [8]),
+	.A2_N(n_7120),
+	.B1(FE_DBTN41_n_70004),
+	.B2(n_7116),
+	.Y(n_7855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995858 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[45] [1]),
+	.A2_N(n_7120),
+	.B1(FE_DBTN3_n_93122),
+	.B2(n_7116),
+	.Y(n_7854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995859 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[45] [2]),
+	.A2_N(n_7120),
+	.B1(n_68020),
+	.B2(n_7116),
+	.Y(n_7853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995860 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[45] [3]),
+	.A2_N(n_7120),
+	.B1(n_576),
+	.B2(n_7116),
+	.Y(n_7852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995861 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[45] [4]),
+	.A2_N(n_7120),
+	.B1(n_6),
+	.B2(n_7116),
+	.Y(n_7851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995862 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[45] [6]),
+	.A2_N(n_7120),
+	.B1(FE_DBTN117_n_72176),
+	.B2(n_7116),
+	.Y(n_7850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995863 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[45] [8]),
+	.A2_N(n_7120),
+	.B1(n_68065),
+	.B2(n_7116),
+	.Y(n_7849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995864 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[46] [1]),
+	.A2_N(n_7120),
+	.B1(FE_DBTN2_n_93141),
+	.B2(n_7116),
+	.Y(n_7848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995865 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[46] [2]),
+	.A2_N(n_7120),
+	.B1(FE_DBTN116_n_72527),
+	.B2(n_7116),
+	.Y(n_7847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995866 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[46] [4]),
+	.A2_N(n_7120),
+	.B1(n_92650),
+	.B2(n_7116),
+	.Y(n_7846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995867 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[46] [6]),
+	.A2_N(n_7120),
+	.B1(FE_DBTN163_soc_top_xbar_to_timer_a_data_21),
+	.B2(n_7116),
+	.Y(n_7845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995868 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[46] [8]),
+	.A2_N(n_7120),
+	.B1(n_68170),
+	.B2(n_7116),
+	.Y(n_7844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995869 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[47] [1]),
+	.A2_N(n_7120),
+	.B1(n_68317),
+	.B2(n_7116),
+	.Y(n_7843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995870 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[47] [2]),
+	.A2_N(n_7120),
+	.B1(FE_DBTN39_n_68259),
+	.B2(n_7116),
+	.Y(n_7842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995871 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[47] [4]),
+	.A2_N(n_7120),
+	.B1(FE_DBTN164_soc_top_xbar_to_timer_a_data_27),
+	.B2(n_7116),
+	.Y(n_7841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995872 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[47] [6]),
+	.A2_N(n_7120),
+	.B1(n_586),
+	.B2(n_7116),
+	.Y(n_7840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995873 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[47] [8]),
+	.A2_N(n_7120),
+	.B1(n_68266),
+	.B2(n_7116),
+	.Y(n_7839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995874 (
+	.A1(n_7092),
+	.A2(n_75549),
+	.B1(n_7093),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[48] [2]),
+	.X(n_7838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995875 (
+	.A1(n_7092),
+	.A2(n_84455),
+	.B1(n_7093),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[48] [6]),
+	.X(n_7837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995876 (
+	.A1(n_7092),
+	.A2(n_84431),
+	.B1(n_7093),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[48] [7]),
+	.X(n_7836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995877 (
+	.A1(n_7092),
+	.A2(n_72528),
+	.B1(n_7093),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[49] [2]),
+	.X(n_7835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995878 (
+	.A1(n_7092),
+	.A2(n_72176),
+	.B1(n_7093),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[49] [6]),
+	.X(n_7834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995879 (
+	.A1(n_7092),
+	.A2(n_72527),
+	.B1(n_7093),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[50] [2]),
+	.X(n_7833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995880 (
+	.A1(n_7092),
+	.A2(\soc_top_xbar_to_timer[a_data] [21]),
+	.B1(n_7093),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[50] [6]),
+	.X(n_7832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995881 (
+	.A1(n_7092),
+	.A2(n_72887),
+	.B1(n_7093),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[50] [7]),
+	.X(n_7831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995882 (
+	.A1(n_7092),
+	.A2(n_68259),
+	.B1(n_7093),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[51] [2]),
+	.X(n_7830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995883 (
+	.A1(n_7092),
+	.A2(\soc_top_xbar_to_timer[a_data] [29]),
+	.B1(n_7093),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[51] [6]),
+	.X(n_7829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995884 (
+	.A1(n_7091),
+	.A2(n_84419),
+	.B1(n_7127),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[52] [1]),
+	.X(n_7828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995885 (
+	.A1(n_7091),
+	.A2(n_75549),
+	.B1(n_7127),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[52] [2]),
+	.X(n_7827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995886 (
+	.A1(n_7091),
+	.A2(n_87578),
+	.B1(n_7127),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[52] [3]),
+	.X(n_7826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995887 (
+	.A1(n_7091),
+	.A2(n_84427),
+	.B1(n_7127),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[52] [4]),
+	.X(n_7825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995888 (
+	.A1(n_7091),
+	.A2(n_70008),
+	.B1(n_7127),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[52] [5]),
+	.X(n_7824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995889 (
+	.A1(n_7091),
+	.A2(n_84455),
+	.B1(n_7127),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[52] [6]),
+	.X(n_7823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995890 (
+	.A1(n_7091),
+	.A2(n_84431),
+	.B1(n_7127),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[52] [7]),
+	.X(n_7822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995891 (
+	.A1(n_7091),
+	.A2(n_70004),
+	.B1(n_7127),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[52] [8]),
+	.X(n_7821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995893 (
+	.A1(n_7091),
+	.A2(n_72528),
+	.B1(n_7127),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[53] [2]),
+	.X(n_7819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995894 (
+	.A1(n_7091),
+	.A2(n_70064),
+	.B1(n_7127),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[53] [3]),
+	.X(n_7818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995895 (
+	.A1(n_7091),
+	.A2(n_69280),
+	.B1(n_7127),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[53] [4]),
+	.X(n_7817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995896 (
+	.A1(n_7091),
+	.A2(n_74612),
+	.B1(n_7127),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[53] [5]),
+	.X(n_7816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995897 (
+	.A1(n_7091),
+	.A2(n_72176),
+	.B1(n_7127),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[53] [6]),
+	.X(n_7815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995898 (
+	.A1(n_7091),
+	.A2(\soc_top_xbar_to_timer[a_data] [14]),
+	.B1(n_7127),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[53] [7]),
+	.X(n_7814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995899 (
+	.A1(n_7091),
+	.A2(n_70012),
+	.B1(n_7127),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[53] [8]),
+	.X(n_7813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995901 (
+	.A1(n_7091),
+	.A2(n_72527),
+	.B1(n_7127),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[54] [2]),
+	.X(n_7811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995902 (
+	.A1(n_7091),
+	.A2(n_72578),
+	.B1(n_7127),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[54] [3]),
+	.X(n_7810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995903 (
+	.A1(n_7091),
+	.A2(n_84182),
+	.B1(n_7127),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[54] [4]),
+	.X(n_7809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995904 (
+	.A1(n_7091),
+	.A2(\soc_top_xbar_to_timer[a_data] [20]),
+	.B1(n_7127),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[54] [5]),
+	.X(n_7808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995905 (
+	.A1(n_7091),
+	.A2(\soc_top_xbar_to_timer[a_data] [21]),
+	.B1(n_7127),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[54] [6]),
+	.X(n_7807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995906 (
+	.A1(n_7091),
+	.A2(n_72887),
+	.B1(n_7127),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[54] [7]),
+	.X(n_7806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995907 (
+	.A1(n_7091),
+	.A2(n_74743),
+	.B1(n_7127),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[54] [8]),
+	.X(n_7805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995908 (
+	.A1(n_7091),
+	.A2(n_68334),
+	.B1(n_7127),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[55] [1]),
+	.X(n_7804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995909 (
+	.A1(n_7091),
+	.A2(n_68259),
+	.B1(n_7127),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[55] [2]),
+	.X(n_7803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995911 (
+	.A1(n_7091),
+	.A2(\soc_top_xbar_to_timer[a_data] [27]),
+	.B1(n_7127),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[55] [4]),
+	.X(n_7801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995912 (
+	.A1(n_7091),
+	.A2(\soc_top_xbar_to_timer[a_data] [28]),
+	.B1(n_7127),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[55] [5]),
+	.X(n_7800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995913 (
+	.A1(n_7091),
+	.A2(\soc_top_xbar_to_timer[a_data] [29]),
+	.B1(n_7127),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[55] [6]),
+	.X(n_7799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995914 (
+	.A1(n_7091),
+	.A2(\soc_top_xbar_to_timer[a_data] [30]),
+	.B1(n_7127),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[55] [7]),
+	.X(n_7798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995915 (
+	.A1(n_7091),
+	.A2(n_68268),
+	.B1(n_7127),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[55] [8]),
+	.X(n_7797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995916 (
+	.A1(n_7097),
+	.A2(n_87578),
+	.B1(n_7099),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[56] [3]),
+	.X(n_7796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995917 (
+	.A1(n_7097),
+	.A2(n_84431),
+	.B1(n_7099),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[56] [7]),
+	.X(n_7795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995918 (
+	.A1(n_7097),
+	.A2(n_70064),
+	.B1(n_7099),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[57] [3]),
+	.X(n_7794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995919 (
+	.A1(n_7097),
+	.A2(n_72578),
+	.B1(n_7099),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[58] [3]),
+	.X(n_7793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995921 (
+	.A1(n_7097),
+	.A2(\soc_top_xbar_to_timer[a_data] [30]),
+	.B1(n_7099),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[59] [7]),
+	.X(n_7791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995922 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[60] [1]),
+	.A2_N(n_7125),
+	.B1(FE_DBTN120_n_84419),
+	.B2(n_7106),
+	.Y(n_7790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995923 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[60] [2]),
+	.A2_N(n_7125),
+	.B1(n_1),
+	.B2(n_7106),
+	.Y(n_7789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995924 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[60] [3]),
+	.A2_N(n_7125),
+	.B1(FE_DBTN119_n_87578),
+	.B2(n_7106),
+	.Y(n_7788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995925 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[60] [5]),
+	.A2_N(n_7125),
+	.B1(FE_DBTN126_n_70008),
+	.B2(n_7106),
+	.Y(n_7787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995926 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[60] [7]),
+	.A2_N(n_7125),
+	.B1(FE_DBTN40_n_84431),
+	.B2(n_7106),
+	.Y(n_7786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995927 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[61] [1]),
+	.A2_N(n_7125),
+	.B1(FE_DBTN3_n_93122),
+	.B2(n_7106),
+	.Y(n_7785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995928 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[61] [2]),
+	.A2_N(n_7125),
+	.B1(n_68020),
+	.B2(n_7106),
+	.Y(n_7784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995929 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[61] [3]),
+	.A2_N(n_7125),
+	.B1(n_576),
+	.B2(n_7106),
+	.Y(n_7783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995930 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[61] [5]),
+	.A2_N(n_7125),
+	.B1(FE_DBTN124_n_74612),
+	.B2(n_7106),
+	.Y(n_7782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995931 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[61] [7]),
+	.A2_N(n_7125),
+	.B1(FE_DBTN162_soc_top_xbar_to_timer_a_data_14),
+	.B2(n_7106),
+	.Y(n_7781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995932 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[62] [1]),
+	.A2_N(n_7125),
+	.B1(FE_DBTN2_n_93141),
+	.B2(n_7106),
+	.Y(n_7780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995933 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[62] [2]),
+	.A2_N(n_7125),
+	.B1(FE_DBTN116_n_72527),
+	.B2(n_7106),
+	.Y(n_7779), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995934 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[62] [3]),
+	.A2_N(n_7125),
+	.B1(n_581),
+	.B2(n_7106),
+	.Y(n_7778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995935 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[62] [5]),
+	.A2_N(n_7125),
+	.B1(n_68213),
+	.B2(n_7106),
+	.Y(n_7777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995936 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[62] [7]),
+	.A2_N(n_7125),
+	.B1(FE_DBTN38_n_72887),
+	.B2(n_7106),
+	.Y(n_7776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995937 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[63] [1]),
+	.A2_N(n_7125),
+	.B1(n_68317),
+	.B2(n_7106),
+	.Y(n_7775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995938 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[63] [2]),
+	.A2_N(n_7125),
+	.B1(FE_DBTN39_n_68259),
+	.B2(n_7106),
+	.Y(n_7774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995939 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[63] [3]),
+	.A2_N(n_7125),
+	.B1(FE_DBTN37_n_68466),
+	.B2(n_7106),
+	.Y(n_7773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995940 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[63] [5]),
+	.A2_N(n_7125),
+	.B1(n_68216),
+	.B2(n_7106),
+	.Y(n_7772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995941 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[63] [7]),
+	.A2_N(n_7125),
+	.B1(n_18),
+	.B2(n_7106),
+	.Y(n_7771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995942 (
+	.A1(n_7103),
+	.A2(\soc_top_xbar_to_timer[a_data] [14]),
+	.B1(n_7121),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[21] [7]),
+	.X(n_7770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995943 (
+	.A1(n_7088),
+	.A2(n_70064),
+	.B1(n_7108),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[25] [3]),
+	.X(n_7769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g995944 (
+	.A1(n_7084),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [11]),
+	.B1(n_7113),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [12]),
+	.Y(n_7768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g995945 (
+	.A1(n_4091),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][6][q] ),
+	.B1(n_2131),
+	.B2(\soc_top_plic_resp[d_data] [6]),
+	.C1(n_7079),
+	.X(n_7767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g995946 (
+	.A1(n_4091),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][7][q] ),
+	.B1(n_2131),
+	.B2(\soc_top_plic_resp[d_data] [7]),
+	.C1(n_7079),
+	.X(n_7766), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g995947 (
+	.A1(n_4091),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][8][q] ),
+	.B1(n_2131),
+	.B2(\soc_top_plic_resp[d_data] [8]),
+	.C1(n_7079),
+	.X(n_7765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g995948 (
+	.A1(n_4091),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][9][q] ),
+	.B1(n_2131),
+	.B2(\soc_top_plic_resp[d_data] [9]),
+	.C1(n_7079),
+	.X(n_7764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g995949 (
+	.A1(n_4091),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][10][q] ),
+	.B1(n_2131),
+	.B2(\soc_top_plic_resp[d_data] [10]),
+	.C1(n_7079),
+	.X(n_7763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g995950 (
+	.A1(n_4091),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][11][q] ),
+	.B1(n_2131),
+	.B2(\soc_top_plic_resp[d_data] [11]),
+	.C1(n_7079),
+	.X(n_7762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g995951 (
+	.A1(n_4091),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][12][q] ),
+	.B1(n_2131),
+	.B2(\soc_top_plic_resp[d_data] [12]),
+	.C1(n_7079),
+	.X(n_7761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g995952 (
+	.A1(n_4091),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][13][q] ),
+	.B1(n_2131),
+	.B2(\soc_top_plic_resp[d_data] [13]),
+	.C1(n_7079),
+	.X(n_7760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g995953 (
+	.A1(n_4091),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][14][q] ),
+	.B1(n_2131),
+	.B2(\soc_top_plic_resp[d_data] [14]),
+	.C1(n_7079),
+	.X(n_7759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g995954 (
+	.A1(n_4091),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][15][q] ),
+	.B1(n_2131),
+	.B2(\soc_top_plic_resp[d_data] [15]),
+	.C1(n_7079),
+	.X(n_7758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g995955 (
+	.A1(n_4091),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][16][q] ),
+	.B1(n_2131),
+	.B2(\soc_top_plic_resp[d_data] [16]),
+	.C1(n_7079),
+	.X(n_7757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g995956 (
+	.A1(n_4091),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][17][q] ),
+	.B1(n_2131),
+	.B2(\soc_top_plic_resp[d_data] [17]),
+	.C1(n_7079),
+	.X(n_7756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g995957 (
+	.A1(n_4091),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][18][q] ),
+	.B1(n_2131),
+	.B2(\soc_top_plic_resp[d_data] [18]),
+	.C1(n_7079),
+	.X(n_7755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g995958 (
+	.A1(n_4091),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][19][q] ),
+	.B1(n_2131),
+	.B2(\soc_top_plic_resp[d_data] [19]),
+	.C1(n_7079),
+	.X(n_7754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g995959 (
+	.A1(n_4091),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][20][q] ),
+	.B1(n_2131),
+	.B2(\soc_top_plic_resp[d_data] [20]),
+	.C1(n_7079),
+	.X(n_7753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g995960 (
+	.A1(n_4091),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][21][q] ),
+	.B1(n_2131),
+	.B2(\soc_top_plic_resp[d_data] [21]),
+	.C1(n_7079),
+	.X(n_7752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g995961 (
+	.A1(n_4091),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][22][q] ),
+	.B1(n_2131),
+	.B2(\soc_top_plic_resp[d_data] [22]),
+	.C1(n_7079),
+	.X(n_7751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g995962 (
+	.A1(n_4091),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][24][q] ),
+	.B1(n_2131),
+	.B2(\soc_top_plic_resp[d_data] [24]),
+	.C1(n_7079),
+	.X(n_7750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g995963 (
+	.A1(n_4091),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][25][q] ),
+	.B1(n_2131),
+	.B2(\soc_top_plic_resp[d_data] [25]),
+	.C1(n_7079),
+	.X(n_7749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g995964 (
+	.A1(n_4091),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][23][q] ),
+	.B1(n_2131),
+	.B2(\soc_top_plic_resp[d_data] [23]),
+	.C1(n_7079),
+	.X(n_7748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g995965 (
+	.A1(n_4091),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][26][q] ),
+	.B1(n_2131),
+	.B2(\soc_top_plic_resp[d_data] [26]),
+	.C1(n_7079),
+	.X(n_7747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g995966 (
+	.A1(n_4091),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][27][q] ),
+	.B1(n_2131),
+	.B2(\soc_top_plic_resp[d_data] [27]),
+	.C1(n_7079),
+	.X(n_7746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g995967 (
+	.A1(n_4091),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][28][q] ),
+	.B1(n_2131),
+	.B2(\soc_top_plic_resp[d_data] [28]),
+	.C1(n_7079),
+	.X(n_7745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g995968 (
+	.A1(n_4091),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][29][q] ),
+	.B1(n_2131),
+	.B2(\soc_top_plic_resp[d_data] [29]),
+	.C1(n_7079),
+	.X(n_7744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g995969 (
+	.A1(n_4091),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][30][q] ),
+	.B1(n_2131),
+	.B2(\soc_top_plic_resp[d_data] [30]),
+	.C1(n_7079),
+	.X(n_7743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g995970 (
+	.A1(n_4091),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][31][q] ),
+	.B1(n_2131),
+	.B2(\soc_top_plic_resp[d_data] [31]),
+	.C1(n_7079),
+	.X(n_7742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995971 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[6] [5]),
+	.A2_N(n_7107),
+	.B1(n_68213),
+	.B2(n_7100),
+	.Y(n_7741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995972 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[15] [2]),
+	.A2_N(n_7117),
+	.B1(FE_DBTN39_n_68259),
+	.B2(n_7085),
+	.Y(n_7740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995973 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[9] [7]),
+	.A2_N(n_7109),
+	.B1(FE_DBTN162_soc_top_xbar_to_timer_a_data_14),
+	.B2(n_7102),
+	.Y(n_7739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995974 (
+	.A1(n_7104),
+	.A2(\soc_top_xbar_to_timer[a_data] [20]),
+	.B1(n_7126),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[18] [5]),
+	.X(n_7738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995975 (
+	.A1(n_7103),
+	.A2(\soc_top_xbar_to_timer[a_data] [28]),
+	.B1(n_7121),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[23] [5]),
+	.X(n_7737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995976 (
+	.A1(n_7103),
+	.A2(n_72176),
+	.B1(n_7121),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[21] [6]),
+	.X(n_7736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995977 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[34] [7]),
+	.A2_N(n_7101),
+	.B1(FE_DBTN38_n_72887),
+	.B2(n_7105),
+	.Y(n_7735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995978 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[33] [3]),
+	.A2_N(n_7101),
+	.B1(n_576),
+	.B2(n_7105),
+	.Y(n_7734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995979 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[36] [1]),
+	.A2_N(n_7089),
+	.B1(FE_DBTN120_n_84419),
+	.B2(n_7112),
+	.Y(n_7733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995980 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[41] [8]),
+	.A2_N(n_7124),
+	.B1(n_68065),
+	.B2(n_7090),
+	.Y(n_7732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995981 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[41] [3]),
+	.A2_N(n_7124),
+	.B1(n_576),
+	.B2(n_7090),
+	.Y(n_7731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995982 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[42] [3]),
+	.A2_N(n_7124),
+	.B1(n_581),
+	.B2(n_7090),
+	.Y(n_7730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995983 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[43] [7]),
+	.A2_N(n_7124),
+	.B1(n_18),
+	.B2(n_7090),
+	.Y(n_7729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g995984 (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[44] [3]),
+	.A2_N(n_7120),
+	.B1(FE_DBTN119_n_87578),
+	.B2(n_7116),
+	.Y(n_7728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995985 (
+	.A1(n_7103),
+	.A2(n_74612),
+	.B1(n_7121),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[21] [5]),
+	.X(n_7727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995986 (
+	.A1(n_7092),
+	.A2(n_70008),
+	.B1(n_7093),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[48] [5]),
+	.X(n_7726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995987 (
+	.A1(n_7092),
+	.A2(n_74612),
+	.B1(n_7093),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[49] [5]),
+	.X(n_7725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g995988 (
+	.A1(n_7092),
+	.A2(n_72578),
+	.B1(n_7093),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[50] [3]),
+	.X(n_7724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g995989 (
+	.A(n_771),
+	.B(n_4300),
+	.C(soc_top_u_pwm_pwm_core_period[3]),
+	.X(n_7723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g995990 (
+	.A(n_73805),
+	.B(n_7164),
+	.X(n_7722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g995991 (
+	.A(soc_top_u_uart_u_uart_core_write_fifo_waddr[3]),
+	.B(n_7036),
+	.Y(n_7721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g995992 (
+	.A(soc_top_u_uart_u_uart_core_rx_buffer_size[4]),
+	.B(n_4085),
+	.COUT(n_7719),
+	.SUM(n_7720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g995993 (
+	.A(soc_top_u_uart_u_uart_core_fifo_read_size[1]),
+	.B(n_4083),
+	.COUT(n_7717),
+	.SUM(n_7718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g995994 (
+	.A(soc_top_u_uart_u_uart_core_write_fifo_waddr[5]),
+	.B(n_3596),
+	.COUT(n_7715),
+	.SUM(n_7716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g995995 (
+	.A(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B(n_3965),
+	.COUT(n_7713),
+	.SUM(n_7714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g995996 (
+	.A(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(n_4000),
+	.COUT(n_7711),
+	.SUM(n_7712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g997270 (
+	.A(n_7697),
+	.Y(n_7698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g997271 (
+	.A(n_7692),
+	.Y(n_7693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g997272 (
+	.A(n_7675),
+	.Y(n_7676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g997273 (
+	.A(n_7672),
+	.Y(n_7673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g997274 (
+	.A(n_7670),
+	.Y(n_7669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g997275 (
+	.A(n_7668),
+	.Y(n_7667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g997277 (
+	.A(n_7656),
+	.Y(n_7655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g997278 (
+	.A(n_7653),
+	.Y(n_7654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g997279 (
+	.A(n_7648),
+	.Y(n_7649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g997282 (
+	.A(n_36323),
+	.Y(n_7632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g997283 (
+	.A(n_35320),
+	.Y(n_7629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g997284 (
+	.A(n_7623),
+	.Y(n_7622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g997287 (
+	.A(n_35319),
+	.Y(n_7615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g997288 (
+	.A(n_73920),
+	.B(n_4591),
+	.Y(n_7581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g997290 (
+	.A(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B(n_4642),
+	.Y(n_7579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g997291 (
+	.A(n_70436),
+	.B(n_4573),
+	.X(n_7578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g997292 (
+	.A0(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_branch_discard_q[1]),
+	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_branch_discard_q[0]),
+	.S(n_4712),
+	.Y(n_7577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g997293 (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [57]),
+	.A2_N(n_4509),
+	.B1(n_4509),
+	.B2(n_76901),
+	.Y(n_7576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997294 (
+	.A1(n_4669),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[26]),
+	.B1(n_4671),
+	.B2(soc_top_u_top_u_core_csr_mepc[26]),
+	.X(n_7575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997295 (
+	.A1(n_1503),
+	.A2(n_4687),
+	.B1(n_4628),
+	.B2(\soc_top_intr_controller_reg2hw[threshold0][q] [1]),
+	.X(n_7574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997296 (
+	.A1(n_1503),
+	.A2(n_4686),
+	.B1(n_4628),
+	.B2(\soc_top_intr_controller_reg2hw[threshold0][q] [0]),
+	.X(n_7573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997297 (
+	.A1(n_2156),
+	.A2(n_4687),
+	.B1(n_4768),
+	.B2(\soc_top_intr_controller_reg2hw[prio35][q] [1]),
+	.X(n_7572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997298 (
+	.A1(n_2156),
+	.A2(n_4686),
+	.B1(n_4768),
+	.B2(\soc_top_intr_controller_reg2hw[prio35][q] [0]),
+	.X(n_7571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997299 (
+	.A1(n_2150),
+	.A2(n_4687),
+	.B1(n_4773),
+	.B2(\soc_top_intr_controller_reg2hw[prio34][q] [1]),
+	.X(n_7570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997300 (
+	.A1(n_2150),
+	.A2(n_4686),
+	.B1(n_4773),
+	.B2(\soc_top_intr_controller_reg2hw[prio34][q] [0]),
+	.X(n_7569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g997301 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio33][q] [1]),
+	.A2_N(n_4607),
+	.B1(n_4688),
+	.B2(n_2158),
+	.Y(n_7568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g997302 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio33][q] [0]),
+	.A2_N(n_4607),
+	.B1(n_4685),
+	.B2(n_2158),
+	.Y(n_7567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997303 (
+	.A1(n_2152),
+	.A2(n_4687),
+	.B1(n_4772),
+	.B2(\soc_top_intr_controller_reg2hw[prio32][q] [1]),
+	.X(n_7566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997304 (
+	.A1(n_2152),
+	.A2(n_4686),
+	.B1(n_4772),
+	.B2(\soc_top_intr_controller_reg2hw[prio32][q] [0]),
+	.X(n_7565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997305 (
+	.A1(n_1248),
+	.A2(n_4687),
+	.B1(n_4769),
+	.B2(\soc_top_intr_controller_reg2hw[prio31][q] [1]),
+	.X(n_7564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997306 (
+	.A1(n_1505),
+	.A2(n_4687),
+	.B1(n_4767),
+	.B2(\soc_top_intr_controller_reg2hw[prio28][q] [1]),
+	.X(n_7563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997307 (
+	.A1(n_1248),
+	.A2(n_4686),
+	.B1(n_4769),
+	.B2(\soc_top_intr_controller_reg2hw[prio31][q] [0]),
+	.X(n_7562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g997308 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio30][q] [1]),
+	.A2_N(n_4610),
+	.B1(n_4688),
+	.B2(n_2159),
+	.Y(n_7561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g997309 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio30][q] [0]),
+	.A2_N(n_4610),
+	.B1(n_4685),
+	.B2(n_2159),
+	.Y(n_7560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g997310 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio29][q] [0]),
+	.A2_N(n_4619),
+	.B1(n_4685),
+	.B2(n_2999),
+	.Y(n_7559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g997311 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio29][q] [1]),
+	.A2_N(n_4619),
+	.B1(n_4688),
+	.B2(n_2999),
+	.Y(n_7558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997312 (
+	.A1(n_1505),
+	.A2(n_4686),
+	.B1(n_4767),
+	.B2(\soc_top_intr_controller_reg2hw[prio28][q] [0]),
+	.X(n_7557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997313 (
+	.A1(n_2168),
+	.A2(n_4677),
+	.B1(n_4763),
+	.B2(\soc_top_intr_controller_reg2hw[prio27][q] [1]),
+	.X(n_7556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997314 (
+	.A1(n_2168),
+	.A2(n_4672),
+	.B1(n_4763),
+	.B2(\soc_top_intr_controller_reg2hw[prio27][q] [0]),
+	.X(n_7555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997315 (
+	.A1(n_2171),
+	.A2(n_4677),
+	.B1(n_4762),
+	.B2(\soc_top_intr_controller_reg2hw[prio26][q] [1]),
+	.X(n_7554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997316 (
+	.A1(n_2171),
+	.A2(n_4672),
+	.B1(n_4762),
+	.B2(\soc_top_intr_controller_reg2hw[prio26][q] [0]),
+	.X(n_7553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g997317 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio25][q] [1]),
+	.A2_N(n_4611),
+	.B1(n_4678),
+	.B2(n_2166),
+	.Y(n_7552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g997318 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio25][q] [0]),
+	.A2_N(n_4611),
+	.B1(n_4673),
+	.B2(n_2166),
+	.Y(n_7551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997319 (
+	.A1(n_2163),
+	.A2(n_4677),
+	.B1(n_4765),
+	.B2(\soc_top_intr_controller_reg2hw[prio24][q] [1]),
+	.X(n_7550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997320 (
+	.A1(n_2163),
+	.A2(n_4672),
+	.B1(n_4765),
+	.B2(\soc_top_intr_controller_reg2hw[prio24][q] [0]),
+	.X(n_7549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997321 (
+	.A1(n_1503),
+	.A2(n_4677),
+	.B1(n_4625),
+	.B2(\soc_top_intr_controller_reg2hw[prio23][q] [1]),
+	.X(n_7548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997322 (
+	.A1(n_1503),
+	.A2(n_4672),
+	.B1(n_4625),
+	.B2(\soc_top_intr_controller_reg2hw[prio23][q] [0]),
+	.X(n_7547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g997323 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio22][q] [1]),
+	.A2_N(n_4621),
+	.B1(n_4678),
+	.B2(n_2161),
+	.Y(n_7546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g997324 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio22][q] [0]),
+	.A2_N(n_4621),
+	.B1(n_4673),
+	.B2(n_2161),
+	.Y(n_7545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g997325 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio21][q] [1]),
+	.A2_N(n_4606),
+	.B1(n_4678),
+	.B2(n_3003),
+	.Y(n_7544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g997326 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio21][q] [0]),
+	.A2_N(n_4606),
+	.B1(n_4673),
+	.B2(n_3003),
+	.Y(n_7543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997327 (
+	.A1(n_1511),
+	.A2(n_4677),
+	.B1(n_4631),
+	.B2(\soc_top_intr_controller_reg2hw[prio20][q] [1]),
+	.X(n_7542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997328 (
+	.A1(n_1511),
+	.A2(n_4672),
+	.B1(n_4631),
+	.B2(\soc_top_intr_controller_reg2hw[prio20][q] [0]),
+	.X(n_7541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997329 (
+	.A1(n_2156),
+	.A2(n_4677),
+	.B1(n_4776),
+	.B2(\soc_top_intr_controller_reg2hw[prio19][q] [1]),
+	.X(n_7540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997330 (
+	.A1(n_2156),
+	.A2(n_4672),
+	.B1(n_4776),
+	.B2(\soc_top_intr_controller_reg2hw[prio19][q] [0]),
+	.X(n_7539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g997331 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio17][q] [1]),
+	.A2_N(n_4604),
+	.B1(n_4678),
+	.B2(n_2158),
+	.Y(n_7538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997332 (
+	.A1(n_2150),
+	.A2(n_4677),
+	.B1(n_4632),
+	.B2(\soc_top_intr_controller_reg2hw[prio18][q] [1]),
+	.X(n_7537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997333 (
+	.A1(n_2150),
+	.A2(n_4672),
+	.B1(n_4632),
+	.B2(\soc_top_intr_controller_reg2hw[prio18][q] [0]),
+	.X(n_7536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g997334 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio17][q] [0]),
+	.A2_N(n_4604),
+	.B1(n_4673),
+	.B2(n_2158),
+	.Y(n_7535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997335 (
+	.A1(n_2152),
+	.A2(n_4677),
+	.B1(n_4627),
+	.B2(\soc_top_intr_controller_reg2hw[prio16][q] [1]),
+	.X(n_7534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997336 (
+	.A1(n_2152),
+	.A2(n_4672),
+	.B1(n_4627),
+	.B2(\soc_top_intr_controller_reg2hw[prio16][q] [0]),
+	.X(n_7533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997337 (
+	.A1(n_1248),
+	.A2(n_4677),
+	.B1(n_4777),
+	.B2(\soc_top_intr_controller_reg2hw[prio15][q] [1]),
+	.X(n_7532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997338 (
+	.A1(n_1248),
+	.A2(n_4672),
+	.B1(n_4777),
+	.B2(\soc_top_intr_controller_reg2hw[prio15][q] [0]),
+	.X(n_7531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g997339 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio14][q] [1]),
+	.A2_N(n_4605),
+	.B1(n_4678),
+	.B2(n_2159),
+	.Y(n_7530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g997340 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio14][q] [0]),
+	.A2_N(n_4605),
+	.B1(n_4673),
+	.B2(n_2159),
+	.Y(n_7529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g997341 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio13][q] [1]),
+	.A2_N(n_4616),
+	.B1(n_4678),
+	.B2(n_2999),
+	.Y(n_7528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g997342 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio13][q] [0]),
+	.A2_N(n_4616),
+	.B1(n_4673),
+	.B2(n_2999),
+	.Y(n_7527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997343 (
+	.A1(n_1505),
+	.A2(n_4677),
+	.B1(n_4775),
+	.B2(\soc_top_intr_controller_reg2hw[prio12][q] [1]),
+	.X(n_7526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997344 (
+	.A1(n_1505),
+	.A2(n_4672),
+	.B1(n_4775),
+	.B2(\soc_top_intr_controller_reg2hw[prio12][q] [0]),
+	.X(n_7525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997345 (
+	.A1(n_2168),
+	.A2(n_4681),
+	.B1(n_4761),
+	.B2(\soc_top_intr_controller_reg2hw[prio11][q] [1]),
+	.X(n_7524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997346 (
+	.A1(n_2168),
+	.A2(n_4683),
+	.B1(n_4761),
+	.B2(\soc_top_intr_controller_reg2hw[prio11][q] [0]),
+	.X(n_7523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997347 (
+	.A1(n_2171),
+	.A2(n_4681),
+	.B1(n_4760),
+	.B2(\soc_top_intr_controller_reg2hw[prio10][q] [1]),
+	.X(n_7522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997348 (
+	.A1(n_2171),
+	.A2(n_4683),
+	.B1(n_4760),
+	.B2(\soc_top_intr_controller_reg2hw[prio10][q] [0]),
+	.X(n_7521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g997349 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio9][q] [1]),
+	.A2_N(n_4618),
+	.B1(n_4682),
+	.B2(n_2166),
+	.Y(n_7520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g997350 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio9][q] [0]),
+	.A2_N(n_4618),
+	.B1(n_4684),
+	.B2(n_2166),
+	.Y(n_7519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997351 (
+	.A1(n_1503),
+	.A2(n_4681),
+	.B1(n_4629),
+	.B2(\soc_top_intr_controller_reg2hw[prio7][q] [1]),
+	.X(n_7518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997352 (
+	.A1(n_2163),
+	.A2(n_4681),
+	.B1(n_4764),
+	.B2(\soc_top_intr_controller_reg2hw[prio8][q] [1]),
+	.X(n_7517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997353 (
+	.A1(n_2163),
+	.A2(n_4683),
+	.B1(n_4764),
+	.B2(\soc_top_intr_controller_reg2hw[prio8][q] [0]),
+	.X(n_7516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997354 (
+	.A1(n_1503),
+	.A2(n_4683),
+	.B1(n_4629),
+	.B2(\soc_top_intr_controller_reg2hw[prio7][q] [0]),
+	.X(n_7515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g997355 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio6][q] [1]),
+	.A2_N(n_4624),
+	.B1(n_4682),
+	.B2(n_2161),
+	.Y(n_7514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g997356 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio6][q] [0]),
+	.A2_N(n_4624),
+	.B1(n_4684),
+	.B2(n_2161),
+	.Y(n_7513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g997357 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio5][q] [1]),
+	.A2_N(n_4613),
+	.B1(n_4682),
+	.B2(n_3003),
+	.Y(n_7512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g997358 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio5][q] [0]),
+	.A2_N(n_4613),
+	.B1(n_4684),
+	.B2(n_3003),
+	.Y(n_7511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997359 (
+	.A1(n_1511),
+	.A2(n_4681),
+	.B1(n_4774),
+	.B2(\soc_top_intr_controller_reg2hw[prio4][q] [1]),
+	.X(n_7510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997360 (
+	.A1(n_1511),
+	.A2(n_4683),
+	.B1(n_4774),
+	.B2(\soc_top_intr_controller_reg2hw[prio4][q] [0]),
+	.X(n_7509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997361 (
+	.A1(n_2156),
+	.A2(n_4681),
+	.B1(n_4766),
+	.B2(\soc_top_intr_controller_reg2hw[prio3][q] [1]),
+	.X(n_7508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997362 (
+	.A1(n_2152),
+	.A2(n_4681),
+	.B1(n_4770),
+	.B2(\soc_top_intr_controller_reg2hw[prio0][q] [1]),
+	.X(n_7507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997363 (
+	.A1(n_2156),
+	.A2(n_4683),
+	.B1(n_4766),
+	.B2(\soc_top_intr_controller_reg2hw[prio3][q] [0]),
+	.X(n_7506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997364 (
+	.A1(n_2150),
+	.A2(n_4681),
+	.B1(n_4771),
+	.B2(\soc_top_intr_controller_reg2hw[prio2][q] [1]),
+	.X(n_7505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997365 (
+	.A1(n_2150),
+	.A2(n_4683),
+	.B1(n_4771),
+	.B2(\soc_top_intr_controller_reg2hw[prio2][q] [0]),
+	.X(n_7504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g997366 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio1][q] [1]),
+	.A2_N(n_4614),
+	.B1(n_4682),
+	.B2(n_2158),
+	.Y(n_7503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g997367 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio1][q] [0]),
+	.A2_N(n_4614),
+	.B1(n_4684),
+	.B2(n_2158),
+	.Y(n_7502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997368 (
+	.A1(n_2152),
+	.A2(n_4683),
+	.B1(n_4770),
+	.B2(\soc_top_intr_controller_reg2hw[prio0][q] [0]),
+	.X(n_7501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g997369 (
+	.A1(n_4669),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[13]),
+	.B1(n_2146),
+	.B2(soc_top_u_top_u_core_csr_depc[13]),
+	.Y(n_7500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g997370 (
+	.A1(n_3537),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [47]),
+	.B1(n_4669),
+	.B2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[15]),
+	.Y(n_7499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g997371 (
+	.A1(n_3537),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [61]),
+	.B1(n_4553),
+	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[29]),
+	.Y(n_7498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g997372 (
+	.A1(n_4559),
+	.A2(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[13]),
+	.B1(n_4671),
+	.B2(soc_top_u_top_u_core_csr_mepc[29]),
+	.Y(n_7497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997373 (
+	.A1(n_4669),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[28]),
+	.B1(n_4671),
+	.B2(soc_top_u_top_u_core_csr_mepc[28]),
+	.X(n_7496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g997374 (
+	.A1(n_4553),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[28]),
+	.B1(n_2146),
+	.B2(soc_top_u_top_u_core_csr_depc[28]),
+	.Y(n_7495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g997375 (
+	.A1(n_4553),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[26]),
+	.B1(n_2146),
+	.B2(soc_top_u_top_u_core_csr_depc[26]),
+	.Y(n_7494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997376 (
+	.A1(n_4094),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [25]),
+	.B1(n_4558),
+	.B2(soc_top_u_top_u_core_csr_mtvec[25]),
+	.X(n_7493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g997377 (
+	.A1(n_3537),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [57]),
+	.B1(n_4552),
+	.B2(soc_top_u_top_u_core_cs_registers_i_mtval_q[25]),
+	.Y(n_7492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997378 (
+	.A1(n_4669),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[24]),
+	.B1(n_4671),
+	.B2(soc_top_u_top_u_core_csr_mepc[24]),
+	.X(n_7491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g997379 (
+	.A1(n_4553),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[24]),
+	.B1(n_2146),
+	.B2(soc_top_u_top_u_core_csr_depc[24]),
+	.Y(n_7490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997380 (
+	.A1(n_4669),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[23]),
+	.B1(n_4671),
+	.B2(soc_top_u_top_u_core_csr_mepc[23]),
+	.X(n_7489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g997381 (
+	.A1(n_4553),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[23]),
+	.B1(n_2146),
+	.B2(soc_top_u_top_u_core_csr_depc[23]),
+	.Y(n_7488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997382 (
+	.A1(n_4669),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[22]),
+	.B1(n_4671),
+	.B2(soc_top_u_top_u_core_csr_mepc[22]),
+	.X(n_7487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g997383 (
+	.A1(n_4553),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[22]),
+	.B1(n_2146),
+	.B2(soc_top_u_top_u_core_csr_depc[22]),
+	.Y(n_7486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g997384 (
+	.A1(n_4558),
+	.A2(soc_top_u_top_u_core_csr_mtvec[21]),
+	.B1(n_4556),
+	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[21]),
+	.Y(n_7485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g997385 (
+	.A1(n_4559),
+	.A2(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[5]),
+	.B1(n_4553),
+	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[21]),
+	.Y(n_7484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g997386 (
+	.A1(n_3323),
+	.A2(soc_top_u_top_u_core_csr_mstatus_tw),
+	.B1(n_4671),
+	.B2(soc_top_u_top_u_core_csr_mepc[21]),
+	.Y(n_7483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g997387 (
+	.A1(n_4559),
+	.A2(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[4]),
+	.B1(n_4275),
+	.B2(soc_top_u_top_u_core_csr_mtvec[20]),
+	.Y(n_7482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g997388 (
+	.A1(n_4553),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[19]),
+	.B1(n_2146),
+	.B2(soc_top_u_top_u_core_csr_depc[19]),
+	.Y(n_7481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g997389 (
+	.A1(n_4558),
+	.A2(soc_top_u_top_u_core_csr_mtvec[18]),
+	.B1(n_3535),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [50]),
+	.Y(n_7480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g997390 (
+	.A1(n_4558),
+	.A2(soc_top_u_top_u_core_csr_mtvec[17]),
+	.B1(n_4556),
+	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[17]),
+	.Y(n_7479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997391 (
+	.A1(n_4559),
+	.A2(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[0]),
+	.B1(n_4553),
+	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[16]),
+	.X(n_7478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g997392 (
+	.A1(n_4094),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [13]),
+	.B1(n_4671),
+	.B2(soc_top_u_top_u_core_csr_mepc[13]),
+	.Y(n_7477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g997393 (
+	.A1(n_4552),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[11]),
+	.B1(n_4553),
+	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[11]),
+	.Y(n_7476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g997394 (
+	.A1(n_4558),
+	.A2(soc_top_u_top_u_core_csr_mtvec[7]),
+	.B1(n_4556),
+	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[7]),
+	.Y(n_7475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g997395 (
+	.A1(n_4567),
+	.A2(soc_top_u_top_u_core_fp_frm_csr[1]),
+	.B1(n_4552),
+	.B2(soc_top_u_top_u_core_cs_registers_i_mtval_q[6]),
+	.Y(n_7474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g997396 (
+	.A1(n_3537),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [38]),
+	.B1(n_4556),
+	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[6]),
+	.Y(n_7473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g997397 (
+	.A1(n_4552),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[5]),
+	.B1(n_4096),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [5]),
+	.Y(n_7472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g997398 (
+	.A1(n_4671),
+	.A2(soc_top_u_top_u_core_csr_mepc[5]),
+	.B1(n_1232),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [5]),
+	.Y(n_7471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g997399 (
+	.A1(n_4552),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[4]),
+	.B1(n_4096),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [4]),
+	.Y(n_7470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g997400 (
+	.A1(n_4553),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[4]),
+	.B1(n_35336),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_fflags_q[NV] ),
+	.Y(n_7469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g997401 (
+	.A1(n_4556),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[2]),
+	.B1(n_4696),
+	.B2(soc_top_u_top_u_core_cs_registers_i_mcause_q[2]),
+	.Y(n_7468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997402 (
+	.A1(n_4695),
+	.A2(soc_top_u_top_u_core_fp_frm_csr[2]),
+	.B1(n_3960),
+	.B2(soc_top_u_top_u_core_csr_mepc[2]),
+	.X(n_7467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g997403 (
+	.A1(n_4556),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[1]),
+	.B1(n_4696),
+	.B2(soc_top_u_top_u_core_cs_registers_i_mcause_q[1]),
+	.Y(n_7466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g997404 (
+	.A1(n_4553),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[1]),
+	.B1(n_1232),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [1]),
+	.Y(n_7465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g997405 (
+	.A1(n_4671),
+	.A2(soc_top_u_top_u_core_csr_mepc[1]),
+	.B1(n_2146),
+	.B2(soc_top_u_top_u_core_csr_depc[1]),
+	.Y(n_7464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g997406 (
+	.A1(n_4556),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[5]),
+	.B1(n_2146),
+	.B2(soc_top_u_top_u_core_csr_depc[5]),
+	.Y(n_7463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g997407 (
+	.A1(n_4695),
+	.A2(soc_top_u_top_u_core_fp_frm_csr[0]),
+	.B1(n_35336),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_fflags_q[NX] ),
+	.X(n_7462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g997408 (
+	.A1(n_4553),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[6]),
+	.B1(n_1232),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [6]),
+	.Y(n_7461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g997409 (
+	.A1(n_4554),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mcountinhibit_q[0]),
+	.B1(n_4553),
+	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[0]),
+	.Y(n_7460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g997410 (
+	.A1(n_86471),
+	.A2(n_3976),
+	.B1(n_84188),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_unit_ready ),
+	.C1(soc_top_u_top_u_core_fp_flush),
+	.Y(n_7459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g997411 (
+	.A1(n_4740),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [1]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [0]),
+	.C1(n_2301),
+	.Y(n_7458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g997412 (
+	.A1(n_4577),
+	.A2(n_87131),
+	.B1(n_4564),
+	.C1(n_4734),
+	.Y(n_7457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g997413 (
+	.A1(n_454),
+	.A2(n_3583),
+	.B1(n_7181),
+	.Y(n_7456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 g997414 (
+	.A1(n_76240),
+	.A2(n_4109),
+	.B1(n_36343),
+	.B2(n_4101),
+	.C1(n_4494),
+	.X(n_7455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g997415 (
+	.A1(n_4294),
+	.A2(n_70412),
+	.B1(n_3017),
+	.B2(n_1801),
+	.C1(n_1802),
+	.X(n_7454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g997416 (
+	.A(n_4382),
+	.B(n_4322),
+	.C(n_3246),
+	.D(n_3805),
+	.Y(n_7453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g997417 (
+	.A1(n_1089),
+	.A2(n_29590),
+	.B1(n_3733),
+	.B2(n_1089),
+	.C1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [2]),
+	.Y(n_7452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g997418 (
+	.A(n_73407),
+	.B(n_73405),
+	.C(n_69413),
+	.D(n_3755),
+	.Y(n_7451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g997419 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [30]),
+	.A2(n_1235),
+	.B1(n_1250),
+	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [14]),
+	.C1(n_4582),
+	.C2(n_1252),
+	.Y(n_7450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g997420 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [28]),
+	.A2(n_1235),
+	.B1(n_1250),
+	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [12]),
+	.C1(n_4574),
+	.C2(n_1252),
+	.Y(n_7449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g997421 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [10]),
+	.A2(n_1235),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [26]),
+	.B2(n_1257),
+	.C1(n_1240),
+	.C2(n_4578),
+	.Y(n_7448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g997422 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [14]),
+	.A2(n_1235),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [30]),
+	.B2(n_1257),
+	.C1(n_1240),
+	.C2(n_4582),
+	.Y(n_7447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g997423 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [13]),
+	.A2(n_1235),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [29]),
+	.B2(n_1257),
+	.C1(n_1240),
+	.C2(n_4569),
+	.Y(n_7446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g997424 (
+	.A1(n_2386),
+	.A2(n_1622),
+	.A3(n_1348),
+	.B1(n_4477),
+	.B2(n_715),
+	.X(n_7445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g997425 (
+	.A1(n_76237),
+	.A2(n_4101),
+	.B1(n_4109),
+	.B2(n_76243),
+	.C1(n_4653),
+	.Y(n_7444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g997426 (
+	.A1(n_4685),
+	.A2(n_2166),
+	.B1(n_6738),
+	.Y(n_7443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g997427 (
+	.A1(n_860),
+	.A2(n_3308),
+	.B1(n_4488),
+	.C1(n_4429),
+	.D1(n_4387),
+	.Y(n_7442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g997428 (
+	.A1(n_798),
+	.A2(n_3308),
+	.B1(n_4487),
+	.C1(n_4412),
+	.D1(n_4380),
+	.Y(n_7441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g997429 (
+	.A1(n_288),
+	.A2(n_3308),
+	.B1(n_4469),
+	.C1(n_4422),
+	.D1(n_4407),
+	.Y(n_7440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g997430 (
+	.A1(n_301),
+	.A2(n_3308),
+	.B1(n_4492),
+	.C1(n_4442),
+	.D1(n_4390),
+	.Y(n_7439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g997431 (
+	.A1(n_263),
+	.A2(n_3308),
+	.B1(n_4486),
+	.C1(n_4391),
+	.D1(n_4406),
+	.Y(n_7438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g997432 (
+	.A1(n_846),
+	.A2(n_3308),
+	.B1(n_4485),
+	.C1(n_4441),
+	.D1(n_4440),
+	.Y(n_7437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g997433 (
+	.A1(n_845),
+	.A2(n_3308),
+	.B1(n_4467),
+	.C1(n_4438),
+	.D1(n_4392),
+	.Y(n_7436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g997434 (
+	.A1(n_296),
+	.A2(n_3308),
+	.B1(n_4491),
+	.C1(n_4393),
+	.D1(n_4381),
+	.Y(n_7435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g997435 (
+	.A1(n_823),
+	.A2(n_3308),
+	.B1(n_4484),
+	.C1(n_4376),
+	.D1(n_4394),
+	.Y(n_7434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g997436 (
+	.A1(n_247),
+	.A2(n_3308),
+	.B1(n_4483),
+	.C1(n_4430),
+	.D1(n_4432),
+	.Y(n_7433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g997437 (
+	.A1(n_841),
+	.A2(n_3308),
+	.B1(n_4474),
+	.C1(n_4395),
+	.D1(n_4396),
+	.Y(n_7432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g997438 (
+	.A1(n_840),
+	.A2(n_3308),
+	.B1(n_4475),
+	.C1(n_4389),
+	.D1(n_4417),
+	.Y(n_7431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g997439 (
+	.A1(n_816),
+	.A2(n_3308),
+	.B1(n_4476),
+	.C1(n_4414),
+	.D1(n_4410),
+	.Y(n_7430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g997440 (
+	.A1(n_299),
+	.A2(n_3308),
+	.B1(n_4482),
+	.C1(n_4397),
+	.D1(n_4419),
+	.Y(n_7429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g997441 (
+	.A1(n_289),
+	.A2(n_3308),
+	.B1(n_4479),
+	.C1(n_4421),
+	.D1(n_4398),
+	.Y(n_7428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g997442 (
+	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [62]),
+	.A2(n_3537),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [30]),
+	.B2(n_4094),
+	.C1(n_4669),
+	.C2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[30]),
+	.Y(n_7427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g997443 (
+	.A1(soc_top_u_top_u_core_csr_mepc[30]),
+	.A2(n_3960),
+	.B1(soc_top_u_top_u_core_csr_mtvec[30]),
+	.B2(n_4275),
+	.C1(n_3535),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [62]),
+	.Y(n_7426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g997444 (
+	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [29]),
+	.A2(n_4094),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [61]),
+	.B2(n_3535),
+	.C1(n_4558),
+	.C2(soc_top_u_top_u_core_csr_mtvec[29]),
+	.Y(n_7425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g997445 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[15]),
+	.A2(n_4553),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [47]),
+	.B2(n_3535),
+	.C1(n_1232),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [15]),
+	.Y(n_7424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g997446 (
+	.A1(soc_top_u_top_u_core_csr_depc[11]),
+	.A2(n_2146),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [11]),
+	.B2(n_1232),
+	.C1(n_4671),
+	.C2(soc_top_u_top_u_core_csr_mepc[11]),
+	.Y(n_7423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g997447 (
+	.A(n_29328),
+	.B(soc_top_u_top_u_core_cs_registers_i_n_6269),
+	.C(n_1589),
+	.D(n_3983),
+	.Y(n_7422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g997449 (
+	.A(n_7156),
+	.B(n_4097),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [3]),
+	.Y(n_7420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g997450 (
+	.A(n_7156),
+	.B(n_4097),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
+	.Y(n_7419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g997451 (
+	.A1(n_4097),
+	.A2(n_2633),
+	.B1(n_6452),
+	.Y(n_7418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o311ai_1 g997452 (
+	.A1(soc_top_u_top_u_core_fp_alu_operator[2]),
+	.A2(soc_top_u_top_u_core_fp_alu_operator[3]),
+	.A3(n_3407),
+	.B1(n_1339),
+	.C1(n_3086),
+	.Y(n_7417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g997453 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [31]),
+	.A2(n_1235),
+	.B1(n_1250),
+	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [15]),
+	.C1(n_1240),
+	.C2(n_69158),
+	.Y(n_7416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g997454 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [25]),
+	.A2(n_1235),
+	.B1(n_1250),
+	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [9]),
+	.C1(n_1240),
+	.C2(n_69177),
+	.Y(n_7415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g997455 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [24]),
+	.A2(n_1235),
+	.B1(n_1250),
+	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [8]),
+	.C1(n_69017),
+	.C2(n_1252),
+	.Y(n_7414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g997456 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [23]),
+	.A2(n_1235),
+	.B1(n_1250),
+	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [7]),
+	.C1(n_69007),
+	.C2(n_1252),
+	.Y(n_7413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g997457 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [22]),
+	.A2(n_1235),
+	.B1(n_1250),
+	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [6]),
+	.C1(n_68997),
+	.C2(n_1252),
+	.Y(n_7412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g997458 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [21]),
+	.A2(n_1235),
+	.B1(n_1250),
+	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [5]),
+	.C1(n_1240),
+	.C2(n_69087),
+	.Y(n_7411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g997459 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [19]),
+	.A2(n_1235),
+	.B1(n_1250),
+	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [3]),
+	.C1(n_68967),
+	.C2(n_1252),
+	.Y(n_7410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g997460 (
+	.A1(soc_top_u_pwm_pwm_core_period[15]),
+	.A2(n_4105),
+	.B1(\soc_top_pwm_to_xbar[d_data] [15]),
+	.B2(n_2592),
+	.C1(n_4103),
+	.C2(soc_top_u_pwm_pwm_core_DC_1[15]),
+	.Y(n_7409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g997461 (
+	.A1(soc_top_u_pwm_pwm_core_period[14]),
+	.A2(n_4105),
+	.B1(\soc_top_pwm_to_xbar[d_data] [14]),
+	.B2(n_2592),
+	.C1(n_4103),
+	.C2(soc_top_u_pwm_pwm_core_DC_1[14]),
+	.Y(n_7408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g997462 (
+	.A1(soc_top_u_pwm_pwm_core_period[13]),
+	.A2(n_4105),
+	.B1(\soc_top_pwm_to_xbar[d_data] [13]),
+	.B2(n_2592),
+	.C1(n_4103),
+	.C2(soc_top_u_pwm_pwm_core_DC_1[13]),
+	.Y(n_7407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g997463 (
+	.A1(soc_top_u_pwm_pwm_core_period[12]),
+	.A2(n_4105),
+	.B1(\soc_top_pwm_to_xbar[d_data] [12]),
+	.B2(n_2592),
+	.C1(n_4103),
+	.C2(soc_top_u_pwm_pwm_core_DC_1[12]),
+	.Y(n_7406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g997464 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [2]),
+	.A2(n_1235),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [18]),
+	.B2(n_1257),
+	.C1(n_1255),
+	.C2(n_69057),
+	.Y(n_7405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g997465 (
+	.A1(soc_top_u_pwm_pwm_core_period[11]),
+	.A2(n_4105),
+	.B1(\soc_top_pwm_to_xbar[d_data] [11]),
+	.B2(n_2592),
+	.C1(n_4103),
+	.C2(soc_top_u_pwm_pwm_core_DC_1[11]),
+	.Y(n_7404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g997466 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [3]),
+	.A2(n_1235),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [19]),
+	.B2(n_1257),
+	.C1(n_1240),
+	.C2(n_68967),
+	.Y(n_7403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g997467 (
+	.A1(soc_top_u_pwm_pwm_core_period[10]),
+	.A2(n_4105),
+	.B1(\soc_top_pwm_to_xbar[d_data] [10]),
+	.B2(n_2592),
+	.C1(n_4103),
+	.C2(soc_top_u_pwm_pwm_core_DC_1[10]),
+	.Y(n_7402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g997468 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [6]),
+	.A2(n_1235),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [22]),
+	.B2(n_1257),
+	.C1(n_1255),
+	.C2(n_4727),
+	.Y(n_7401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g997469 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [7]),
+	.A2(n_1235),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [23]),
+	.B2(n_1257),
+	.C1(n_1240),
+	.C2(n_69007),
+	.Y(n_7400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g997470 (
+	.A1(soc_top_u_pwm_pwm_core_period_2[9]),
+	.A2(n_4104),
+	.B1(\soc_top_pwm_to_xbar[d_data] [9]),
+	.B2(n_2592),
+	.C1(n_4106),
+	.C2(soc_top_u_pwm_pwm_core_divisor[9]),
+	.Y(n_7399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g997471 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [8]),
+	.A2(n_1235),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [24]),
+	.B2(n_1257),
+	.C1(n_1240),
+	.C2(n_69017),
+	.Y(n_7398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g997472 (
+	.A1(soc_top_u_pwm_pwm_core_period[8]),
+	.A2(n_4105),
+	.B1(\soc_top_pwm_to_xbar[d_data] [8]),
+	.B2(n_2592),
+	.C1(n_4103),
+	.C2(soc_top_u_pwm_pwm_core_DC_1[8]),
+	.Y(n_7397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g997473 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [9]),
+	.A2(n_1235),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [25]),
+	.B2(n_1257),
+	.C1(n_1240),
+	.C2(n_69027),
+	.Y(n_7396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g997474 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [11]),
+	.A2(n_1235),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [27]),
+	.B2(n_1257),
+	.C1(n_1240),
+	.C2(n_4724),
+	.Y(n_7395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g997475 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [15]),
+	.A2(n_1235),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [31]),
+	.B2(n_1257),
+	.C1(n_1240),
+	.C2(n_69037),
+	.Y(n_7394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g997476 (
+	.A1(soc_top_u_pwm_pwm_core_period[7]),
+	.A2(n_4105),
+	.B1(\soc_top_pwm_to_xbar[d_data] [7]),
+	.B2(n_2592),
+	.C1(n_4103),
+	.C2(soc_top_u_pwm_pwm_core_DC_1[7]),
+	.Y(n_7393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g997477 (
+	.A1(soc_top_u_pwm_pwm_core_period[6]),
+	.A2(n_4105),
+	.B1(\soc_top_pwm_to_xbar[d_data] [6]),
+	.B2(n_2592),
+	.C1(n_4103),
+	.C2(soc_top_u_pwm_pwm_core_DC_1[6]),
+	.Y(n_7392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g997478 (
+	.A1(soc_top_u_pwm_pwm_core_period_2[5]),
+	.A2(n_4104),
+	.B1(\soc_top_pwm_to_xbar[d_data] [5]),
+	.B2(n_2592),
+	.C1(n_4106),
+	.C2(soc_top_u_pwm_pwm_core_divisor[5]),
+	.Y(n_7391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g997479 (
+	.A1(soc_top_u_pwm_pwm_core_period_2[4]),
+	.A2(n_4104),
+	.B1(\soc_top_pwm_to_xbar[d_data] [4]),
+	.B2(n_2592),
+	.C1(n_4106),
+	.C2(soc_top_u_pwm_pwm_core_divisor[4]),
+	.Y(n_7390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g997480 (
+	.A1(soc_top_u_pwm_pwm_core_period[3]),
+	.A2(n_4105),
+	.B1(\soc_top_pwm_to_xbar[d_data] [3]),
+	.B2(n_2592),
+	.C1(n_4103),
+	.C2(soc_top_u_pwm_pwm_core_DC_1[3]),
+	.Y(n_7389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g997481 (
+	.A1(soc_top_u_pwm_pwm_core_divisor_2[2]),
+	.A2(n_4102),
+	.B1(soc_top_u_pwm_pwm_core_DC_2[2]),
+	.B2(n_4110),
+	.C1(n_4106),
+	.C2(soc_top_u_pwm_pwm_core_divisor[2]),
+	.Y(n_7388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g997482 (
+	.A(n_4746),
+	.B(n_1535),
+	.C_N(n_29314),
+	.Y(n_7387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g997483 (
+	.A1(n_1938),
+	.A2(n_1576),
+	.B1(n_1945),
+	.B2(n_3063),
+	.C1(n_6676),
+	.Y(n_7386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 g997485 (
+	.A1(n_1177),
+	.A2(n_35152),
+	.A3(n_35154),
+	.A4(n_35155),
+	.B1(n_7144),
+	.Y(n_7384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g997486 (
+	.A1(n_3801),
+	.A2(n_4409),
+	.B1(n_7156),
+	.Y(n_7383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g997487 (
+	.A1(n_3185),
+	.A2(n_2597),
+	.B1(n_3803),
+	.C1(n_2553),
+	.D1(n_4040),
+	.Y(n_7382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g997488 (
+	.A1(n_3065),
+	.A2(n_1588),
+	.B1(n_1637),
+	.B2(n_1334),
+	.C1(n_6682),
+	.Y(n_7381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g997489 (
+	.A1(n_3066),
+	.A2(n_1944),
+	.B1(n_3071),
+	.B2(n_3067),
+	.C1(n_6680),
+	.Y(n_7380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g997490 (
+	.A1(n_3295),
+	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [11]),
+	.B1(n_3296),
+	.B2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [11]),
+	.C1(n_6723),
+	.X(n_7379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g997491 (
+	.A1(n_3297),
+	.A2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [10]),
+	.B1(n_3293),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [10]),
+	.C1(n_6724),
+	.X(n_7378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g997492 (
+	.A1(n_3297),
+	.A2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [9]),
+	.B1(n_3293),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [9]),
+	.C1(n_6725),
+	.X(n_7377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g997493 (
+	.A1(n_3295),
+	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [8]),
+	.B1(n_3296),
+	.B2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [8]),
+	.C1(n_6726),
+	.X(n_7376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g997494 (
+	.A1(n_3297),
+	.A2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [7]),
+	.B1(n_3293),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [7]),
+	.C1(n_6727),
+	.X(n_7375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g997495 (
+	.A1(n_3297),
+	.A2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [6]),
+	.B1(n_3293),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [6]),
+	.C1(n_6728),
+	.X(n_7374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g997496 (
+	.A1(n_3297),
+	.A2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [5]),
+	.B1(n_3293),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [5]),
+	.C1(n_6730),
+	.X(n_7373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g997497 (
+	.A1(n_3295),
+	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [4]),
+	.B1(n_3296),
+	.B2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [4]),
+	.C1(n_6729),
+	.X(n_7372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g997498 (
+	.A1(n_3297),
+	.A2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [3]),
+	.B1(n_3293),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [3]),
+	.C1(n_6731),
+	.X(n_7371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g997499 (
+	.A1(n_3297),
+	.A2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [2]),
+	.B1(n_3293),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [2]),
+	.C1(n_6732),
+	.X(n_7370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g997500 (
+	.A1(n_3297),
+	.A2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [1]),
+	.B1(n_3293),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [1]),
+	.C1(n_6733),
+	.X(n_7369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g997501 (
+	.A1(n_4360),
+	.A2(n_3254),
+	.A3(n_3255),
+	.B1(n_3566),
+	.Y(n_7368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 g997502 (
+	.A1(n_4359),
+	.A2(n_3252),
+	.A3(n_3253),
+	.A4(n_2087),
+	.B1(n_3564),
+	.Y(n_7367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g997503 (
+	.A1(n_4325),
+	.A2(n_3248),
+	.B1(n_3560),
+	.Y(n_7366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g997504 (
+	.A1(n_4308),
+	.A2(n_3244),
+	.A3(n_3245),
+	.B1(n_3566),
+	.Y(n_7365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g997505 (
+	.A1(n_2165),
+	.A2(\soc_top_intr_controller_reg2hw[prio25][q] [0]),
+	.B1(n_2163),
+	.B2(\soc_top_intr_controller_reg2hw[prio24][q] [0]),
+	.C1(n_6735),
+	.X(n_7364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g997506 (
+	.A1(n_3565),
+	.A2(n_2168),
+	.A3(\soc_top_intr_controller_reg2hw[prio27][q] [0]),
+	.B1(n_6736),
+	.Y(n_7363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g997507 (
+	.A1(n_4303),
+	.A2(n_3564),
+	.B1(n_7078),
+	.Y(n_7362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g997508 (
+	.A1(n_4697),
+	.A2(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B1(n_2634),
+	.X(n_7361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g997510 (
+	.A1(n_29914),
+	.A2(n_4603),
+	.B1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
+	.Y(n_7359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g997511 (
+	.A1(n_3297),
+	.A2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [23]),
+	.B1(n_3293),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [23]),
+	.C1(n_6752),
+	.X(n_7358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g997512 (
+	.A1(n_3297),
+	.A2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [22]),
+	.B1(n_3293),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [22]),
+	.C1(n_6753),
+	.X(n_7357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g997513 (
+	.A1(n_3295),
+	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [20]),
+	.B1(n_3296),
+	.B2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [20]),
+	.C1(n_6755),
+	.X(n_7356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g997514 (
+	.A1(n_3297),
+	.A2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [21]),
+	.B1(n_3293),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [21]),
+	.C1(n_6754),
+	.X(n_7355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g997515 (
+	.A1(n_3297),
+	.A2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [19]),
+	.B1(n_3293),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [19]),
+	.C1(n_6756),
+	.X(n_7354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g997516 (
+	.A1(n_3297),
+	.A2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [18]),
+	.B1(n_3293),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [18]),
+	.C1(n_6757),
+	.X(n_7353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g997517 (
+	.A1(n_3297),
+	.A2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [17]),
+	.B1(n_3293),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [17]),
+	.C1(n_6758),
+	.X(n_7352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g997518 (
+	.A1(n_3297),
+	.A2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [16]),
+	.B1(n_3293),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [16]),
+	.C1(n_6759),
+	.X(n_7351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g997519 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[31]),
+	.A2(n_4554),
+	.B1_N(n_7154),
+	.Y(n_7350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g997520 (
+	.A1(n_2131),
+	.A2(\soc_top_plic_resp[d_data] [5]),
+	.B1(n_7079),
+	.X(n_7349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g997521 (
+	.A1(n_2131),
+	.A2(\soc_top_plic_resp[d_data] [4]),
+	.B1(n_7079),
+	.X(n_7348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g997522 (
+	.A1(n_2131),
+	.A2(\soc_top_plic_resp[d_data] [3]),
+	.B1(n_7079),
+	.X(n_7347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g997523 (
+	.A1(n_2131),
+	.A2(\soc_top_plic_resp[d_data] [2]),
+	.B1(n_7079),
+	.X(n_7346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g997524 (
+	.A1(n_2301),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [1]),
+	.B1(n_4757),
+	.C1(n_73780),
+	.Y(n_7345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g997525 (
+	.A1(n_711),
+	.A2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [2]),
+	.A3(n_3272),
+	.B1(n_6884),
+	.Y(n_7344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g997526 (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[0] [0]),
+	.A2(n_4615),
+	.B1(n_10),
+	.X(n_7343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g997527 (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[56] [0]),
+	.A2(n_4747),
+	.B1(n_10),
+	.X(n_7342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g997528 (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[48] [0]),
+	.A2(n_4752),
+	.B1(n_10),
+	.X(n_7341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g997529 (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[40] [0]),
+	.A2(n_4753),
+	.B1(n_10),
+	.X(n_7340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g997530 (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[36] [0]),
+	.A2(n_4755),
+	.B1(n_10),
+	.X(n_7339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g997531 (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[4] [0]),
+	.A2(n_4749),
+	.B1(n_10),
+	.X(n_7338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g997532 (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[8] [0]),
+	.A2(n_4751),
+	.B1(n_10),
+	.X(n_7337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g997533 (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[20] [0]),
+	.A2(n_4754),
+	.B1(n_10),
+	.X(n_7336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g997534 (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[24] [0]),
+	.A2(n_4756),
+	.B1(n_10),
+	.X(n_7335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g997535 (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[16] [0]),
+	.A2(n_4750),
+	.B1(n_10),
+	.X(n_7334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g997536 (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[60] [0]),
+	.A2(n_4620),
+	.B1(n_10),
+	.X(n_7333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g997537 (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[52] [0]),
+	.A2(n_4744),
+	.B1(n_10),
+	.X(n_7332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g997538 (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[44] [0]),
+	.A2(n_4759),
+	.B1(n_10),
+	.X(n_7331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g997539 (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[28] [0]),
+	.A2(n_4617),
+	.B1(n_10),
+	.X(n_7330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g997540 (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[32] [0]),
+	.A2(n_4626),
+	.B1(n_10),
+	.X(n_7329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g997541 (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[12] [0]),
+	.A2(n_4758),
+	.B1(n_10),
+	.X(n_7328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g997542 (
+	.A1(n_3293),
+	.A2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [14]),
+	.B1(n_3296),
+	.B2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [14]),
+	.C1(n_6763),
+	.X(n_7327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g997543 (
+	.A1(n_3293),
+	.A2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [13]),
+	.B1(n_3295),
+	.B2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [13]),
+	.C1(n_6764),
+	.X(n_7326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g997544 (
+	.A1(n_3293),
+	.A2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [12]),
+	.B1(n_3296),
+	.B2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [12]),
+	.C1(n_6765),
+	.X(n_7325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g997545 (
+	.A1(n_3307),
+	.A2(soc_top_GPIO_cio_gpio_q[18]),
+	.B1(n_6744),
+	.Y(n_7324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g997547 (
+	.A1(n_3999),
+	.A2(n_1508),
+	.B1(n_3236),
+	.B2(n_54294),
+	.C1(n_4289),
+	.Y(n_7322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g997548 (
+	.A1(n_3295),
+	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [31]),
+	.B1(n_3296),
+	.B2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [31]),
+	.C1(n_6783),
+	.X(n_7321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g997549 (
+	.A1(n_3295),
+	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [30]),
+	.B1(n_3296),
+	.B2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [30]),
+	.C1(n_6784),
+	.X(n_7320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g997550 (
+	.A1(n_3293),
+	.A2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [29]),
+	.B1(n_3296),
+	.B2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [29]),
+	.C1(n_6785),
+	.X(n_7319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g997551 (
+	.A1(n_3293),
+	.A2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [28]),
+	.B1(n_3296),
+	.B2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [28]),
+	.C1(n_6786),
+	.X(n_7318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g997552 (
+	.A1(n_3295),
+	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [27]),
+	.B1(n_3296),
+	.B2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [27]),
+	.C1(n_6787),
+	.X(n_7317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g997553 (
+	.A1(n_3293),
+	.A2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [25]),
+	.B1(n_3295),
+	.B2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [25]),
+	.C1(n_6789),
+	.X(n_7316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g997554 (
+	.A1(n_3295),
+	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [26]),
+	.B1(n_3296),
+	.B2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [26]),
+	.C1(n_6788),
+	.X(n_7315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g997555 (
+	.A1(n_3293),
+	.A2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [24]),
+	.B1(n_3296),
+	.B2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [24]),
+	.C1(n_6790),
+	.X(n_7314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g997556 (
+	.A1(n_3293),
+	.A2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [15]),
+	.B1(n_3296),
+	.B2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [15]),
+	.C1(n_6791),
+	.X(n_7313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g997557 (
+	.A1(n_4697),
+	.A2(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B1_N(n_7186),
+	.X(n_7312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g997558 (
+	.A1(n_4478),
+	.A2(n_73786),
+	.B1(soc_top_u_top_u_core_fp_flush),
+	.Y(n_7311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g997559 (
+	.A1(n_29465),
+	.A2(n_1166),
+	.B1(n_7056),
+	.Y(n_7310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g997560 (
+	.A1(n_76823),
+	.A2(n_4658),
+	.B1(soc_top_u_top_u_core_fp_flush),
+	.Y(n_7309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g997561 (
+	.A1(n_4439),
+	.A2(n_4042),
+	.B1(soc_top_u_uart_u_uart_core_tx_fifo_clear),
+	.Y(n_7308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997562 (
+	.A(n_7153),
+	.B(n_1351),
+	.Y(n_7307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g997563 (
+	.A1(n_1299),
+	.A2(n_1161),
+	.B1(n_7137),
+	.Y(n_7306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g997564 (
+	.A1(n_1298),
+	.A2(n_1429),
+	.B1(n_7137),
+	.Y(n_7305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g997565 (
+	.A1(n_1300),
+	.A2(n_1168),
+	.B1(n_7137),
+	.Y(n_7304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g997566 (
+	.A1(n_1297),
+	.A2(n_1421),
+	.B1(n_7137),
+	.Y(n_7303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g997567 (
+	.A1(n_1295),
+	.A2(n_1196),
+	.B1(n_7137),
+	.Y(n_7302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g997568 (
+	.A1(n_68464),
+	.A2(n_1455),
+	.B1(n_7137),
+	.Y(n_7301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g997569 (
+	.A1(n_1294),
+	.A2(n_1459),
+	.B1(n_7137),
+	.Y(n_7300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g997570 (
+	.A1(n_93125),
+	.A2(n_1404),
+	.B1(n_7137),
+	.Y(n_7299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g997571 (
+	.A1(n_1292),
+	.A2(n_1198),
+	.B1(n_7137),
+	.Y(n_7298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g997572 (
+	.A1(n_72891),
+	.A2(n_1471),
+	.B1(n_7137),
+	.Y(n_7297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g997573 (
+	.A1(n_1304),
+	.A2(n_1437),
+	.B1(n_7137),
+	.Y(n_7296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g997574 (
+	.A1(n_2111),
+	.A2(n_4746),
+	.B1(n_6792),
+	.Y(n_7295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g997575 (
+	.A(n_1267),
+	.B(n_7177),
+	.X(n_7294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g997576 (
+	.A(n_1261),
+	.B(n_7177),
+	.X(n_7293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g997577 (
+	.A(n_1267),
+	.B(n_7172),
+	.X(n_7292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g997578 (
+	.A(n_1261),
+	.B(n_7172),
+	.X(n_7291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g997579 (
+	.A(n_1267),
+	.B(n_7182),
+	.X(n_7290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g997580 (
+	.A(n_1261),
+	.B(n_7182),
+	.X(n_7289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g997581 (
+	.A_N(n_4697),
+	.B(n_7186),
+	.Y(n_7288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997582 (
+	.A(n_7153),
+	.B(n_599),
+	.Y(n_7287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g997583 (
+	.A(n_1520),
+	.B(n_7177),
+	.X(n_7286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g997584 (
+	.A(n_1520),
+	.B(n_7172),
+	.X(n_7285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g997585 (
+	.A(n_1520),
+	.B(n_7182),
+	.X(n_7284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g997586 (
+	.A(n_3319),
+	.B(n_6679),
+	.Y(n_7283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997587 (
+	.A(n_7203),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [4]),
+	.Y(n_7282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997588 (
+	.A(n_7131),
+	.B(soc_top_GPIO_cio_gpio_en_q[21]),
+	.Y(n_7281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997589 (
+	.A(n_7183),
+	.B(n_1266),
+	.Y(n_7280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997590 (
+	.A(n_7183),
+	.B(n_1260),
+	.Y(n_7279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997591 (
+	.A(n_7183),
+	.B(n_1521),
+	.Y(n_7278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g997592 (
+	.A_N(n_1269),
+	.B(n_7171),
+	.Y(n_7277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997593 (
+	.A(n_7171),
+	.B(n_1266),
+	.Y(n_7276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997594 (
+	.A(n_7171),
+	.B(n_1260),
+	.Y(n_7275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997595 (
+	.A(n_7171),
+	.B(n_1521),
+	.Y(n_7274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g997596 (
+	.A(soc_top_u_uart_u_uart_core_tx_fifo_clear),
+	.B_N(n_7037),
+	.Y(n_7273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g997597 (
+	.A(soc_top_u_uart_u_uart_core_tx_fifo_reset),
+	.B_N(n_7039),
+	.Y(n_7272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g997598 (
+	.A(soc_top_u_uart_u_uart_core_rx_fifo_clr),
+	.B_N(n_4507),
+	.Y(n_7271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g997599 (
+	.A(soc_top_u_uart_u_uart_core_rx_fifo_rst),
+	.B_N(n_7035),
+	.Y(n_7270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g997600 (
+	.A(n_3574),
+	.B(n_7193),
+	.X(n_7269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997601 (
+	.A(n_7110),
+	.B(\soc_top_u_top_u_core_imd_val_q_ex[0] [28]),
+	.Y(n_7268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997602 (
+	.A(n_7110),
+	.B(\soc_top_u_top_u_core_imd_val_q_ex[0] [26]),
+	.Y(n_7267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997603 (
+	.A(n_7110),
+	.B(\soc_top_u_top_u_core_imd_val_q_ex[0] [24]),
+	.Y(n_7266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997604 (
+	.A(n_4697),
+	.B(n_7206),
+	.Y(n_7265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g997605 (
+	.A(n_2277),
+	.B_N(n_7197),
+	.Y(n_7264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997606 (
+	.A(n_7131),
+	.B(soc_top_GPIO_cio_gpio_en_q[31]),
+	.Y(n_7263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997607 (
+	.A(n_7131),
+	.B(soc_top_GPIO_cio_gpio_en_q[30]),
+	.Y(n_7262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997608 (
+	.A(n_7131),
+	.B(soc_top_GPIO_cio_gpio_en_q[29]),
+	.Y(n_7261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997609 (
+	.A(n_7131),
+	.B(soc_top_GPIO_cio_gpio_en_q[28]),
+	.Y(n_7260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997610 (
+	.A(n_7131),
+	.B(soc_top_GPIO_cio_gpio_en_q[27]),
+	.Y(n_7259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997611 (
+	.A(n_7131),
+	.B(soc_top_GPIO_cio_gpio_en_q[26]),
+	.Y(n_7258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g997612 (
+	.A(n_7056),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] [28]),
+	.Y(n_7257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g997613 (
+	.A(n_7056),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [28]),
+	.Y(n_7256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997614 (
+	.A(n_7131),
+	.B(soc_top_GPIO_cio_gpio_en_q[25]),
+	.Y(n_7255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997615 (
+	.A(n_30140),
+	.B(n_7060),
+	.Y(n_7254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997616 (
+	.A(n_7131),
+	.B(soc_top_GPIO_cio_gpio_en_q[24]),
+	.Y(n_7253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997617 (
+	.A(n_30139),
+	.B(n_7060),
+	.Y(n_7252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997619 (
+	.A(n_30139),
+	.B(n_7068),
+	.Y(n_7250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997621 (
+	.A(n_30137),
+	.B(n_7068),
+	.Y(n_7248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997622 (
+	.A(n_30135),
+	.B(n_7060),
+	.Y(n_7247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997627 (
+	.A(n_7131),
+	.B(soc_top_GPIO_cio_gpio_en_q[23]),
+	.Y(n_7242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997633 (
+	.A(n_7131),
+	.B(soc_top_GPIO_cio_gpio_en_q[22]),
+	.Y(n_7236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997637 (
+	.A(n_7131),
+	.B(soc_top_GPIO_cio_gpio_en_q[20]),
+	.Y(n_7232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997638 (
+	.A(n_7131),
+	.B(soc_top_GPIO_cio_gpio_en_q[19]),
+	.Y(n_7231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997639 (
+	.A(n_7131),
+	.B(soc_top_GPIO_cio_gpio_en_q[18]),
+	.Y(n_7230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997640 (
+	.A(n_7131),
+	.B(soc_top_GPIO_cio_gpio_en_q[17]),
+	.Y(n_7229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997641 (
+	.A(n_7131),
+	.B(soc_top_GPIO_cio_gpio_en_q[16]),
+	.Y(n_7228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997642 (
+	.A(n_7130),
+	.B(soc_top_GPIO_cio_gpio_en_q[15]),
+	.Y(n_7227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997643 (
+	.A(n_7130),
+	.B(soc_top_GPIO_cio_gpio_en_q[14]),
+	.Y(n_7226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997644 (
+	.A(n_7130),
+	.B(soc_top_GPIO_cio_gpio_en_q[13]),
+	.Y(n_7225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997645 (
+	.A(n_7130),
+	.B(soc_top_GPIO_cio_gpio_en_q[12]),
+	.Y(n_7224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997646 (
+	.A(n_7130),
+	.B(soc_top_GPIO_cio_gpio_en_q[11]),
+	.Y(n_7223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997647 (
+	.A(n_7130),
+	.B(soc_top_GPIO_cio_gpio_en_q[10]),
+	.Y(n_7222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997648 (
+	.A(n_7130),
+	.B(soc_top_GPIO_cio_gpio_en_q[9]),
+	.Y(n_7221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997649 (
+	.A(n_7130),
+	.B(soc_top_GPIO_cio_gpio_en_q[8]),
+	.Y(n_7220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997650 (
+	.A(n_7130),
+	.B(soc_top_GPIO_cio_gpio_en_q[7]),
+	.Y(n_7219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997651 (
+	.A(n_7130),
+	.B(soc_top_GPIO_cio_gpio_en_q[6]),
+	.Y(n_7218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997652 (
+	.A(n_7130),
+	.B(soc_top_GPIO_cio_gpio_en_q[5]),
+	.Y(n_7217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997653 (
+	.A(n_7130),
+	.B(soc_top_GPIO_cio_gpio_en_q[4]),
+	.Y(n_7216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997654 (
+	.A(n_7130),
+	.B(soc_top_GPIO_cio_gpio_en_q[3]),
+	.Y(n_7215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997655 (
+	.A(n_7130),
+	.B(soc_top_GPIO_cio_gpio_en_q[2]),
+	.Y(n_7214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997656 (
+	.A(n_7130),
+	.B(soc_top_GPIO_cio_gpio_en_q[1]),
+	.Y(n_7213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g997657 (
+	.A(n_7130),
+	.B(soc_top_GPIO_cio_gpio_en_q[0]),
+	.Y(n_7212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g998136 (
+	.A(n_7184),
+	.B(soc_top_u_pwm_pwm_core_period_counter2[9]),
+	.Y(n_7710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g998138 (
+	.A(n_29437),
+	.B_N(n_7208),
+	.Y(n_7211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g998204 (
+	.A(n_7190),
+	.B_N(n_1528),
+	.Y(n_7709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g998248 (
+	.A(n_6493),
+	.B(n_6497),
+	.Y(n_7708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g998249 (
+	.A(n_6489),
+	.B(n_6484),
+	.Y(n_7707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g998250 (
+	.A(n_6481),
+	.B(n_6482),
+	.Y(n_7706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g998251 (
+	.A1(n_3328),
+	.A2(n_4109),
+	.B1(n_76238),
+	.B2(n_4101),
+	.C1(n_6480),
+	.Y(n_7705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g998252 (
+	.A(n_6450),
+	.B(n_6474),
+	.Y(n_7704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g998255 (
+	.A(n_6464),
+	.B(n_6463),
+	.Y(n_7703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g998256 (
+	.A(n_6460),
+	.B(n_6462),
+	.Y(n_7702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g998257 (
+	.A1(n_3326),
+	.A2(n_4109),
+	.B1(n_3342),
+	.B2(n_4101),
+	.C1(n_6459),
+	.Y(n_7701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g998258 (
+	.A(n_6458),
+	.B(n_6457),
+	.Y(n_7700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g998326 (
+	.A1(n_1935),
+	.A2(n_3137),
+	.B1(n_3220),
+	.C1(n_2268),
+	.D1(n_3038),
+	.Y(n_7699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_2 g998327 (
+	.A1(n_3331),
+	.A2(n_4098),
+	.B1(n_3327),
+	.B2(n_4101),
+	.C1(n_4655),
+	.X(n_7697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_2 g998328 (
+	.A1(n_3332),
+	.A2(n_4098),
+	.B1(n_36335),
+	.B2(n_4101),
+	.C1(n_4656),
+	.X(n_7696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g998331 (
+	.A(n_7164),
+	.B(n_70418),
+	.C(n_73805),
+	.X(n_7210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g998461 (
+	.A1(n_4694),
+	.A2(n_4568),
+	.B1(n_75503),
+	.X(n_7694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g998462 (
+	.A1(n_571),
+	.A2(n_2304),
+	.B1(n_7157),
+	.Y(n_7692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g998465 (
+	.A_N(n_7185),
+	.B(soc_top_u_pwm_pwm_core_counter_p1[8]),
+	.Y(n_7690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g998466 (
+	.A(n_721),
+	.B(n_7196),
+	.X(n_7689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g998467 (
+	.A(n_34518),
+	.B(n_6741),
+	.Y(n_7688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g998468 (
+	.A_N(n_7192),
+	.B(soc_top_u_pwm_pwm_core_counter_p2[8]),
+	.Y(n_7687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g998469 (
+	.A1(n_3593),
+	.A2(n_4585),
+	.B1(n_6475),
+	.Y(n_7686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g998470 (
+	.A1(n_4577),
+	.A2(n_3593),
+	.B1(n_6476),
+	.Y(n_7685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g998472 (
+	.A(n_7151),
+	.B(n_1546),
+	.Y(n_7683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g998473 (
+	.A(n_7156),
+	.B(n_7173),
+	.Y(n_7682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_2 g998476 (
+	.A1(n_3341),
+	.A2(n_4099),
+	.B1(n_3349),
+	.B2(n_4098),
+	.C1(n_4016),
+	.X(n_7679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_2 g998477 (
+	.A1(n_3326),
+	.A2(n_4099),
+	.B1(n_87131),
+	.B2(n_3028),
+	.C1(n_4654),
+	.X(n_7678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g998478 (
+	.A(n_6468),
+	.B(n_6470),
+	.Y(n_7677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g998479 (
+	.A(n_6472),
+	.B(n_6471),
+	.Y(n_7675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g998480 (
+	.A(n_6465),
+	.B(n_6466),
+	.Y(n_7674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g998481 (
+	.A1(n_29577),
+	.A2(soc_top_system_rst_ni),
+	.B1(n_7158),
+	.Y(n_7672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g998482 (
+	.A1(n_35445),
+	.A2(n_3711),
+	.B1(n_1633),
+	.C1(n_1529),
+	.X(n_7671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g998483 (
+	.A(n_7147),
+	.B(n_1316),
+	.Y(n_7670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g998484 (
+	.A(n_7151),
+	.B(n_1316),
+	.Y(n_7668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g998485 (
+	.A(n_29447),
+	.B(n_7189),
+	.Y(n_7666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g998486 (
+	.A(n_7149),
+	.B(n_1316),
+	.Y(n_7665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g998487 (
+	.A(n_7149),
+	.B(n_1546),
+	.Y(n_7664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g998488 (
+	.A1(n_29447),
+	.A2(n_4589),
+	.B1(soc_top_system_rst_ni),
+	.X(n_7663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g998489 (
+	.A1(n_29783),
+	.A2(n_4589),
+	.B1(soc_top_system_rst_ni),
+	.X(n_7662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g998490 (
+	.A(n_7141),
+	.B(n_1546),
+	.Y(n_7661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g998491 (
+	.A(n_7147),
+	.B(n_1546),
+	.Y(n_7660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g998492 (
+	.A(n_1315),
+	.B(n_7142),
+	.Y(n_7659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g998493 (
+	.A(n_29783),
+	.B(n_7189),
+	.Y(n_7657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g998494 (
+	.A(n_7195),
+	.B(n_1697),
+	.Y(n_7656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g998495 (
+	.A(n_4563),
+	.B(n_7169),
+	.Y(n_7653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g998500 (
+	.A1(n_68385),
+	.A2(n_4696),
+	.B1(n_2127),
+	.Y(n_7651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g998501 (
+	.A1(n_1276),
+	.A2(n_4589),
+	.B1(soc_top_system_rst_ni),
+	.X(n_7650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g998502 (
+	.A(n_571),
+	.B(n_69931),
+	.C(n_7180),
+	.Y(n_7648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g998503 (
+	.A(n_571),
+	.B(n_16),
+	.C(n_7180),
+	.X(n_7647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g998504 (
+	.A(n_7188),
+	.B(n_1277),
+	.Y(n_7646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g998505 (
+	.A_N(n_4738),
+	.B(FE_DBTN123_soc_top_u_top_u_core_pc_set),
+	.C(n_29444),
+	.X(n_7645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4bb_2 g998507 (
+	.A_N(n_29327),
+	.B_N(n_29323),
+	.C(n_3819),
+	.D(n_29329),
+	.X(n_7644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g998508 (
+	.A1(n_2214),
+	.A2(soc_top_system_rst_ni),
+	.B1(n_7158),
+	.X(n_7642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g998509 (
+	.A(n_3592),
+	.B(n_4691),
+	.C(soc_top_u_top_u_core_multdiv_operator_ex[1]),
+	.X(n_7640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g998510 (
+	.A1(n_1384),
+	.A2(soc_top_system_rst_ni),
+	.B1(n_7158),
+	.X(n_7639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g998511 (
+	.A1(n_2280),
+	.A2(soc_top_system_rst_ni),
+	.B1(n_7158),
+	.X(n_7638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g998512 (
+	.A1(n_1340),
+	.A2(soc_top_system_rst_ni),
+	.B1(n_7158),
+	.X(n_7637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g998513 (
+	.A1(n_1283),
+	.A2(soc_top_system_rst_ni),
+	.B1(n_7158),
+	.X(n_7636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g998514 (
+	.A(n_3590),
+	.B(n_4691),
+	.C(soc_top_u_top_u_core_multdiv_operator_ex[1]),
+	.X(n_7635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g998515 (
+	.A1(n_29446),
+	.A2(soc_top_system_rst_ni),
+	.B1(n_7158),
+	.X(n_7634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_2 g998517 (
+	.A_N(n_29507),
+	.B(n_3322),
+	.C(n_4608),
+	.X(n_7631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_2 g998519 (
+	.A_N(n_1289),
+	.B(n_4741),
+	.C(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
+	.X(n_7628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_2 g998520 (
+	.A1(n_4670),
+	.A2(n_75503),
+	.B1(n_2126),
+	.X(n_7627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_2 g998521 (
+	.A_N(n_1530),
+	.B(n_3322),
+	.C(n_4608),
+	.X(n_7626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_2 g998522 (
+	.A(n_3973),
+	.B(n_773),
+	.C(FE_DBTN144_soc_top_u_top_u_core_alu_operator_ex_1),
+	.D(n_715),
+	.X(n_7625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_2 g998523 (
+	.A(n_3973),
+	.B(n_1325),
+	.C(soc_top_u_top_u_core_alu_operator_ex[1]),
+	.D(soc_top_u_top_u_core_alu_operator_ex[3]),
+	.X(n_7624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_2 g998524 (
+	.A(soc_top_u_top_u_core_multdiv_operator_ex[0]),
+	.B(FE_DBTN143_soc_top_u_top_u_core_multdiv_operator_ex_1),
+	.C_N(n_4571),
+	.X(n_7623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_2 g998525 (
+	.A(n_4741),
+	.B(n_1289),
+	.C(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
+	.X(n_7621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_2 g998526 (
+	.A1(n_4557),
+	.A2(n_75503),
+	.B1_N(n_1536),
+	.X(n_7620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_2 g998527 (
+	.A1(n_4551),
+	.A2(n_75503),
+	.B1(n_2126),
+	.X(n_7618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g998529 (
+	.A(n_7113),
+	.B(n_30),
+	.X(n_7614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g998530 (
+	.A(n_7150),
+	.B_N(n_2247),
+	.X(n_7613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g998531 (
+	.A(n_7150),
+	.B_N(n_35367),
+	.X(n_7612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g998532 (
+	.A(n_7142),
+	.B_N(n_35367),
+	.X(n_7611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g998533 (
+	.A(n_7146),
+	.B_N(n_2251),
+	.X(n_7610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g998534 (
+	.A(n_7148),
+	.B_N(n_2251),
+	.X(n_7609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g998535 (
+	.A(n_7146),
+	.B_N(n_2250),
+	.X(n_7608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g998536 (
+	.A(n_7148),
+	.B_N(n_2250),
+	.X(n_7607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g998537 (
+	.A(n_7146),
+	.B_N(n_2247),
+	.X(n_7606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g998538 (
+	.A(n_7148),
+	.B_N(n_2247),
+	.X(n_7605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g998539 (
+	.A_N(n_2237),
+	.B(n_7141),
+	.X(n_7604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g998540 (
+	.A(n_2264),
+	.B(n_7150),
+	.X(n_7603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g998541 (
+	.A(n_7148),
+	.B_N(n_2231),
+	.X(n_7602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g998542 (
+	.A(n_7148),
+	.B_N(n_35367),
+	.X(n_7601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g998543 (
+	.A_N(n_2237),
+	.B(n_7149),
+	.X(n_7600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g998544 (
+	.A_N(n_2237),
+	.B(n_7147),
+	.X(n_7599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g998545 (
+	.A_N(n_2237),
+	.B(n_7151),
+	.X(n_7598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g998546 (
+	.A(n_2221),
+	.B_N(n_7149),
+	.X(n_7597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g998547 (
+	.A(n_2264),
+	.B_N(n_7149),
+	.X(n_7596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g998548 (
+	.A(n_7142),
+	.B_N(n_2251),
+	.X(n_7595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g998549 (
+	.A(n_7150),
+	.B_N(n_2251),
+	.X(n_7594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g998550 (
+	.A(n_7142),
+	.B_N(n_2250),
+	.X(n_7593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g998551 (
+	.A(n_7150),
+	.B_N(n_2250),
+	.X(n_7592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g998552 (
+	.A(n_7146),
+	.B_N(n_2231),
+	.X(n_7591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g998553 (
+	.A_N(n_7142),
+	.B(n_2220),
+	.X(n_7590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g998554 (
+	.A_N(n_7142),
+	.B(n_2263),
+	.X(n_7589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g998555 (
+	.A(n_7150),
+	.B_N(n_2231),
+	.X(n_7588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g998556 (
+	.A(n_7142),
+	.B_N(n_2231),
+	.X(n_7587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g998557 (
+	.A(n_2221),
+	.B(n_7146),
+	.X(n_7586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g998558 (
+	.A(n_2264),
+	.B(n_7146),
+	.X(n_7585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g998559 (
+	.A(n_2221),
+	.B(n_7150),
+	.X(n_7584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g998560 (
+	.A(n_7142),
+	.B_N(n_2247),
+	.X(n_7583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g998561 (
+	.A(n_7146),
+	.B_N(n_35367),
+	.X(n_7582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g998562 (
+	.A(n_7199),
+	.Y(n_7200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g998563 (
+	.A(n_7188),
+	.Y(n_7189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g998564 (
+	.A(n_7175),
+	.Y(n_7174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g998566 (
+	.A(n_7163),
+	.Y(n_7164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g998567 (
+	.A(n_7162),
+	.Y(n_7161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g998569 (
+	.A(n_7157),
+	.Y(n_7158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g998570 (
+	.A(n_7156),
+	.Y(n_7155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g998571 (
+	.A(n_7153),
+	.Y(n_7152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g998572 (
+	.A(n_7151),
+	.Y(n_7150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g998573 (
+	.A(n_7149),
+	.Y(n_7148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g998574 (
+	.A(n_7147),
+	.Y(n_7146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g998575 (
+	.A(n_7145),
+	.Y(n_7144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g998576 (
+	.A(n_7142),
+	.Y(n_7141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g998577 (
+	.A(n_7139),
+	.Y(n_7138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g998578 (
+	.A(n_7134),
+	.Y(n_7133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g998579 (
+	.A(n_7095),
+	.Y(n_7094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g998580 (
+	.A(n_7087),
+	.Y(n_7086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g998582 (
+	.A(n_7079),
+	.Y(n_7078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g998588 (
+	.A(n_7068),
+	.Y(n_7069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g998600 (
+	.A(n_7060),
+	.Y(n_7056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g998601 (
+	.A(soc_top_u_uart_u_uart_core_fifo_read_size[3]),
+	.B(n_3081),
+	.COUT(n_7038),
+	.SUM(n_7039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g998602 (
+	.A(soc_top_u_uart_u_uart_core_write_fifo_waddr[2]),
+	.B(n_3321),
+	.COUT(n_7036),
+	.SUM(n_7037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g998603 (
+	.A(soc_top_u_uart_u_uart_core_read_fifo_raddr[3]),
+	.B(n_3594),
+	.COUT(n_7208),
+	.SUM(n_7035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998604 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[3] [3]),
+	.A2_N(n_3953),
+	.B1(n_2980),
+	.B2(n_3511),
+	.Y(n_7034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998605 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[109] [1]),
+	.A2_N(n_3924),
+	.B1(n_3521),
+	.B2(n_2966),
+	.Y(n_7033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998606 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[108] [8]),
+	.A2_N(n_4137),
+	.B1(n_3530),
+	.B2(n_2977),
+	.Y(n_7032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998607 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[246] [7]),
+	.A2_N(n_4232),
+	.B1(n_3480),
+	.B2(n_2968),
+	.Y(n_7031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998608 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[108] [7]),
+	.A2_N(n_4137),
+	.B1(n_3531),
+	.B2(n_2977),
+	.Y(n_7030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g998609 (
+	.A1(n_3901),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[235] [4]),
+	.B1(n_3516),
+	.B2(n_2953),
+	.X(n_7029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998610 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[108] [6]),
+	.A2_N(n_4137),
+	.B1(n_3528),
+	.B2(n_2977),
+	.Y(n_7028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998611 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[108] [4]),
+	.A2_N(n_4137),
+	.B1(n_3526),
+	.B2(n_2977),
+	.Y(n_7027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998612 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[108] [3]),
+	.A2_N(n_4137),
+	.B1(n_3522),
+	.B2(n_2977),
+	.Y(n_7026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998613 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[108] [2]),
+	.A2_N(n_4137),
+	.B1(n_3527),
+	.B2(n_2977),
+	.Y(n_7025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998614 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[108] [1]),
+	.A2_N(n_4137),
+	.B1(n_3521),
+	.B2(n_2977),
+	.Y(n_7024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998615 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[107] [7]),
+	.A2_N(n_4273),
+	.B1(n_2976),
+	.B2(n_3513),
+	.Y(n_7023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998616 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[107] [4]),
+	.A2_N(n_4273),
+	.B1(n_2976),
+	.B2(n_3517),
+	.Y(n_7022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998617 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[107] [3]),
+	.A2_N(n_4273),
+	.B1(n_2976),
+	.B2(n_3518),
+	.Y(n_7021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998618 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[107] [1]),
+	.A2_N(n_4273),
+	.B1(n_2976),
+	.B2(n_3514),
+	.Y(n_7020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998619 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[106] [8]),
+	.A2_N(n_4238),
+	.B1(n_2965),
+	.B2(n_3512),
+	.Y(n_7019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998620 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[106] [7]),
+	.A2_N(n_4238),
+	.B1(n_2965),
+	.B2(n_3513),
+	.Y(n_7018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998621 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[106] [5]),
+	.A2_N(n_4238),
+	.B1(n_2965),
+	.B2(n_3469),
+	.Y(n_7017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998622 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[106] [3]),
+	.A2_N(n_4238),
+	.B1(n_2965),
+	.B2(n_3518),
+	.Y(n_7016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998623 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[106] [2]),
+	.A2_N(n_4238),
+	.B1(n_2965),
+	.B2(n_3515),
+	.Y(n_7015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998624 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[106] [1]),
+	.A2_N(n_4238),
+	.B1(n_2965),
+	.B2(n_3514),
+	.Y(n_7014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998625 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[105] [8]),
+	.A2_N(n_4160),
+	.B1(n_3512),
+	.B2(n_2966),
+	.Y(n_7013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998626 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[105] [7]),
+	.A2_N(n_4160),
+	.B1(n_3513),
+	.B2(n_2966),
+	.Y(n_7012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998627 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[105] [3]),
+	.A2_N(n_4160),
+	.B1(n_3518),
+	.B2(n_2966),
+	.Y(n_7011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998628 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[105] [1]),
+	.A2_N(n_4160),
+	.B1(n_3514),
+	.B2(n_2966),
+	.Y(n_7010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998629 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[104] [8]),
+	.A2_N(n_4136),
+	.B1(n_2977),
+	.B2(n_3512),
+	.Y(n_7009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998630 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[104] [7]),
+	.A2_N(n_4136),
+	.B1(n_2977),
+	.B2(n_3513),
+	.Y(n_7008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998631 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[104] [5]),
+	.A2_N(n_4136),
+	.B1(n_2977),
+	.B2(n_3469),
+	.Y(n_7007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998632 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[104] [4]),
+	.A2_N(n_4136),
+	.B1(n_2977),
+	.B2(n_3517),
+	.Y(n_7006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998633 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[104] [3]),
+	.A2_N(n_4136),
+	.B1(n_2977),
+	.B2(n_3518),
+	.Y(n_7005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998634 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[104] [2]),
+	.A2_N(n_4136),
+	.B1(n_2977),
+	.B2(n_3515),
+	.Y(n_7004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998635 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[103] [8]),
+	.A2_N(n_3945),
+	.B1(n_3530),
+	.B2(n_2983),
+	.Y(n_7003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998636 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[103] [6]),
+	.A2_N(n_3945),
+	.B1(n_3528),
+	.B2(n_2983),
+	.Y(n_7002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998637 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[103] [5]),
+	.A2_N(n_3945),
+	.B1(n_3524),
+	.B2(n_2983),
+	.Y(n_7001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998638 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[103] [4]),
+	.A2_N(n_3945),
+	.B1(n_3526),
+	.B2(n_2983),
+	.Y(n_7000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998639 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[103] [3]),
+	.A2_N(n_3945),
+	.B1(n_3522),
+	.B2(n_2983),
+	.Y(n_6999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998640 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[103] [2]),
+	.A2_N(n_3945),
+	.B1(n_3527),
+	.B2(n_2983),
+	.Y(n_6998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998641 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[103] [1]),
+	.A2_N(n_3945),
+	.B1(n_3521),
+	.B2(n_2983),
+	.Y(n_6997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998642 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[102] [8]),
+	.A2_N(n_3937),
+	.B1(n_3530),
+	.B2(n_2960),
+	.Y(n_6996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998643 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[102] [6]),
+	.A2_N(n_3937),
+	.B1(n_3528),
+	.B2(n_2960),
+	.Y(n_6995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998644 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[102] [5]),
+	.A2_N(n_3937),
+	.B1(n_3524),
+	.B2(n_2960),
+	.Y(n_6994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998645 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[102] [4]),
+	.A2_N(n_3937),
+	.B1(n_3526),
+	.B2(n_2960),
+	.Y(n_6993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998646 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[102] [3]),
+	.A2_N(n_3937),
+	.B1(n_3522),
+	.B2(n_2960),
+	.Y(n_6992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998647 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[102] [2]),
+	.A2_N(n_3937),
+	.B1(n_3527),
+	.B2(n_2960),
+	.Y(n_6991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998648 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[101] [8]),
+	.A2_N(n_4186),
+	.B1(n_3530),
+	.B2(n_2961),
+	.Y(n_6990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998649 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[101] [7]),
+	.A2_N(n_4186),
+	.B1(n_3531),
+	.B2(n_2961),
+	.Y(n_6989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998650 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[101] [6]),
+	.A2_N(n_4186),
+	.B1(n_3528),
+	.B2(n_2961),
+	.Y(n_6988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998651 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[101] [4]),
+	.A2_N(n_4186),
+	.B1(n_3526),
+	.B2(n_2961),
+	.Y(n_6987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998652 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[101] [2]),
+	.A2_N(n_4186),
+	.B1(n_3527),
+	.B2(n_2961),
+	.Y(n_6986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998653 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[101] [1]),
+	.A2_N(n_4186),
+	.B1(n_3521),
+	.B2(n_2961),
+	.Y(n_6985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998654 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[214] [3]),
+	.A2_N(n_4217),
+	.B1(n_3496),
+	.B2(n_2984),
+	.Y(n_6984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998655 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[100] [8]),
+	.A2_N(n_4226),
+	.B1(n_3530),
+	.B2(n_2970),
+	.Y(n_6983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998656 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[100] [7]),
+	.A2_N(n_4226),
+	.B1(n_3531),
+	.B2(n_2970),
+	.Y(n_6982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998657 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[100] [6]),
+	.A2_N(n_4226),
+	.B1(n_3528),
+	.B2(n_2970),
+	.Y(n_6981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998658 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[100] [5]),
+	.A2_N(n_4226),
+	.B1(n_3524),
+	.B2(n_2970),
+	.Y(n_6980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998659 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[100] [4]),
+	.A2_N(n_4226),
+	.B1(n_3526),
+	.B2(n_2970),
+	.Y(n_6979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998660 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[100] [3]),
+	.A2_N(n_4226),
+	.B1(n_3522),
+	.B2(n_2970),
+	.Y(n_6978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998661 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[100] [2]),
+	.A2_N(n_4226),
+	.B1(n_3527),
+	.B2(n_2970),
+	.Y(n_6977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998662 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[100] [1]),
+	.A2_N(n_4226),
+	.B1(n_3521),
+	.B2(n_2970),
+	.Y(n_6976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998663 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[99] [6]),
+	.A2_N(n_4187),
+	.B1(n_2983),
+	.B2(n_3476),
+	.Y(n_6975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998664 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[99] [5]),
+	.A2_N(n_4187),
+	.B1(n_2983),
+	.B2(n_3469),
+	.Y(n_6974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998665 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[99] [3]),
+	.A2_N(n_4187),
+	.B1(n_2983),
+	.B2(n_3518),
+	.Y(n_6973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998666 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[247] [1]),
+	.A2_N(n_4131),
+	.B1(n_3532),
+	.B2(n_2963),
+	.Y(n_6972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998667 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[99] [1]),
+	.A2_N(n_4187),
+	.B1(n_2983),
+	.B2(n_3514),
+	.Y(n_6971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998668 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[98] [7]),
+	.A2_N(n_4269),
+	.B1(n_2960),
+	.B2(n_3513),
+	.Y(n_6970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998669 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[98] [5]),
+	.A2_N(n_4269),
+	.B1(n_2960),
+	.B2(n_3469),
+	.Y(n_6969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998670 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[98] [3]),
+	.A2_N(n_4269),
+	.B1(n_2960),
+	.B2(n_3518),
+	.Y(n_6968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998671 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[98] [2]),
+	.A2_N(n_4269),
+	.B1(n_2960),
+	.B2(n_3515),
+	.Y(n_6967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998672 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[98] [1]),
+	.A2_N(n_4269),
+	.B1(n_2960),
+	.B2(n_3514),
+	.Y(n_6966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998673 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[97] [7]),
+	.A2_N(n_4215),
+	.B1(n_3513),
+	.B2(n_2961),
+	.Y(n_6965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998674 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[97] [6]),
+	.A2_N(n_4215),
+	.B1(n_3476),
+	.B2(n_2961),
+	.Y(n_6964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998675 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[97] [5]),
+	.A2_N(n_4215),
+	.B1(n_3469),
+	.B2(n_2961),
+	.Y(n_6963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998676 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[97] [4]),
+	.A2_N(n_4215),
+	.B1(n_3517),
+	.B2(n_2961),
+	.Y(n_6962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998677 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[97] [3]),
+	.A2_N(n_4215),
+	.B1(n_3518),
+	.B2(n_2961),
+	.Y(n_6961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998678 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[97] [2]),
+	.A2_N(n_4215),
+	.B1(n_3515),
+	.B2(n_2961),
+	.Y(n_6960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998679 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[97] [1]),
+	.A2_N(n_4215),
+	.B1(n_3514),
+	.B2(n_2961),
+	.Y(n_6959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998680 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[96] [8]),
+	.A2_N(n_3952),
+	.B1(n_2970),
+	.B2(n_3512),
+	.Y(n_6958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998681 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[96] [7]),
+	.A2_N(n_3952),
+	.B1(n_2970),
+	.B2(n_3513),
+	.Y(n_6957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998682 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[96] [6]),
+	.A2_N(n_3952),
+	.B1(n_2970),
+	.B2(n_3476),
+	.Y(n_6956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998683 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[96] [5]),
+	.A2_N(n_3952),
+	.B1(n_2970),
+	.B2(n_3469),
+	.Y(n_6955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998684 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[96] [4]),
+	.A2_N(n_3952),
+	.B1(n_2970),
+	.B2(n_3517),
+	.Y(n_6954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998685 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[96] [3]),
+	.A2_N(n_3952),
+	.B1(n_2970),
+	.B2(n_3518),
+	.Y(n_6953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998686 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[96] [2]),
+	.A2_N(n_3952),
+	.B1(n_2970),
+	.B2(n_3515),
+	.Y(n_6952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998687 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[95] [8]),
+	.A2_N(n_4162),
+	.B1(n_3479),
+	.B2(n_2979),
+	.Y(n_6951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998688 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[95] [6]),
+	.A2_N(n_4162),
+	.B1(n_3481),
+	.B2(n_2979),
+	.Y(n_6950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998689 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[247] [2]),
+	.A2_N(n_4131),
+	.B1(n_3486),
+	.B2(n_2963),
+	.Y(n_6949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998690 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[95] [4]),
+	.A2_N(n_4162),
+	.B1(n_3477),
+	.B2(n_2979),
+	.Y(n_6948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998691 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[95] [3]),
+	.A2_N(n_4162),
+	.B1(n_3496),
+	.B2(n_2979),
+	.Y(n_6947), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998692 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[95] [2]),
+	.A2_N(n_4162),
+	.B1(n_3486),
+	.B2(n_2979),
+	.Y(n_6946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998693 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[247] [3]),
+	.A2_N(n_4131),
+	.B1(n_3496),
+	.B2(n_2963),
+	.Y(n_6945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998694 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[94] [5]),
+	.A2_N(n_4165),
+	.B1(n_3482),
+	.B2(n_2974),
+	.Y(n_6944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998695 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[94] [4]),
+	.A2_N(n_4165),
+	.B1(n_3477),
+	.B2(n_2974),
+	.Y(n_6943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998696 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[94] [2]),
+	.A2_N(n_4165),
+	.B1(n_3486),
+	.B2(n_2974),
+	.Y(n_6942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998697 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[94] [1]),
+	.A2_N(n_4165),
+	.B1(n_3532),
+	.B2(n_2974),
+	.Y(n_6941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998698 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[93] [8]),
+	.A2_N(n_3934),
+	.B1(n_3479),
+	.B2(n_2964),
+	.Y(n_6940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998699 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[93] [7]),
+	.A2_N(n_3934),
+	.B1(n_3480),
+	.B2(n_2964),
+	.Y(n_6939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998700 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[93] [4]),
+	.A2_N(n_3934),
+	.B1(n_3477),
+	.B2(n_2964),
+	.Y(n_6938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998701 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[93] [1]),
+	.A2_N(n_3934),
+	.B1(n_3532),
+	.B2(n_2964),
+	.Y(n_6937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998702 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[92] [8]),
+	.A2_N(n_4228),
+	.B1(n_3479),
+	.B2(n_2978),
+	.Y(n_6936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g998703 (
+	.A(n_4510),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [37]),
+	.Y(n_6935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g998704 (
+	.A(n_4510),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [39]),
+	.Y(n_6934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g998705 (
+	.A(n_4509),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [51]),
+	.Y(n_6933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g998706 (
+	.A(n_4510),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [53]),
+	.Y(n_6932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g998707 (
+	.A(n_4510),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [55]),
+	.Y(n_6931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998708 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[92] [7]),
+	.A2_N(n_4228),
+	.B1(n_3480),
+	.B2(n_2978),
+	.Y(n_6930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998709 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[92] [6]),
+	.A2_N(n_4228),
+	.B1(n_3481),
+	.B2(n_2978),
+	.Y(n_6929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998710 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[92] [5]),
+	.A2_N(n_4228),
+	.B1(n_3482),
+	.B2(n_2978),
+	.Y(n_6928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998711 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[92] [4]),
+	.A2_N(n_4228),
+	.B1(n_3477),
+	.B2(n_2978),
+	.Y(n_6927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998712 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[92] [3]),
+	.A2_N(n_4228),
+	.B1(n_3496),
+	.B2(n_2978),
+	.Y(n_6926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998713 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[92] [2]),
+	.A2_N(n_4228),
+	.B1(n_3486),
+	.B2(n_2978),
+	.Y(n_6925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998714 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[91] [7]),
+	.A2_N(n_3871),
+	.B1(n_2979),
+	.B2(n_3493),
+	.Y(n_6924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998715 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[91] [5]),
+	.A2_N(n_3871),
+	.B1(n_2979),
+	.B2(n_3484),
+	.Y(n_6923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998716 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[91] [3]),
+	.A2_N(n_3871),
+	.B1(n_2979),
+	.B2(n_3464),
+	.Y(n_6922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998717 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[91] [1]),
+	.A2_N(n_3871),
+	.B1(n_2979),
+	.B2(n_3462),
+	.Y(n_6921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998718 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[90] [7]),
+	.A2_N(n_3886),
+	.B1(n_2974),
+	.B2(n_3493),
+	.Y(n_6920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998719 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[90] [5]),
+	.A2_N(n_3886),
+	.B1(n_2974),
+	.B2(n_3484),
+	.Y(n_6919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998720 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[6] [8]),
+	.A2_N(n_3906),
+	.B1(n_3509),
+	.B2(n_2972),
+	.Y(n_6918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998721 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[90] [3]),
+	.A2_N(n_3886),
+	.B1(n_2974),
+	.B2(n_3464),
+	.Y(n_6917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998722 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[89] [3]),
+	.A2_N(n_3866),
+	.B1(n_3464),
+	.B2(n_2964),
+	.Y(n_6916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g998723 (
+	.A(n_4695),
+	.B(soc_top_u_top_u_core_fp_frm_csr[1]),
+	.Y(n_6915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g998724 (
+	.A(n_1319),
+	.B_N(n_4622),
+	.Y(n_6914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g998725 (
+	.A(n_4552),
+	.B(soc_top_u_top_u_core_cs_registers_i_mtval_q[2]),
+	.Y(n_6913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g998726 (
+	.A1(n_4175),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[255] [2]),
+	.B1(n_3485),
+	.B2(n_2953),
+	.X(n_6912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g998727 (
+	.A(n_4669),
+	.B(soc_top_u_top_u_core_cs_registers_i_mscratch_q[5]),
+	.Y(n_6911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998728 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[89] [1]),
+	.A2_N(n_3866),
+	.B1(n_3462),
+	.B2(n_2964),
+	.Y(n_6910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g998729 (
+	.A(n_4669),
+	.B(soc_top_u_top_u_core_cs_registers_i_mscratch_q[8]),
+	.Y(n_6909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g998730 (
+	.A(n_4552),
+	.B(soc_top_u_top_u_core_cs_registers_i_mtval_q[13]),
+	.Y(n_6908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g998731 (
+	.A(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[15]),
+	.B(n_4554),
+	.Y(n_6907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g998732 (
+	.A(n_4553),
+	.B(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[17]),
+	.Y(n_6906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g998733 (
+	.A(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[19]),
+	.B(n_4554),
+	.Y(n_6905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g998734 (
+	.A(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[22]),
+	.B(n_4554),
+	.Y(n_6904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g998735 (
+	.A(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[24]),
+	.B(n_4554),
+	.Y(n_6903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g998736 (
+	.A(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[26]),
+	.B(n_4554),
+	.Y(n_6902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g998737 (
+	.A(n_4671),
+	.B(soc_top_u_top_u_core_csr_mepc[27]),
+	.Y(n_6901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g998738 (
+	.A(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[28]),
+	.B(n_4554),
+	.Y(n_6900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g998739 (
+	.A(n_4696),
+	.B(soc_top_u_top_u_core_cs_registers_i_mcause_q[5]),
+	.Y(n_6899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998740 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[88] [8]),
+	.A2_N(n_4133),
+	.B1(n_2978),
+	.B2(n_3472),
+	.Y(n_6898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998741 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[88] [7]),
+	.A2_N(n_4133),
+	.B1(n_2978),
+	.B2(n_3493),
+	.Y(n_6897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998742 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[88] [6]),
+	.A2_N(n_4133),
+	.B1(n_2978),
+	.B2(n_3458),
+	.Y(n_6896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998743 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[88] [5]),
+	.A2_N(n_4133),
+	.B1(n_2978),
+	.B2(n_3484),
+	.Y(n_6895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998744 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[88] [4]),
+	.A2_N(n_4133),
+	.B1(n_2978),
+	.B2(n_3500),
+	.Y(n_6894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998745 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[88] [3]),
+	.A2_N(n_4133),
+	.B1(n_2978),
+	.B2(n_3464),
+	.Y(n_6893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998746 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[88] [2]),
+	.A2_N(n_4133),
+	.B1(n_2978),
+	.B2(n_3463),
+	.Y(n_6892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998747 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[88] [1]),
+	.A2_N(n_4133),
+	.B1(n_2978),
+	.B2(n_3462),
+	.Y(n_6891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998748 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[87] [8]),
+	.A2_N(n_3933),
+	.B1(n_3479),
+	.B2(n_2980),
+	.Y(n_6890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998749 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[87] [6]),
+	.A2_N(n_3933),
+	.B1(n_3481),
+	.B2(n_2980),
+	.Y(n_6889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998750 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[87] [5]),
+	.A2_N(n_3933),
+	.B1(n_3482),
+	.B2(n_2980),
+	.Y(n_6888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998751 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[87] [4]),
+	.A2_N(n_3933),
+	.B1(n_3477),
+	.B2(n_2980),
+	.Y(n_6887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998752 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[87] [3]),
+	.A2_N(n_3933),
+	.B1(n_3496),
+	.B2(n_2980),
+	.Y(n_6886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998753 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[87] [2]),
+	.A2_N(n_3933),
+	.B1(n_3486),
+	.B2(n_2980),
+	.Y(n_6885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g998754 (
+	.A1(n_711),
+	.A2(n_3020),
+	.B1(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [2]),
+	.Y(n_6884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998755 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[135] [8]),
+	.A2_N(n_4114),
+	.B1(n_3509),
+	.B2(n_2962),
+	.Y(n_6883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998756 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[86] [8]),
+	.A2_N(n_4213),
+	.B1(n_3479),
+	.B2(n_2972),
+	.Y(n_6882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998757 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[86] [7]),
+	.A2_N(n_4213),
+	.B1(n_3480),
+	.B2(n_2972),
+	.Y(n_6881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998758 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[86] [6]),
+	.A2_N(n_4213),
+	.B1(n_3481),
+	.B2(n_2972),
+	.Y(n_6880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998759 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[86] [5]),
+	.A2_N(n_4213),
+	.B1(n_3482),
+	.B2(n_2972),
+	.Y(n_6879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998760 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[86] [4]),
+	.A2_N(n_4213),
+	.B1(n_3477),
+	.B2(n_2972),
+	.Y(n_6878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g998761 (
+	.A(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[23]),
+	.B(n_4554),
+	.Y(n_6877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998762 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[86] [2]),
+	.A2_N(n_4213),
+	.B1(n_3486),
+	.B2(n_2972),
+	.Y(n_6876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998763 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[86] [1]),
+	.A2_N(n_4213),
+	.B1(n_3532),
+	.B2(n_2972),
+	.Y(n_6875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g998764 (
+	.A(n_4510),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [56]),
+	.Y(n_6874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g998765 (
+	.A(n_4510),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [52]),
+	.Y(n_6873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g998766 (
+	.A(n_4510),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [49]),
+	.Y(n_6872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998767 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[85] [8]),
+	.A2_N(n_3932),
+	.B1(n_3479),
+	.B2(n_2973),
+	.Y(n_6871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g998768 (
+	.A(n_4510),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [44]),
+	.Y(n_6870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g998769 (
+	.A(n_4664),
+	.B(n_1501),
+	.Y(n_6869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998770 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[85] [6]),
+	.A2_N(n_3932),
+	.B1(n_3481),
+	.B2(n_2973),
+	.Y(n_6868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998771 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[234] [4]),
+	.A2_N(n_3902),
+	.B1(n_2959),
+	.B2(n_3517),
+	.Y(n_6867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g998772 (
+	.A(n_4739),
+	.B_N(n_2596),
+	.Y(n_6866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998773 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[85] [4]),
+	.A2_N(n_3932),
+	.B1(n_3477),
+	.B2(n_2973),
+	.Y(n_6865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998774 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[85] [3]),
+	.A2_N(n_3932),
+	.B1(n_3496),
+	.B2(n_2973),
+	.Y(n_6864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998775 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[85] [2]),
+	.A2_N(n_3932),
+	.B1(n_3486),
+	.B2(n_2973),
+	.Y(n_6863), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998776 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[85] [1]),
+	.A2_N(n_3932),
+	.B1(n_3532),
+	.B2(n_2973),
+	.Y(n_6862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998777 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[84] [7]),
+	.A2_N(n_4227),
+	.B1(n_3480),
+	.B2(n_2971),
+	.Y(n_6861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998778 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[84] [6]),
+	.A2_N(n_4227),
+	.B1(n_3481),
+	.B2(n_2971),
+	.Y(n_6860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998779 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[84] [5]),
+	.A2_N(n_4227),
+	.B1(n_3482),
+	.B2(n_2971),
+	.Y(n_6859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g998780 (
+	.A(n_4697),
+	.B(n_2634),
+	.X(n_6858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998781 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[84] [4]),
+	.A2_N(n_4227),
+	.B1(n_3477),
+	.B2(n_2971),
+	.Y(n_6857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g998783 (
+	.A1(n_4094),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [8]),
+	.B1(n_4096),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [8]),
+	.Y(n_6855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998784 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[247] [5]),
+	.A2_N(n_4131),
+	.B1(n_3482),
+	.B2(n_2963),
+	.Y(n_6854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998785 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[84] [1]),
+	.A2_N(n_4227),
+	.B1(n_3532),
+	.B2(n_2971),
+	.Y(n_6853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998786 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[83] [8]),
+	.A2_N(n_3864),
+	.B1(n_2980),
+	.B2(n_3472),
+	.Y(n_6852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998787 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[83] [7]),
+	.A2_N(n_3864),
+	.B1(n_2980),
+	.B2(n_3493),
+	.Y(n_6851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998788 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[83] [4]),
+	.A2_N(n_3864),
+	.B1(n_2980),
+	.B2(n_3500),
+	.Y(n_6850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998789 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[83] [3]),
+	.A2_N(n_3864),
+	.B1(n_2980),
+	.B2(n_3464),
+	.Y(n_6849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g998790 (
+	.A(n_4599),
+	.B(n_35332),
+	.Y(n_6848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998791 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[83] [1]),
+	.A2_N(n_3864),
+	.B1(n_2980),
+	.B2(n_3462),
+	.Y(n_6847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998792 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[82] [8]),
+	.A2_N(n_3907),
+	.B1(n_2972),
+	.B2(n_3472),
+	.Y(n_6846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998793 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[82] [7]),
+	.A2_N(n_3907),
+	.B1(n_2972),
+	.B2(n_3493),
+	.Y(n_6845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998794 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[82] [6]),
+	.A2_N(n_3907),
+	.B1(n_2972),
+	.B2(n_3458),
+	.Y(n_6844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998795 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[82] [5]),
+	.A2_N(n_3907),
+	.B1(n_2972),
+	.B2(n_3484),
+	.Y(n_6843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998796 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[82] [4]),
+	.A2_N(n_3907),
+	.B1(n_2972),
+	.B2(n_3500),
+	.Y(n_6842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998797 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[82] [3]),
+	.A2_N(n_3907),
+	.B1(n_2972),
+	.B2(n_3464),
+	.Y(n_6841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998798 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[82] [2]),
+	.A2_N(n_3907),
+	.B1(n_2972),
+	.B2(n_3463),
+	.Y(n_6840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998799 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[7] [1]),
+	.A2_N(n_3954),
+	.B1(n_3457),
+	.B2(n_2980),
+	.Y(n_6839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998800 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[81] [8]),
+	.A2_N(n_3894),
+	.B1(n_3472),
+	.B2(n_2973),
+	.Y(n_6838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998801 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[81] [6]),
+	.A2_N(n_3894),
+	.B1(n_3458),
+	.B2(n_2973),
+	.Y(n_6837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998802 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[81] [4]),
+	.A2_N(n_3894),
+	.B1(n_3500),
+	.B2(n_2973),
+	.Y(n_6836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998803 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[81] [3]),
+	.A2_N(n_3894),
+	.B1(n_3464),
+	.B2(n_2973),
+	.Y(n_6835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998804 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[81] [2]),
+	.A2_N(n_3894),
+	.B1(n_3463),
+	.B2(n_2973),
+	.Y(n_6834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998805 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[81] [1]),
+	.A2_N(n_3894),
+	.B1(n_3462),
+	.B2(n_2973),
+	.Y(n_6833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998806 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[254] [7]),
+	.A2_N(n_4195),
+	.B1(n_3480),
+	.B2(n_2959),
+	.Y(n_6832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998807 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[80] [8]),
+	.A2_N(n_4134),
+	.B1(n_3472),
+	.B2(n_2971),
+	.Y(n_6831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998808 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[80] [7]),
+	.A2_N(n_4134),
+	.B1(n_3493),
+	.B2(n_2971),
+	.Y(n_6830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998809 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[80] [6]),
+	.A2_N(n_4134),
+	.B1(n_3458),
+	.B2(n_2971),
+	.Y(n_6829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g998810 (
+	.A(soc_top_u_uart_u_uart_core_rx_fifo_clr),
+	.B(n_4506),
+	.Y(n_6828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998811 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[80] [5]),
+	.A2_N(n_4134),
+	.B1(n_3484),
+	.B2(n_2971),
+	.Y(n_6827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998812 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[80] [4]),
+	.A2_N(n_4134),
+	.B1(n_3500),
+	.B2(n_2971),
+	.Y(n_6826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998813 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[80] [3]),
+	.A2_N(n_4134),
+	.B1(n_3464),
+	.B2(n_2971),
+	.Y(n_6825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998814 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[80] [2]),
+	.A2_N(n_4134),
+	.B1(n_3463),
+	.B2(n_2971),
+	.Y(n_6824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998815 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[79] [7]),
+	.A2_N(n_3917),
+	.B1(n_3531),
+	.B2(n_2979),
+	.Y(n_6823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998816 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[79] [5]),
+	.A2_N(n_3917),
+	.B1(n_3524),
+	.B2(n_2979),
+	.Y(n_6822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998817 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[79] [3]),
+	.A2_N(n_3917),
+	.B1(n_3522),
+	.B2(n_2979),
+	.Y(n_6821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998818 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[79] [1]),
+	.A2_N(n_3917),
+	.B1(n_3521),
+	.B2(n_2979),
+	.Y(n_6820), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998819 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[78] [7]),
+	.A2_N(n_3916),
+	.B1(n_3531),
+	.B2(n_2974),
+	.Y(n_6819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998820 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[78] [6]),
+	.A2_N(n_3916),
+	.B1(n_3528),
+	.B2(n_2974),
+	.Y(n_6818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998821 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[78] [3]),
+	.A2_N(n_3916),
+	.B1(n_3522),
+	.B2(n_2974),
+	.Y(n_6817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998822 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[77] [7]),
+	.A2_N(n_3925),
+	.B1(n_3531),
+	.B2(n_2964),
+	.Y(n_6816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998823 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[254] [3]),
+	.A2_N(n_4195),
+	.B1(n_3496),
+	.B2(n_2959),
+	.Y(n_6815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998824 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[77] [1]),
+	.A2_N(n_3925),
+	.B1(n_3521),
+	.B2(n_2964),
+	.Y(n_6814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998825 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[76] [8]),
+	.A2_N(n_4121),
+	.B1(n_3530),
+	.B2(n_2978),
+	.Y(n_6813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998826 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[76] [7]),
+	.A2_N(n_4121),
+	.B1(n_3531),
+	.B2(n_2978),
+	.Y(n_6812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998827 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[76] [6]),
+	.A2_N(n_4121),
+	.B1(n_3528),
+	.B2(n_2978),
+	.Y(n_6811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998828 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[76] [5]),
+	.A2_N(n_4121),
+	.B1(n_3524),
+	.B2(n_2978),
+	.Y(n_6810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998829 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[76] [4]),
+	.A2_N(n_4121),
+	.B1(n_3526),
+	.B2(n_2978),
+	.Y(n_6809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998830 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[76] [3]),
+	.A2_N(n_4121),
+	.B1(n_3522),
+	.B2(n_2978),
+	.Y(n_6808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998831 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[76] [2]),
+	.A2_N(n_4121),
+	.B1(n_3527),
+	.B2(n_2978),
+	.Y(n_6807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998832 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[75] [8]),
+	.A2_N(n_4141),
+	.B1(n_2979),
+	.B2(n_3512),
+	.Y(n_6806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998833 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[75] [6]),
+	.A2_N(n_4141),
+	.B1(n_2979),
+	.B2(n_3476),
+	.Y(n_6805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998834 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[75] [5]),
+	.A2_N(n_4141),
+	.B1(n_2979),
+	.B2(n_3469),
+	.Y(n_6804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998835 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[75] [4]),
+	.A2_N(n_4141),
+	.B1(n_2979),
+	.B2(n_3517),
+	.Y(n_6803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g998836 (
+	.A1(n_3577),
+	.A2(n_3814),
+	.B1(n_31545),
+	.Y(n_6802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998837 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[75] [3]),
+	.A2_N(n_4141),
+	.B1(n_2979),
+	.B2(n_3518),
+	.Y(n_6801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g998838 (
+	.A(n_4350),
+	.B(n_3250),
+	.C(n_2776),
+	.D(n_3251),
+	.Y(n_6800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g998839 (
+	.A(n_3258),
+	.B(n_3606),
+	.C(n_3257),
+	.D(n_3256),
+	.Y(n_6799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998840 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[75] [1]),
+	.A2_N(n_4141),
+	.B1(n_2979),
+	.B2(n_3514),
+	.Y(n_6798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998841 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[74] [7]),
+	.A2_N(n_4152),
+	.B1(n_2974),
+	.B2(n_3513),
+	.Y(n_6797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g998842 (
+	.A1(n_668),
+	.A2(n_29751),
+	.B1_N(n_4740),
+	.Y(n_6796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g998845 (
+	.A(n_4285),
+	.B(n_34),
+	.C(n_3844),
+	.Y(n_6793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g998846 (
+	.A1(n_1452),
+	.A2(n_3972),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_i_arbiter_gen_arbiter.rr_q [1]),
+	.Y(n_6792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g998847 (
+	.A1(n_3295),
+	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [15]),
+	.B1(n_3297),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [15]),
+	.C1(n_3231),
+	.X(n_6791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g998848 (
+	.A1(n_3295),
+	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [24]),
+	.B1(n_3297),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [24]),
+	.C1(n_3230),
+	.X(n_6790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g998849 (
+	.A1(n_3296),
+	.A2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [25]),
+	.B1(n_3297),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [25]),
+	.C1(n_3229),
+	.X(n_6789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g998850 (
+	.A1(n_3293),
+	.A2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [26]),
+	.B1(n_3297),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [26]),
+	.C1(n_3228),
+	.X(n_6788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g998851 (
+	.A1(n_3293),
+	.A2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [27]),
+	.B1(n_3297),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [27]),
+	.C1(n_3227),
+	.X(n_6787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g998852 (
+	.A1(n_3295),
+	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [28]),
+	.B1(n_3297),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [28]),
+	.C1(n_3223),
+	.X(n_6786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g998853 (
+	.A1(n_3295),
+	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [29]),
+	.B1(n_3297),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [29]),
+	.C1(n_3226),
+	.X(n_6785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g998854 (
+	.A1(n_3293),
+	.A2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [30]),
+	.B1(n_3297),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [30]),
+	.C1(n_3225),
+	.X(n_6784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g998855 (
+	.A1(n_3293),
+	.A2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [31]),
+	.B1(n_3297),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [31]),
+	.C1(n_3222),
+	.X(n_6783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998856 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[74] [6]),
+	.A2_N(n_4152),
+	.B1(n_2974),
+	.B2(n_3476),
+	.Y(n_6782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g998857 (
+	.A1(n_3002),
+	.A2(io_out[8]),
+	.B1(n_2988),
+	.B2(\soc_top_GPIO_reg2hw[intr_state][q] [0]),
+	.C1(n_4457),
+	.Y(n_6781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g998858 (
+	.A1(n_3002),
+	.A2(io_out[9]),
+	.B1(n_2988),
+	.B2(\soc_top_GPIO_reg2hw[intr_state][q] [1]),
+	.C1(n_4456),
+	.Y(n_6780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g998859 (
+	.A1(n_3002),
+	.A2(io_out[10]),
+	.B1(n_2988),
+	.B2(\soc_top_GPIO_reg2hw[intr_state][q] [2]),
+	.C1(n_4449),
+	.Y(n_6779), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g998860 (
+	.A1(n_3002),
+	.A2(io_out[11]),
+	.B1(n_2988),
+	.B2(\soc_top_GPIO_reg2hw[intr_state][q] [3]),
+	.C1(n_4455),
+	.Y(n_6778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g998861 (
+	.A1(n_3002),
+	.A2(io_out[12]),
+	.B1(n_2988),
+	.B2(\soc_top_GPIO_reg2hw[intr_state][q] [4]),
+	.C1(n_4444),
+	.Y(n_6777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g998862 (
+	.A1(n_3002),
+	.A2(io_out[13]),
+	.B1(n_2988),
+	.B2(\soc_top_GPIO_reg2hw[intr_state][q] [5]),
+	.C1(n_4445),
+	.Y(n_6776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g998863 (
+	.A1(n_3002),
+	.A2(io_out[14]),
+	.B1(n_2988),
+	.B2(\soc_top_GPIO_reg2hw[intr_state][q] [6]),
+	.C1(n_4446),
+	.Y(n_6775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g998864 (
+	.A1(n_3002),
+	.A2(io_out[15]),
+	.B1(n_2988),
+	.B2(\soc_top_GPIO_reg2hw[intr_state][q] [7]),
+	.C1(n_4458),
+	.Y(n_6774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g998865 (
+	.A1(n_3002),
+	.A2(io_out[16]),
+	.B1(n_2988),
+	.B2(\soc_top_GPIO_reg2hw[intr_state][q] [8]),
+	.C1(n_4454),
+	.Y(n_6773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g998866 (
+	.A1(n_3002),
+	.A2(io_out[18]),
+	.B1(n_2988),
+	.B2(\soc_top_GPIO_reg2hw[intr_state][q] [10]),
+	.C1(n_4448),
+	.Y(n_6772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g998867 (
+	.A1(n_3002),
+	.A2(io_out[19]),
+	.B1(n_2988),
+	.B2(\soc_top_GPIO_reg2hw[intr_state][q] [11]),
+	.C1(n_4450),
+	.Y(n_6771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g998868 (
+	.A1(n_3002),
+	.A2(io_out[20]),
+	.B1(n_2988),
+	.B2(\soc_top_GPIO_reg2hw[intr_state][q] [12]),
+	.C1(n_4453),
+	.Y(n_6770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g998869 (
+	.A1(n_3002),
+	.A2(io_out[21]),
+	.B1(n_2988),
+	.B2(\soc_top_GPIO_reg2hw[intr_state][q] [13]),
+	.C1(n_4452),
+	.Y(n_6769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g998870 (
+	.A1(n_3002),
+	.A2(io_out[22]),
+	.B1(n_2988),
+	.B2(\soc_top_GPIO_reg2hw[intr_state][q] [14]),
+	.C1(n_4459),
+	.Y(n_6768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g998871 (
+	.A1(n_3002),
+	.A2(io_out[23]),
+	.B1(n_2988),
+	.B2(\soc_top_GPIO_reg2hw[intr_state][q] [15]),
+	.C1(n_4451),
+	.Y(n_6767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g998872 (
+	.A1(n_3002),
+	.A2(io_out[17]),
+	.B1(n_2988),
+	.B2(\soc_top_GPIO_reg2hw[intr_state][q] [9]),
+	.C1(n_4447),
+	.Y(n_6766), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g998873 (
+	.A1(n_3295),
+	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [12]),
+	.B1(n_3297),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [12]),
+	.C1(n_3224),
+	.X(n_6765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g998874 (
+	.A1(n_3296),
+	.A2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [13]),
+	.B1(n_3297),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [13]),
+	.C1(n_3221),
+	.X(n_6764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g998875 (
+	.A1(n_3295),
+	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [14]),
+	.B1(n_3297),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [14]),
+	.C1(n_3232),
+	.X(n_6763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998876 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[74] [5]),
+	.A2_N(n_4152),
+	.B1(n_2974),
+	.B2(n_3469),
+	.Y(n_6762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g998877 (
+	.A1(n_3708),
+	.A2(n_31545),
+	.B1_N(n_2704),
+	.Y(n_6761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g998878 (
+	.A1(n_29914),
+	.A2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B1(n_4603),
+	.X(n_6760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g998879 (
+	.A1(n_3295),
+	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [16]),
+	.B1(n_3296),
+	.B2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [16]),
+	.C1(n_4435),
+	.X(n_6759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g998880 (
+	.A1(n_3295),
+	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [17]),
+	.B1(n_3296),
+	.B2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [17]),
+	.C1(n_4436),
+	.X(n_6758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g998881 (
+	.A1(n_3295),
+	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [18]),
+	.B1(n_3296),
+	.B2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [18]),
+	.C1(n_4437),
+	.X(n_6757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g998882 (
+	.A1(n_3295),
+	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [19]),
+	.B1(n_3296),
+	.B2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [19]),
+	.C1(n_4461),
+	.X(n_6756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g998883 (
+	.A1(n_3297),
+	.A2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [20]),
+	.B1(n_3293),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [20]),
+	.C1(n_4463),
+	.X(n_6755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g998884 (
+	.A1(n_3295),
+	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [21]),
+	.B1(n_3296),
+	.B2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [21]),
+	.C1(n_4464),
+	.X(n_6754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g998885 (
+	.A1(n_3295),
+	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [22]),
+	.B1(n_3296),
+	.B2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [22]),
+	.C1(n_4465),
+	.X(n_6753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g998886 (
+	.A1(n_3295),
+	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [23]),
+	.B1(n_3296),
+	.B2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [23]),
+	.C1(n_4466),
+	.X(n_6752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998887 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[74] [4]),
+	.A2_N(n_4152),
+	.B1(n_2974),
+	.B2(n_3517),
+	.Y(n_6751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998888 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[74] [2]),
+	.A2_N(n_4152),
+	.B1(n_2974),
+	.B2(n_3515),
+	.Y(n_6750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g998889 (
+	.A1(n_2201),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[1] [25]),
+	.B1(n_2197),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [26]),
+	.C1(n_4434),
+	.Y(n_6749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998890 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[73] [4]),
+	.A2_N(n_4161),
+	.B1(n_3517),
+	.B2(n_2964),
+	.Y(n_6748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998891 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[73] [1]),
+	.A2_N(n_4161),
+	.B1(n_3514),
+	.B2(n_2964),
+	.Y(n_6747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998892 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[72] [8]),
+	.A2_N(n_4135),
+	.B1(n_2978),
+	.B2(n_3512),
+	.Y(n_6746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g998893 (
+	.A1(n_39238),
+	.A2(n_77411),
+	.B1(n_3578),
+	.Y(n_6745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g998894 (
+	.A1(n_2989),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [18]),
+	.B1(n_2991),
+	.B2(soc_top_GPIO_u_reg_ctrl_en_input_filter_qs[18]),
+	.C1(n_4472),
+	.X(n_6744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g998895 (
+	.A1(n_2697),
+	.A2(n_1280),
+	.A3(n_3568),
+	.B1(n_4416),
+	.Y(n_6743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g998896 (
+	.A1(n_3348),
+	.A2(n_1279),
+	.B1(n_4075),
+	.B2(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[3] [11]),
+	.X(n_6742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g998897 (
+	.A1(soc_top_dccm_adapter_rvalid_o),
+	.A2(n_2342),
+	.B1(n_29375),
+	.C1(n_35443),
+	.D1(\soc_top_dccm_to_xbar[d_valid] ),
+	.Y(n_6741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g998898 (
+	.A(n_4598),
+	.B(n_1521),
+	.C(\soc_top_intr_controller_irq_id_o[0] [0]),
+	.Y(n_6740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g998899 (
+	.A(n_4598),
+	.B(n_1260),
+	.C(\soc_top_intr_controller_irq_id_o[0] [0]),
+	.Y(n_6739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g998900 (
+	.A1(n_2166),
+	.A2(n_4112),
+	.B1(soc_top_intr_controller_u_reg_msip0_qs),
+	.Y(n_6738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998901 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[72] [7]),
+	.A2_N(n_4135),
+	.B1(n_2978),
+	.B2(n_3513),
+	.Y(n_6737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g998902 (
+	.A1(n_3726),
+	.A2(n_3242),
+	.A3(n_3243),
+	.B1(n_3564),
+	.Y(n_6736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g998903 (
+	.A1(n_2171),
+	.A2(\soc_top_intr_controller_reg2hw[prio26][q] [0]),
+	.B1(n_1503),
+	.B2(\soc_top_intr_controller_reg2hw[prio23][q] [0]),
+	.C1(n_4383),
+	.X(n_6735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g998904 (
+	.A_N(n_80815),
+	.B(n_3029),
+	.C(n_31111),
+	.D(n_3354),
+	.Y(n_6734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g998905 (
+	.A1(n_3295),
+	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [1]),
+	.B1(n_3296),
+	.B2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [1]),
+	.C1(n_4493),
+	.X(n_6733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g998906 (
+	.A1(n_3295),
+	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [2]),
+	.B1(n_3296),
+	.B2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [2]),
+	.C1(n_4495),
+	.X(n_6732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g998907 (
+	.A1(n_3295),
+	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [3]),
+	.B1(n_3296),
+	.B2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [3]),
+	.C1(n_4496),
+	.X(n_6731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g998908 (
+	.A1(n_3295),
+	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [5]),
+	.B1(n_3296),
+	.B2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [5]),
+	.C1(n_4498),
+	.X(n_6730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g998909 (
+	.A1(n_3297),
+	.A2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [4]),
+	.B1(n_3293),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [4]),
+	.C1(n_4497),
+	.X(n_6729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g998910 (
+	.A1(n_3295),
+	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [6]),
+	.B1(n_3296),
+	.B2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [6]),
+	.C1(n_4499),
+	.X(n_6728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g998911 (
+	.A1(n_3295),
+	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [7]),
+	.B1(n_3296),
+	.B2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [7]),
+	.C1(n_4500),
+	.X(n_6727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g998912 (
+	.A1(n_3297),
+	.A2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [8]),
+	.B1(n_3293),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [8]),
+	.C1(n_4501),
+	.X(n_6726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g998913 (
+	.A1(n_3295),
+	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [9]),
+	.B1(n_3296),
+	.B2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [9]),
+	.C1(n_4502),
+	.X(n_6725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g998914 (
+	.A1(n_3295),
+	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [10]),
+	.B1(n_3296),
+	.B2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [10]),
+	.C1(n_4503),
+	.X(n_6724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g998915 (
+	.A1(n_3297),
+	.A2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [11]),
+	.B1(n_3293),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [11]),
+	.C1(n_4504),
+	.X(n_6723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g998916 (
+	.A1(soc_top_u_top_u_core_multdiv_operator_ex[1]),
+	.A2(n_3411),
+	.B1(n_30),
+	.B2(n_3424),
+	.C1(FE_DBTN143_soc_top_u_top_u_core_multdiv_operator_ex_1),
+	.C2(n_595),
+	.Y(n_6722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g998917 (
+	.A1(n_1534),
+	.A2(n_3854),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
+	.Y(n_6721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g998918 (
+	.A1(n_3804),
+	.A2(soc_top_u_top_u_core_fp_alu_operator[0]),
+	.B1(n_3125),
+	.Y(n_6720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g998919 (
+	.A1(n_749),
+	.A2(n_35332),
+	.B1(n_4599),
+	.Y(n_6719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998920 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[72] [6]),
+	.A2_N(n_4135),
+	.B1(n_2978),
+	.B2(n_3476),
+	.Y(n_6718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g998921 (
+	.A1(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.A2(n_1280),
+	.A3(n_3352),
+	.B1(n_4418),
+	.Y(n_6717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998922 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[72] [5]),
+	.A2_N(n_4135),
+	.B1(n_2978),
+	.B2(n_3469),
+	.Y(n_6716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g998923 (
+	.A(n_29934),
+	.B(n_4291),
+	.C(n_1302),
+	.Y(n_6715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998924 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[72] [4]),
+	.A2_N(n_4135),
+	.B1(n_2978),
+	.B2(n_3517),
+	.Y(n_6714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g998925 (
+	.A(n_69314),
+	.B(n_2987),
+	.C(n_3985),
+	.D(n_86739),
+	.X(n_6713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998927 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[72] [3]),
+	.A2_N(n_4135),
+	.B1(n_2978),
+	.B2(n_3518),
+	.Y(n_6711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998928 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[17] [4]),
+	.A2_N(n_4144),
+	.B1(n_3505),
+	.B2(n_2973),
+	.Y(n_6710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998929 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[72] [2]),
+	.A2_N(n_4135),
+	.B1(n_2978),
+	.B2(n_3515),
+	.Y(n_6709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998930 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[215] [3]),
+	.A2_N(n_4156),
+	.B1(n_3496),
+	.B2(n_2962),
+	.Y(n_6708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998932 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[71] [5]),
+	.A2_N(n_3923),
+	.B1(n_3524),
+	.B2(n_2980),
+	.Y(n_6706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998933 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[70] [8]),
+	.A2_N(n_3922),
+	.B1(n_3530),
+	.B2(n_2972),
+	.Y(n_6705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g998934 (
+	.A(n_4007),
+	.B(n_4585),
+	.Y(n_6704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g998935 (
+	.A1(n_3273),
+	.A2(n_2028),
+	.B1(n_2346),
+	.B2(soc_top_u_uart_u_uart_core_fifo_read_size[2]),
+	.C1(n_3836),
+	.Y(n_6703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4b_1 g998936 (
+	.A(soc_top_u_top_u_core_cs_registers_i_n_6269),
+	.B(soc_top_u_top_u_core_cs_registers_i_n_6776),
+	.C(n_3958),
+	.D_N(soc_top_intr_timer),
+	.Y(n_6702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g998937 (
+	.A_N(n_3983),
+	.B(n_1317),
+	.C(n_29330),
+	.Y(n_6701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4b_1 g998938 (
+	.A(soc_top_u_top_u_core_cs_registers_i_n_6269),
+	.B(soc_top_u_top_u_core_cs_registers_i_n_6776),
+	.C(n_3958),
+	.D_N(soc_top_intr_req),
+	.Y(n_6700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g998939 (
+	.A1(n_3537),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [44]),
+	.B1(n_36361),
+	.B2(soc_top_u_top_u_core_csr_depc[12]),
+	.C1(n_3575),
+	.Y(n_6699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998941 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[70] [7]),
+	.A2_N(n_3922),
+	.B1(n_3531),
+	.B2(n_2972),
+	.Y(n_6697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998942 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[70] [6]),
+	.A2_N(n_3922),
+	.B1(n_3528),
+	.B2(n_2972),
+	.Y(n_6696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g998943 (
+	.A1(n_3260),
+	.A2(n_29783),
+	.B1(n_71266),
+	.C1(n_3839),
+	.Y(n_6695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g998944 (
+	.A1(n_3672),
+	.A2(n_3324),
+	.B1(n_4555),
+	.Y(n_6694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g998945 (
+	.A1(n_3640),
+	.A2(n_3324),
+	.B1(n_4555),
+	.Y(n_6693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g998946 (
+	.A1(n_3638),
+	.A2(n_3324),
+	.B1(n_4555),
+	.Y(n_6692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998947 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[70] [5]),
+	.A2_N(n_3922),
+	.B1(n_3524),
+	.B2(n_2972),
+	.Y(n_6691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g998948 (
+	.A1(n_3016),
+	.A2(n_3003),
+	.B1(n_3809),
+	.B2(n_1329),
+	.Y(n_6690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998949 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[69] [7]),
+	.A2_N(n_3946),
+	.B1(n_3531),
+	.B2(n_2973),
+	.Y(n_6689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g998950 (
+	.A1(n_3175),
+	.A2(n_3559),
+	.B1(\soc_top_pwm_to_xbar[d_data] [0]),
+	.B2(n_2592),
+	.C1(n_4103),
+	.C2(soc_top_u_pwm_pwm_core_DC_1[0]),
+	.Y(n_6688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g998951 (
+	.A1(n_3177),
+	.A2(n_3559),
+	.B1(\soc_top_pwm_to_xbar[d_data] [1]),
+	.B2(n_2592),
+	.C1(n_4103),
+	.C2(soc_top_u_pwm_pwm_core_DC_1[1]),
+	.Y(n_6687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g998952 (
+	.A1(n_3239),
+	.A2(n_3559),
+	.B1(\soc_top_pwm_to_xbar[d_data] [2]),
+	.B2(n_2592),
+	.C1(n_4103),
+	.C2(soc_top_u_pwm_pwm_core_DC_1[2]),
+	.Y(n_6686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g998953 (
+	.A1(n_151),
+	.A2(soc_top_u_pwm_pwm_core_DC_2[11]),
+	.B1(n_724),
+	.B2(n_2382),
+	.C1(n_3823),
+	.Y(n_6685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g998954 (
+	.A(n_3714),
+	.B(n_3283),
+	.C(n_1805),
+	.D(n_1937),
+	.X(n_6684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g998955 (
+	.A1(n_4096),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [29]),
+	.B1(n_36361),
+	.B2(soc_top_u_top_u_core_csr_depc[29]),
+	.Y(n_6683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g998956 (
+	.A1(n_1334),
+	.A2(n_1637),
+	.B1(n_3069),
+	.B2(n_1941),
+	.C1(n_3690),
+	.Y(n_6682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998957 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[69] [6]),
+	.A2_N(n_3946),
+	.B1(n_3528),
+	.B2(n_2973),
+	.Y(n_6681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g998958 (
+	.A1(n_1605),
+	.A2(n_1561),
+	.B1(n_1944),
+	.B2(n_3066),
+	.C1(n_3634),
+	.Y(n_6680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 g998959 (
+	.A1(n_2205),
+	.A2(soc_top_u_top_u_core_multdiv_operator_ex[1]),
+	.A3(n_3212),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[1] [31]),
+	.B2(n_1534),
+	.Y(n_6679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g998960 (
+	.A1(n_3569),
+	.A2(n_1279),
+	.A3(n_2698),
+	.B1(n_3742),
+	.B2(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[0] [11]),
+	.X(n_6678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g998961 (
+	.A1(n_3571),
+	.A2(n_29484),
+	.A3(n_135),
+	.B1(n_4290),
+	.B2(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.X(n_6677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g998962 (
+	.A1(n_1576),
+	.A2(n_1938),
+	.B1(n_1591),
+	.B2(n_3076),
+	.C1(n_3694),
+	.Y(n_6676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998963 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[69] [5]),
+	.A2_N(n_3946),
+	.B1(n_3524),
+	.B2(n_2973),
+	.Y(n_6675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g998964 (
+	.A1(soc_top_u_pwm_pwm_core_period_2[7]),
+	.A2(n_3837),
+	.B1(n_702),
+	.B2(n_2691),
+	.X(n_6674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998965 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[123] [6]),
+	.A2_N(n_3872),
+	.B1(n_2976),
+	.B2(n_3458),
+	.Y(n_6673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998966 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[123] [2]),
+	.A2_N(n_3872),
+	.B1(n_2976),
+	.B2(n_3463),
+	.Y(n_6672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998967 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[122] [8]),
+	.A2_N(n_3887),
+	.B1(n_2965),
+	.B2(n_3472),
+	.Y(n_6671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998968 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[122] [7]),
+	.A2_N(n_3887),
+	.B1(n_2965),
+	.B2(n_3493),
+	.Y(n_6670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998969 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[122] [6]),
+	.A2_N(n_3887),
+	.B1(n_2965),
+	.B2(n_3458),
+	.Y(n_6669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998970 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[128] [6]),
+	.A2_N(n_4255),
+	.B1(n_3489),
+	.B2(n_2985),
+	.Y(n_6668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998971 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[122] [2]),
+	.A2_N(n_3887),
+	.B1(n_2965),
+	.B2(n_3463),
+	.Y(n_6667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998972 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[122] [1]),
+	.A2_N(n_3887),
+	.B1(n_2965),
+	.B2(n_3462),
+	.Y(n_6666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998973 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[121] [7]),
+	.A2_N(n_3865),
+	.B1(n_3493),
+	.B2(n_2966),
+	.Y(n_6665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998974 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[213] [5]),
+	.A2_N(n_4157),
+	.B1(n_3482),
+	.B2(n_2975),
+	.Y(n_6664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998975 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[213] [7]),
+	.A2_N(n_4157),
+	.B1(n_3480),
+	.B2(n_2975),
+	.Y(n_6663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998976 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[121] [4]),
+	.A2_N(n_3865),
+	.B1(n_3500),
+	.B2(n_2966),
+	.Y(n_6662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998977 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[213] [6]),
+	.A2_N(n_4157),
+	.B1(n_3481),
+	.B2(n_2975),
+	.Y(n_6661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998978 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[121] [2]),
+	.A2_N(n_3865),
+	.B1(n_3463),
+	.B2(n_2966),
+	.Y(n_6660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998979 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[213] [2]),
+	.A2_N(n_4157),
+	.B1(n_3486),
+	.B2(n_2975),
+	.Y(n_6659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g998980 (
+	.A1(n_3915),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[239] [5]),
+	.B1(n_3523),
+	.B2(n_2953),
+	.X(n_6658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998981 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[186] [5]),
+	.A2_N(n_4202),
+	.B1(n_2959),
+	.B2(n_3494),
+	.Y(n_6657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998982 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[12] [1]),
+	.A2_N(n_4145),
+	.B1(n_3457),
+	.B2(n_2978),
+	.Y(n_6656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g998983 (
+	.A1(n_4185),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[191] [2]),
+	.B1(n_3467),
+	.B2(n_2953),
+	.X(n_6655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998984 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[211] [8]),
+	.A2_N(n_3878),
+	.B1(n_2962),
+	.B2(n_3472),
+	.Y(n_6654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998985 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[253] [5]),
+	.A2_N(n_4153),
+	.B1(n_3482),
+	.B2(n_2957),
+	.Y(n_6653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998986 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[74] [3]),
+	.A2_N(n_4152),
+	.B1(n_2974),
+	.B2(n_3518),
+	.Y(n_6652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998987 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[11] [3]),
+	.A2_N(n_3870),
+	.B1(n_2979),
+	.B2(n_3511),
+	.Y(n_6651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998988 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[210] [2]),
+	.A2_N(n_3944),
+	.B1(n_2984),
+	.B2(n_3463),
+	.Y(n_6650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998989 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[11] [8]),
+	.A2_N(n_3870),
+	.B1(n_2979),
+	.B2(n_3520),
+	.Y(n_6649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998990 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[11] [5]),
+	.A2_N(n_3870),
+	.B1(n_2979),
+	.B2(n_3488),
+	.Y(n_6648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998991 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[210] [8]),
+	.A2_N(n_3944),
+	.B1(n_2984),
+	.B2(n_3472),
+	.Y(n_6647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998992 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[229] [2]),
+	.A2_N(n_3900),
+	.B1(n_3527),
+	.B2(n_2967),
+	.Y(n_6646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998993 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[229] [3]),
+	.A2_N(n_3900),
+	.B1(n_3522),
+	.B2(n_2967),
+	.Y(n_6645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998994 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[229] [5]),
+	.A2_N(n_3900),
+	.B1(n_3524),
+	.B2(n_2967),
+	.Y(n_6644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998995 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[11] [6]),
+	.A2_N(n_3870),
+	.B1(n_2979),
+	.B2(n_3489),
+	.Y(n_6643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998996 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[210] [3]),
+	.A2_N(n_3944),
+	.B1(n_2984),
+	.B2(n_3464),
+	.Y(n_6642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998997 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[127] [2]),
+	.A2_N(n_4163),
+	.B1(n_3486),
+	.B2(n_2976),
+	.Y(n_6641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998998 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[127] [3]),
+	.A2_N(n_4163),
+	.B1(n_3496),
+	.B2(n_2976),
+	.Y(n_6640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g998999 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[249] [2]),
+	.A2_N(n_3880),
+	.B1(n_3463),
+	.B2(n_2957),
+	.Y(n_6639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999000 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[127] [4]),
+	.A2_N(n_4163),
+	.B1(n_3477),
+	.B2(n_2976),
+	.Y(n_6638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999001 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[242] [6]),
+	.A2_N(n_4167),
+	.B1(n_2968),
+	.B2(n_3458),
+	.Y(n_6637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999002 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[202] [1]),
+	.A2_N(n_3904),
+	.B1(n_2956),
+	.B2(n_3514),
+	.Y(n_6636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999003 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[241] [7]),
+	.A2_N(n_3899),
+	.B1(n_3493),
+	.B2(n_2967),
+	.Y(n_6635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999004 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[227] [6]),
+	.A2_N(n_3936),
+	.B1(n_2963),
+	.B2(n_3476),
+	.Y(n_6634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999005 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[253] [1]),
+	.A2_N(n_4153),
+	.B1(n_3532),
+	.B2(n_2957),
+	.Y(n_6633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999006 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[243] [7]),
+	.A2_N(n_3876),
+	.B1(n_2963),
+	.B2(n_3493),
+	.Y(n_6632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999007 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[78] [4]),
+	.A2_N(n_3916),
+	.B1(n_3526),
+	.B2(n_2974),
+	.Y(n_6631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999008 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[79] [6]),
+	.A2_N(n_3917),
+	.B1(n_3528),
+	.B2(n_2979),
+	.Y(n_6630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g999009 (
+	.A1(n_3982),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[4]),
+	.B1(n_3078),
+	.B2(n_1374),
+	.Y(n_6629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999010 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[78] [5]),
+	.A2_N(n_3916),
+	.B1(n_3524),
+	.B2(n_2974),
+	.Y(n_6628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999011 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[179] [4]),
+	.A2_N(n_4126),
+	.B1(n_2963),
+	.B2(n_3505),
+	.Y(n_6627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999012 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[78] [1]),
+	.A2_N(n_3916),
+	.B1(n_3521),
+	.B2(n_2974),
+	.Y(n_6626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999013 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[179] [5]),
+	.A2_N(n_4126),
+	.B1(n_2963),
+	.B2(n_3494),
+	.Y(n_6625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999014 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[91] [2]),
+	.A2_N(n_3871),
+	.B1(n_2979),
+	.B2(n_3463),
+	.Y(n_6624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999015 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[92] [1]),
+	.A2_N(n_4228),
+	.B1(n_3532),
+	.B2(n_2978),
+	.Y(n_6623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999016 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[195] [1]),
+	.A2_N(n_3905),
+	.B1(n_2962),
+	.B2(n_3514),
+	.Y(n_6622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999017 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[242] [4]),
+	.A2_N(n_4167),
+	.B1(n_2968),
+	.B2(n_3500),
+	.Y(n_6621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999018 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[219] [6]),
+	.A2_N(n_3896),
+	.B1(n_2958),
+	.B2(n_3458),
+	.Y(n_6620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g999019 (
+	.A1(n_3915),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[239] [4]),
+	.B1(n_3525),
+	.B2(n_2953),
+	.X(n_6619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999020 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[91] [8]),
+	.A2_N(n_3871),
+	.B1(n_2979),
+	.B2(n_3472),
+	.Y(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999021 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[91] [6]),
+	.A2_N(n_3871),
+	.B1(n_2979),
+	.B2(n_3458),
+	.Y(n_6617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999022 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[91] [4]),
+	.A2_N(n_3871),
+	.B1(n_2979),
+	.B2(n_3500),
+	.Y(n_6616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999023 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[201] [3]),
+	.A2_N(n_3911),
+	.B1(n_3518),
+	.B2(n_2969),
+	.Y(n_6615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999024 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[194] [8]),
+	.A2_N(n_3909),
+	.B1(n_2984),
+	.B2(n_3512),
+	.Y(n_6614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999025 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[219] [4]),
+	.A2_N(n_3896),
+	.B1(n_2958),
+	.B2(n_3500),
+	.Y(n_6613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999026 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[90] [8]),
+	.A2_N(n_3886),
+	.B1(n_2974),
+	.B2(n_3472),
+	.Y(n_6612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999027 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[87] [7]),
+	.A2_N(n_3933),
+	.B1(n_3480),
+	.B2(n_2980),
+	.Y(n_6611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999028 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[186] [3]),
+	.A2_N(n_4202),
+	.B1(n_2959),
+	.B2(n_3502),
+	.Y(n_6610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999029 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[227] [8]),
+	.A2_N(n_3936),
+	.B1(n_2963),
+	.B2(n_3512),
+	.Y(n_6609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g999030 (
+	.A1(n_4184),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[187] [7]),
+	.B1(n_3506),
+	.B2(n_2953),
+	.X(n_6608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999031 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[200] [7]),
+	.A2_N(n_4124),
+	.B1(n_2981),
+	.B2(n_3513),
+	.Y(n_6607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999032 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[200] [5]),
+	.A2_N(n_4124),
+	.B1(n_2981),
+	.B2(n_3469),
+	.Y(n_6606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999033 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[216] [1]),
+	.A2_N(n_4191),
+	.B1(n_2981),
+	.B2(n_3462),
+	.Y(n_6605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999034 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[237] [5]),
+	.A2_N(n_3890),
+	.B1(n_3524),
+	.B2(n_2957),
+	.Y(n_6604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999035 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[249] [7]),
+	.A2_N(n_3880),
+	.B1(n_3493),
+	.B2(n_2957),
+	.Y(n_6603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999036 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[242] [2]),
+	.A2_N(n_4167),
+	.B1(n_2968),
+	.B2(n_3463),
+	.Y(n_6602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g999037 (
+	.A1(n_4184),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[187] [3]),
+	.B1(n_3501),
+	.B2(n_2953),
+	.X(n_6601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999038 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[241] [4]),
+	.A2_N(n_3899),
+	.B1(n_3500),
+	.B2(n_2967),
+	.Y(n_6600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999039 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[249] [8]),
+	.A2_N(n_3880),
+	.B1(n_3472),
+	.B2(n_2957),
+	.Y(n_6599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999040 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[249] [6]),
+	.A2_N(n_3880),
+	.B1(n_3458),
+	.B2(n_2957),
+	.Y(n_6598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999041 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[238] [3]),
+	.A2_N(n_3926),
+	.B1(n_2959),
+	.B2(n_3522),
+	.Y(n_6597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999042 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[241] [8]),
+	.A2_N(n_3899),
+	.B1(n_3472),
+	.B2(n_2967),
+	.Y(n_6596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999043 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[249] [5]),
+	.A2_N(n_3880),
+	.B1(n_3484),
+	.B2(n_2957),
+	.Y(n_6595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999044 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[241] [6]),
+	.A2_N(n_3899),
+	.B1(n_3458),
+	.B2(n_2967),
+	.Y(n_6594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999045 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[249] [4]),
+	.A2_N(n_3880),
+	.B1(n_3500),
+	.B2(n_2957),
+	.Y(n_6593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999046 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[241] [3]),
+	.A2_N(n_3899),
+	.B1(n_3464),
+	.B2(n_2967),
+	.Y(n_6592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999047 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[248] [1]),
+	.A2_N(n_4193),
+	.B1(n_2982),
+	.B2(n_3462),
+	.Y(n_6591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999048 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[89] [2]),
+	.A2_N(n_3866),
+	.B1(n_3463),
+	.B2(n_2964),
+	.Y(n_6590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999049 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[90] [6]),
+	.A2_N(n_3886),
+	.B1(n_2974),
+	.B2(n_3458),
+	.Y(n_6589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999050 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[238] [1]),
+	.A2_N(n_3926),
+	.B1(n_2959),
+	.B2(n_3521),
+	.Y(n_6588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999051 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[90] [4]),
+	.A2_N(n_3886),
+	.B1(n_2974),
+	.B2(n_3500),
+	.Y(n_6587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999052 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[241] [2]),
+	.A2_N(n_3899),
+	.B1(n_3463),
+	.B2(n_2967),
+	.Y(n_6586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999053 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[227] [4]),
+	.A2_N(n_3936),
+	.B1(n_2963),
+	.B2(n_3517),
+	.Y(n_6585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999054 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[10] [5]),
+	.A2_N(n_3885),
+	.B1(n_2974),
+	.B2(n_3488),
+	.Y(n_6584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g999055 (
+	.A1(n_4102),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[0]),
+	.B1(n_4110),
+	.B2(soc_top_u_pwm_pwm_core_DC_2[0]),
+	.Y(n_6583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g999056 (
+	.A1(n_4106),
+	.A2(soc_top_u_pwm_pwm_core_divisor[0]),
+	.B1(n_4104),
+	.B2(soc_top_u_pwm_pwm_core_period_2[0]),
+	.Y(n_6582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999057 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[178] [2]),
+	.A2_N(n_4236),
+	.B1(n_2968),
+	.B2(n_3470),
+	.Y(n_6581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999058 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[10] [2]),
+	.A2_N(n_3885),
+	.B1(n_2974),
+	.B2(n_3491),
+	.Y(n_6580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999059 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[59] [4]),
+	.A2_N(n_4182),
+	.B1(n_2976),
+	.B2(n_3505),
+	.Y(n_6579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999060 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[71] [1]),
+	.A2_N(n_3923),
+	.B1(n_3521),
+	.B2(n_2980),
+	.Y(n_6578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g999061 (
+	.A1(n_4102),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[1]),
+	.B1(n_4110),
+	.B2(soc_top_u_pwm_pwm_core_DC_2[1]),
+	.Y(n_6577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999062 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[10] [4]),
+	.A2_N(n_3885),
+	.B1(n_2974),
+	.B2(n_3519),
+	.Y(n_6576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g999063 (
+	.A1(n_4106),
+	.A2(soc_top_u_pwm_pwm_core_divisor[1]),
+	.B1(n_4104),
+	.B2(soc_top_u_pwm_pwm_core_period_2[1]),
+	.Y(n_6575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g999064 (
+	.A1(n_4105),
+	.A2(soc_top_u_pwm_pwm_core_period[2]),
+	.B1(n_4104),
+	.B2(soc_top_u_pwm_pwm_core_period_2[2]),
+	.Y(n_6574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999065 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[10] [3]),
+	.A2_N(n_3885),
+	.B1(n_2974),
+	.B2(n_3511),
+	.Y(n_6573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999066 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[83] [5]),
+	.A2_N(n_3864),
+	.B1(n_2980),
+	.B2(n_3484),
+	.Y(n_6572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999067 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[177] [5]),
+	.A2_N(n_4120),
+	.B1(n_3494),
+	.B2(n_2967),
+	.Y(n_6571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g999068 (
+	.A1(n_4106),
+	.A2(soc_top_u_pwm_pwm_core_divisor[3]),
+	.B1(n_4104),
+	.B2(soc_top_u_pwm_pwm_core_period_2[3]),
+	.Y(n_6570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999069 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[219] [2]),
+	.A2_N(n_3896),
+	.B1(n_2958),
+	.B2(n_3463),
+	.Y(n_6569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g999070 (
+	.A1(n_4102),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[3]),
+	.B1(n_4110),
+	.B2(soc_top_u_pwm_pwm_core_DC_2[3]),
+	.Y(n_6568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g999071 (
+	.A1(n_4105),
+	.A2(soc_top_u_pwm_pwm_core_period[4]),
+	.B1(n_4103),
+	.B2(soc_top_u_pwm_pwm_core_DC_1[4]),
+	.Y(n_6567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999072 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[59] [2]),
+	.A2_N(n_4182),
+	.B1(n_2976),
+	.B2(n_3470),
+	.Y(n_6566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999073 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[77] [8]),
+	.A2_N(n_3925),
+	.B1(n_3530),
+	.B2(n_2964),
+	.Y(n_6565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g999074 (
+	.A1(n_4105),
+	.A2(soc_top_u_pwm_pwm_core_period[5]),
+	.B1(n_4103),
+	.B2(soc_top_u_pwm_pwm_core_DC_1[5]),
+	.Y(n_6564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g999075 (
+	.A1(n_4102),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[5]),
+	.B1(n_4110),
+	.B2(soc_top_u_pwm_pwm_core_DC_2[5]),
+	.Y(n_6563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g999076 (
+	.A1(n_4102),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[6]),
+	.B1(n_4110),
+	.B2(soc_top_u_pwm_pwm_core_DC_2[6]),
+	.Y(n_6562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g999077 (
+	.A1(n_4106),
+	.A2(soc_top_u_pwm_pwm_core_divisor[6]),
+	.B1(n_4104),
+	.B2(soc_top_u_pwm_pwm_core_period_2[6]),
+	.Y(n_6561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999078 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[247] [6]),
+	.A2_N(n_4131),
+	.B1(n_3481),
+	.B2(n_2963),
+	.Y(n_6560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g999079 (
+	.A1(n_4102),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[7]),
+	.B1(n_4110),
+	.B2(soc_top_u_pwm_pwm_core_DC_2[7]),
+	.Y(n_6559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g999080 (
+	.A1(n_4106),
+	.A2(soc_top_u_pwm_pwm_core_divisor[7]),
+	.B1(n_4104),
+	.B2(soc_top_u_pwm_pwm_core_period_2[7]),
+	.Y(n_6558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g999081 (
+	.A1(n_4106),
+	.A2(soc_top_u_pwm_pwm_core_divisor[8]),
+	.B1(n_4104),
+	.B2(soc_top_u_pwm_pwm_core_period_2[8]),
+	.Y(n_6557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g999082 (
+	.A1(n_4102),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[8]),
+	.B1(n_4110),
+	.B2(soc_top_u_pwm_pwm_core_DC_2[8]),
+	.Y(n_6556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g999083 (
+	.A1(n_4105),
+	.A2(soc_top_u_pwm_pwm_core_period[9]),
+	.B1(n_4103),
+	.B2(soc_top_u_pwm_pwm_core_DC_1[9]),
+	.Y(n_6555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999084 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[24] [1]),
+	.A2_N(n_4240),
+	.B1(n_2978),
+	.B2(n_3533),
+	.Y(n_6554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g999085 (
+	.A1(n_4106),
+	.A2(soc_top_u_pwm_pwm_core_divisor[10]),
+	.B1(n_4104),
+	.B2(soc_top_u_pwm_pwm_core_period_2[10]),
+	.Y(n_6553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g999086 (
+	.A1(n_4102),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[10]),
+	.B1(n_4110),
+	.B2(soc_top_u_pwm_pwm_core_DC_2[10]),
+	.Y(n_6552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999087 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[234] [8]),
+	.A2_N(n_3902),
+	.B1(n_2959),
+	.B2(n_3512),
+	.Y(n_6551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g999088 (
+	.A1(n_4102),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[11]),
+	.B1(n_4110),
+	.B2(soc_top_u_pwm_pwm_core_DC_2[11]),
+	.Y(n_6550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g999089 (
+	.A1(n_4106),
+	.A2(soc_top_u_pwm_pwm_core_divisor[11]),
+	.B1(n_4104),
+	.B2(soc_top_u_pwm_pwm_core_period_2[11]),
+	.Y(n_6549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g999090 (
+	.A1(n_4102),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[12]),
+	.B1(n_4110),
+	.B2(soc_top_u_pwm_pwm_core_DC_2[12]),
+	.Y(n_6548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g999091 (
+	.A1(n_4106),
+	.A2(soc_top_u_pwm_pwm_core_divisor[12]),
+	.B1(n_4104),
+	.B2(soc_top_u_pwm_pwm_core_period_2[12]),
+	.Y(n_6547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999092 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[215] [2]),
+	.A2_N(n_4156),
+	.B1(n_3486),
+	.B2(n_2962),
+	.Y(n_6546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g999093 (
+	.A1(n_4102),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[13]),
+	.B1(n_4110),
+	.B2(soc_top_u_pwm_pwm_core_DC_2[13]),
+	.Y(n_6545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999094 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[188] [1]),
+	.A2_N(n_4250),
+	.B1(n_3475),
+	.B2(n_2982),
+	.Y(n_6544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g999095 (
+	.A1(n_4106),
+	.A2(soc_top_u_pwm_pwm_core_divisor[13]),
+	.B1(n_4104),
+	.B2(soc_top_u_pwm_pwm_core_period_2[13]),
+	.Y(n_6543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g999096 (
+	.A1(n_4102),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[14]),
+	.B1(n_4110),
+	.B2(soc_top_u_pwm_pwm_core_DC_2[14]),
+	.Y(n_6542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g999097 (
+	.A1(n_4106),
+	.A2(soc_top_u_pwm_pwm_core_divisor[14]),
+	.B1(n_4104),
+	.B2(soc_top_u_pwm_pwm_core_period_2[14]),
+	.Y(n_6541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999098 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[250] [1]),
+	.A2_N(n_3913),
+	.B1(n_2959),
+	.B2(n_3462),
+	.Y(n_6540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g999099 (
+	.A1(n_4102),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[15]),
+	.B1(n_4110),
+	.B2(soc_top_u_pwm_pwm_core_DC_2[15]),
+	.Y(n_6539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g999100 (
+	.A1(n_4106),
+	.A2(soc_top_u_pwm_pwm_core_divisor[15]),
+	.B1(n_4104),
+	.B2(soc_top_u_pwm_pwm_core_period_2[15]),
+	.Y(n_6538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999101 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[77] [6]),
+	.A2_N(n_3925),
+	.B1(n_3528),
+	.B2(n_2964),
+	.Y(n_6537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999102 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[218] [6]),
+	.A2_N(n_3912),
+	.B1(n_2956),
+	.B2(n_3458),
+	.Y(n_6536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999103 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[237] [4]),
+	.A2_N(n_3890),
+	.B1(n_3526),
+	.B2(n_2957),
+	.Y(n_6535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999104 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[24] [4]),
+	.A2_N(n_4240),
+	.B1(n_2978),
+	.B2(n_3505),
+	.Y(n_6534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999105 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[90] [2]),
+	.A2_N(n_3886),
+	.B1(n_2974),
+	.B2(n_3463),
+	.Y(n_6533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999106 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[231] [3]),
+	.A2_N(n_3891),
+	.B1(n_3522),
+	.B2(n_2963),
+	.Y(n_6532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999107 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[215] [5]),
+	.A2_N(n_4156),
+	.B1(n_3482),
+	.B2(n_2962),
+	.Y(n_6531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999108 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[237] [3]),
+	.A2_N(n_3890),
+	.B1(n_3522),
+	.B2(n_2957),
+	.Y(n_6530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999109 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[253] [2]),
+	.A2_N(n_4153),
+	.B1(n_3486),
+	.B2(n_2957),
+	.Y(n_6529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999110 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[87] [1]),
+	.A2_N(n_3933),
+	.B1(n_3532),
+	.B2(n_2980),
+	.Y(n_6528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999111 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[203] [4]),
+	.A2_N(n_3903),
+	.B1(n_2958),
+	.B2(n_3517),
+	.Y(n_6527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999112 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[186] [7]),
+	.A2_N(n_4202),
+	.B1(n_2959),
+	.B2(n_3507),
+	.Y(n_6526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999113 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[240] [1]),
+	.A2_N(n_4192),
+	.B1(n_2955),
+	.B2(n_3462),
+	.Y(n_6525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999114 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[89] [7]),
+	.A2_N(n_3866),
+	.B1(n_3493),
+	.B2(n_2964),
+	.Y(n_6524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999115 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[73] [7]),
+	.A2_N(n_4161),
+	.B1(n_3513),
+	.B2(n_2964),
+	.Y(n_6523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g999116 (
+	.A1(n_3895),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[251] [4]),
+	.B1(n_3499),
+	.B2(n_2953),
+	.X(n_6522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999117 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[208] [3]),
+	.A2_N(n_4190),
+	.B1(n_3464),
+	.B2(n_2985),
+	.Y(n_6521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999118 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[231] [5]),
+	.A2_N(n_3891),
+	.B1(n_3524),
+	.B2(n_2963),
+	.Y(n_6520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999119 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[89] [8]),
+	.A2_N(n_3866),
+	.B1(n_3472),
+	.B2(n_2964),
+	.Y(n_6519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999120 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[81] [5]),
+	.A2_N(n_3894),
+	.B1(n_3484),
+	.B2(n_2973),
+	.Y(n_6518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999121 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[82] [1]),
+	.A2_N(n_3907),
+	.B1(n_2972),
+	.B2(n_3462),
+	.Y(n_6517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999122 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[90] [1]),
+	.A2_N(n_3886),
+	.B1(n_2974),
+	.B2(n_3462),
+	.Y(n_6516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999123 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[196] [6]),
+	.A2_N(n_4210),
+	.B1(n_3528),
+	.B2(n_2985),
+	.Y(n_6515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999124 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[231] [1]),
+	.A2_N(n_3891),
+	.B1(n_3521),
+	.B2(n_2963),
+	.Y(n_6514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999125 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[74] [1]),
+	.A2_N(n_4152),
+	.B1(n_2974),
+	.B2(n_3514),
+	.Y(n_6513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999126 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[73] [8]),
+	.A2_N(n_4161),
+	.B1(n_3512),
+	.B2(n_2964),
+	.Y(n_6512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999127 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[225] [6]),
+	.A2_N(n_4166),
+	.B1(n_3476),
+	.B2(n_2967),
+	.Y(n_6511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999128 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[85] [5]),
+	.A2_N(n_3932),
+	.B1(n_3482),
+	.B2(n_2973),
+	.Y(n_6510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999129 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[86] [3]),
+	.A2_N(n_4213),
+	.B1(n_3496),
+	.B2(n_2972),
+	.Y(n_6509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999130 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[200] [3]),
+	.A2_N(n_4124),
+	.B1(n_2981),
+	.B2(n_3518),
+	.Y(n_6508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999131 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[85] [7]),
+	.A2_N(n_3932),
+	.B1(n_3480),
+	.B2(n_2973),
+	.Y(n_6507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999132 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[84] [8]),
+	.A2_N(n_4227),
+	.B1(n_3479),
+	.B2(n_2971),
+	.Y(n_6506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999133 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[247] [8]),
+	.A2_N(n_4131),
+	.B1(n_3479),
+	.B2(n_2963),
+	.Y(n_6505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999134 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[81] [7]),
+	.A2_N(n_3894),
+	.B1(n_3493),
+	.B2(n_2973),
+	.Y(n_6504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999135 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[208] [7]),
+	.A2_N(n_4190),
+	.B1(n_3493),
+	.B2(n_2985),
+	.Y(n_6503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999136 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[250] [5]),
+	.A2_N(n_3913),
+	.B1(n_2959),
+	.B2(n_3484),
+	.Y(n_6502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g999137 (
+	.A1(n_4185),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[191] [6]),
+	.B1(n_3460),
+	.B2(n_2953),
+	.X(n_6501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999138 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[247] [7]),
+	.A2_N(n_4131),
+	.B1(n_3480),
+	.B2(n_2963),
+	.Y(n_6500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999139 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[73] [6]),
+	.A2_N(n_4161),
+	.B1(n_3476),
+	.B2(n_2964),
+	.Y(n_6499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999140 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[237] [7]),
+	.A2_N(n_3890),
+	.B1(n_3531),
+	.B2(n_2957),
+	.Y(n_6498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g999141 (
+	.A1(n_36343),
+	.A2(n_4098),
+	.B1(n_3344),
+	.B2(n_4099),
+	.Y(n_6497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999142 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[185] [6]),
+	.A2_N(n_4118),
+	.B1(n_3483),
+	.B2(n_2957),
+	.Y(n_6496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g999143 (
+	.A1(n_3895),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[251] [8]),
+	.B1(n_3471),
+	.B2(n_2953),
+	.X(n_6495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999144 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[194] [1]),
+	.A2_N(n_3909),
+	.B1(n_2984),
+	.B2(n_3514),
+	.Y(n_6494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g999145 (
+	.A1(n_3342),
+	.A2(n_4109),
+	.B1(n_76240),
+	.B2(n_4101),
+	.Y(n_6493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999146 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[186] [1]),
+	.A2_N(n_4202),
+	.B1(n_2959),
+	.B2(n_3533),
+	.Y(n_6492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999147 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[192] [1]),
+	.A2_N(n_4123),
+	.B1(n_3514),
+	.B2(n_2985),
+	.Y(n_6491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999148 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[8] [7]),
+	.A2_N(n_4146),
+	.B1(n_2978),
+	.B2(n_3490),
+	.Y(n_6490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g999149 (
+	.A1(n_76242),
+	.A2(n_4098),
+	.B1(n_3346),
+	.B2(n_4099),
+	.X(n_6489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g999150 (
+	.A1(n_3895),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[251] [7]),
+	.B1(n_3492),
+	.B2(n_2953),
+	.X(n_6488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g999151 (
+	.A1(n_4175),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[255] [8]),
+	.B1(n_3478),
+	.B2(n_2953),
+	.X(n_6487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g999152 (
+	.A1(n_4185),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_buffer[191] [8]),
+	.B1(n_3473),
+	.B2(n_2953),
+	.X(n_6486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999153 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[8] [1]),
+	.A2_N(n_4146),
+	.B1(n_2978),
+	.B2(n_3504),
+	.Y(n_6485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g999154 (
+	.A1(n_3325),
+	.A2(n_4109),
+	.B1(n_36341),
+	.B2(n_4101),
+	.X(n_6484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999155 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[185] [7]),
+	.A2_N(n_4118),
+	.B1(n_3507),
+	.B2(n_2957),
+	.Y(n_6483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g999156 (
+	.A1(n_76237),
+	.A2(n_4098),
+	.B1(n_2618),
+	.B2(n_4099),
+	.Y(n_6482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g999157 (
+	.A1(n_76241),
+	.A2(n_4109),
+	.B1(n_76243),
+	.B2(n_4101),
+	.Y(n_6481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g999158 (
+	.A1(n_76239),
+	.A2(n_4098),
+	.B1(n_36334),
+	.B2(n_4099),
+	.X(n_6480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999159 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[40] [3]),
+	.A2_N(n_4138),
+	.B1(n_2977),
+	.B2(n_3511),
+	.Y(n_6479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999160 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[73] [3]),
+	.A2_N(n_4161),
+	.B1(n_3518),
+	.B2(n_2964),
+	.Y(n_6478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999161 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[215] [6]),
+	.A2_N(n_4156),
+	.B1(n_3481),
+	.B2(n_2962),
+	.Y(n_6477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g999162 (
+	.A1(n_3326),
+	.A2(n_4098),
+	.B1(n_3342),
+	.B2(n_4099),
+	.Y(n_6476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g999163 (
+	.A1(n_3341),
+	.A2(n_4098),
+	.B1(n_76241),
+	.B2(n_4099),
+	.Y(n_6475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g999164 (
+	.A1(n_76243),
+	.A2(n_4098),
+	.B1(n_76237),
+	.B2(n_4099),
+	.X(n_6474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999165 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[193] [1]),
+	.A2_N(n_3943),
+	.B1(n_3514),
+	.B2(n_2975),
+	.Y(n_6473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g999166 (
+	.A1(n_76241),
+	.A2(n_4098),
+	.B1(n_76243),
+	.B2(n_4099),
+	.Y(n_6472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g999167 (
+	.A1(n_3349),
+	.A2(n_4109),
+	.B1(n_3341),
+	.B2(n_4101),
+	.Y(n_6471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g999168 (
+	.A1(n_76238),
+	.A2(n_4098),
+	.B1(n_76239),
+	.B2(n_4099),
+	.Y(n_6470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999169 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[7] [7]),
+	.A2_N(n_3954),
+	.B1(n_3508),
+	.B2(n_2980),
+	.Y(n_6469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g999170 (
+	.A1(n_4109),
+	.A2(n_3332),
+	.B1(n_4101),
+	.B2(n_3328),
+	.Y(n_6468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999171 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[77] [2]),
+	.A2_N(n_3925),
+	.B1(n_3527),
+	.B2(n_2964),
+	.Y(n_6467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g999172 (
+	.A1(n_3342),
+	.A2(n_4098),
+	.B1(n_76240),
+	.B2(n_4099),
+	.X(n_6466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g999173 (
+	.A1(n_3350),
+	.A2(n_4109),
+	.B1(n_3326),
+	.B2(n_4101),
+	.X(n_6465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g999174 (
+	.A1(n_3325),
+	.A2(n_4098),
+	.B1(n_36341),
+	.B2(n_4099),
+	.X(n_6464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g999175 (
+	.A1(n_3331),
+	.A2(n_4101),
+	.B1(n_3327),
+	.B2(n_4109),
+	.X(n_6463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g999176 (
+	.A1(n_3328),
+	.A2(n_4098),
+	.B1(n_76238),
+	.B2(n_4099),
+	.X(n_6462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999177 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[230] [7]),
+	.A2_N(n_4188),
+	.B1(n_3531),
+	.B2(n_2968),
+	.Y(n_6461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g999178 (
+	.A1(n_36335),
+	.A2(n_4109),
+	.B1(n_4101),
+	.B2(n_3332),
+	.X(n_6460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g999179 (
+	.A1(n_76240),
+	.A2(n_4098),
+	.B1(n_36343),
+	.B2(n_4099),
+	.X(n_6459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g999180 (
+	.A1(n_36341),
+	.A2(n_4098),
+	.B1(n_76242),
+	.B2(n_4099),
+	.Y(n_6458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g999181 (
+	.A1(n_3331),
+	.A2(n_4109),
+	.B1(n_3325),
+	.B2(n_4101),
+	.Y(n_6457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999182 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[7] [5]),
+	.A2_N(n_3954),
+	.B1(n_3503),
+	.B2(n_2980),
+	.Y(n_6456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999183 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[215] [7]),
+	.A2_N(n_4156),
+	.B1(n_3480),
+	.B2(n_2962),
+	.Y(n_6455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999184 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[73] [5]),
+	.A2_N(n_4161),
+	.B1(n_3469),
+	.B2(n_2964),
+	.Y(n_6454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999185 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[208] [1]),
+	.A2_N(n_4190),
+	.B1(n_3462),
+	.B2(n_2985),
+	.Y(n_6453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g999186 (
+	.A1(n_76239),
+	.A2(n_4109),
+	.B1(n_36334),
+	.B2(n_4101),
+	.Y(n_6452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999187 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[192] [7]),
+	.A2_N(n_4123),
+	.B1(n_3513),
+	.B2(n_2985),
+	.Y(n_6451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g999188 (
+	.A1(n_3341),
+	.A2(n_4109),
+	.B1(n_76241),
+	.B2(n_4101),
+	.X(n_6450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999189 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[77] [4]),
+	.A2_N(n_3925),
+	.B1(n_3526),
+	.B2(n_2964),
+	.Y(n_6449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999190 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[7] [3]),
+	.A2_N(n_3954),
+	.B1(n_3497),
+	.B2(n_2980),
+	.Y(n_6448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999191 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[190] [4]),
+	.A2_N(n_4200),
+	.B1(n_3466),
+	.B2(n_2959),
+	.Y(n_6447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999192 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[227] [7]),
+	.A2_N(n_3936),
+	.B1(n_2963),
+	.B2(n_3513),
+	.Y(n_6446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999193 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[192] [6]),
+	.A2_N(n_4123),
+	.B1(n_3476),
+	.B2(n_2985),
+	.Y(n_6445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999194 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[221] [5]),
+	.A2_N(n_3951),
+	.B1(n_3482),
+	.B2(n_2969),
+	.Y(n_6444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999195 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[21] [1]),
+	.A2_N(n_4142),
+	.B1(n_3475),
+	.B2(n_2973),
+	.Y(n_6443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g999196 (
+	.A1(n_2992),
+	.A2(soc_top_u_uart_u_uart_core_rx_val[0]),
+	.B1(n_3007),
+	.B2(soc_top_u_uart_u_uart_core_rx_buffer_size[0]),
+	.C1(n_3662),
+	.X(n_6442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g999197 (
+	.A1(n_4094),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [2]),
+	.B1(n_3535),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [34]),
+	.Y(n_6441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g999198 (
+	.A1(n_3960),
+	.A2(soc_top_u_top_u_core_csr_mepc[3]),
+	.B1(n_36361),
+	.B2(soc_top_u_top_u_core_csr_depc[3]),
+	.X(n_6440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999199 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[0] [1]),
+	.A2_N(n_4147),
+	.B1(n_3504),
+	.B2(n_2971),
+	.Y(n_6439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999200 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[0] [2]),
+	.A2_N(n_4147),
+	.B1(n_3491),
+	.B2(n_2971),
+	.Y(n_6438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999201 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[75] [2]),
+	.A2_N(n_4141),
+	.B1(n_2979),
+	.B2(n_3515),
+	.Y(n_6437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999202 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[0] [3]),
+	.A2_N(n_4147),
+	.B1(n_3511),
+	.B2(n_2971),
+	.Y(n_6436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999203 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[0] [4]),
+	.A2_N(n_4147),
+	.B1(n_3519),
+	.B2(n_2971),
+	.Y(n_6435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999204 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[0] [5]),
+	.A2_N(n_4147),
+	.B1(n_3488),
+	.B2(n_2971),
+	.Y(n_6434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999205 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[0] [6]),
+	.A2_N(n_4147),
+	.B1(n_3489),
+	.B2(n_2971),
+	.Y(n_6433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999206 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[0] [7]),
+	.A2_N(n_4147),
+	.B1(n_3490),
+	.B2(n_2971),
+	.Y(n_6432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999207 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[74] [8]),
+	.A2_N(n_4152),
+	.B1(n_2974),
+	.B2(n_3512),
+	.Y(n_6431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999208 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[1] [2]),
+	.A2_N(n_3879),
+	.B1(n_3491),
+	.B2(n_2973),
+	.Y(n_6430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999209 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[204] [1]),
+	.A2_N(n_4208),
+	.B1(n_3521),
+	.B2(n_2981),
+	.Y(n_6429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999210 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[1] [4]),
+	.A2_N(n_3879),
+	.B1(n_3519),
+	.B2(n_2973),
+	.Y(n_6428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999211 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[1] [5]),
+	.A2_N(n_3879),
+	.B1(n_3488),
+	.B2(n_2973),
+	.Y(n_6427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999212 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[1] [6]),
+	.A2_N(n_3879),
+	.B1(n_3489),
+	.B2(n_2973),
+	.Y(n_6426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999213 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[1] [7]),
+	.A2_N(n_3879),
+	.B1(n_3490),
+	.B2(n_2973),
+	.Y(n_6425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999214 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[1] [8]),
+	.A2_N(n_3879),
+	.B1(n_3520),
+	.B2(n_2973),
+	.Y(n_6424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999215 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[2] [1]),
+	.A2_N(n_3908),
+	.B1(n_2972),
+	.B2(n_3504),
+	.Y(n_6423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999216 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[2] [2]),
+	.A2_N(n_3908),
+	.B1(n_2972),
+	.B2(n_3491),
+	.Y(n_6422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999217 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[2] [3]),
+	.A2_N(n_3908),
+	.B1(n_2972),
+	.B2(n_3511),
+	.Y(n_6421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999218 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[2] [4]),
+	.A2_N(n_3908),
+	.B1(n_2972),
+	.B2(n_3519),
+	.Y(n_6420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999219 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[2] [5]),
+	.A2_N(n_3908),
+	.B1(n_2972),
+	.B2(n_3488),
+	.Y(n_6419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999220 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[2] [7]),
+	.A2_N(n_3908),
+	.B1(n_2972),
+	.B2(n_3490),
+	.Y(n_6418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999221 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[2] [8]),
+	.A2_N(n_3908),
+	.B1(n_2972),
+	.B2(n_3520),
+	.Y(n_6417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999222 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[3] [1]),
+	.A2_N(n_3953),
+	.B1(n_2980),
+	.B2(n_3504),
+	.Y(n_6416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999223 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[3] [2]),
+	.A2_N(n_3953),
+	.B1(n_2980),
+	.B2(n_3491),
+	.Y(n_6415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999224 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[69] [3]),
+	.A2_N(n_3946),
+	.B1(n_3522),
+	.B2(n_2973),
+	.Y(n_6414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999225 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[3] [4]),
+	.A2_N(n_3953),
+	.B1(n_2980),
+	.B2(n_3519),
+	.Y(n_6413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999226 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[3] [5]),
+	.A2_N(n_3953),
+	.B1(n_2980),
+	.B2(n_3488),
+	.Y(n_6412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999227 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[3] [6]),
+	.A2_N(n_3953),
+	.B1(n_2980),
+	.B2(n_3489),
+	.Y(n_6411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999228 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[3] [7]),
+	.A2_N(n_3953),
+	.B1(n_2980),
+	.B2(n_3490),
+	.Y(n_6410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999229 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[3] [8]),
+	.A2_N(n_3953),
+	.B1(n_2980),
+	.B2(n_3520),
+	.Y(n_6409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999230 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[4] [1]),
+	.A2_N(n_4119),
+	.B1(n_3457),
+	.B2(n_2971),
+	.Y(n_6408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999231 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[200] [1]),
+	.A2_N(n_4124),
+	.B1(n_2981),
+	.B2(n_3514),
+	.Y(n_6407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999232 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[4] [2]),
+	.A2_N(n_4119),
+	.B1(n_3495),
+	.B2(n_2971),
+	.Y(n_6406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999233 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[4] [3]),
+	.A2_N(n_4119),
+	.B1(n_3497),
+	.B2(n_2971),
+	.Y(n_6405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999234 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[4] [4]),
+	.A2_N(n_4119),
+	.B1(n_3498),
+	.B2(n_2971),
+	.Y(n_6404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999235 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[4] [5]),
+	.A2_N(n_4119),
+	.B1(n_3503),
+	.B2(n_2971),
+	.Y(n_6403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999236 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[4] [7]),
+	.A2_N(n_4119),
+	.B1(n_3508),
+	.B2(n_2971),
+	.Y(n_6402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999237 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[5] [2]),
+	.A2_N(n_3877),
+	.B1(n_3495),
+	.B2(n_2973),
+	.Y(n_6401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999238 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[5] [3]),
+	.A2_N(n_3877),
+	.B1(n_3497),
+	.B2(n_2973),
+	.Y(n_6400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999239 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[5] [4]),
+	.A2_N(n_3877),
+	.B1(n_3498),
+	.B2(n_2973),
+	.Y(n_6399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999240 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[5] [6]),
+	.A2_N(n_3877),
+	.B1(n_3487),
+	.B2(n_2973),
+	.Y(n_6398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999241 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[5] [7]),
+	.A2_N(n_3877),
+	.B1(n_3508),
+	.B2(n_2973),
+	.Y(n_6397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999242 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[79] [4]),
+	.A2_N(n_3917),
+	.B1(n_3526),
+	.B2(n_2979),
+	.Y(n_6396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999243 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[6] [2]),
+	.A2_N(n_3906),
+	.B1(n_3495),
+	.B2(n_2972),
+	.Y(n_6395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999244 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[6] [3]),
+	.A2_N(n_3906),
+	.B1(n_3497),
+	.B2(n_2972),
+	.Y(n_6394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999245 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[6] [4]),
+	.A2_N(n_3906),
+	.B1(n_3498),
+	.B2(n_2972),
+	.Y(n_6393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999246 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[6] [5]),
+	.A2_N(n_3906),
+	.B1(n_3503),
+	.B2(n_2972),
+	.Y(n_6392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999247 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[6] [6]),
+	.A2_N(n_3906),
+	.B1(n_3487),
+	.B2(n_2972),
+	.Y(n_6391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999248 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[6] [7]),
+	.A2_N(n_3906),
+	.B1(n_3508),
+	.B2(n_2972),
+	.Y(n_6390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999249 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[231] [2]),
+	.A2_N(n_3891),
+	.B1(n_3527),
+	.B2(n_2963),
+	.Y(n_6389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999250 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[7] [2]),
+	.A2_N(n_3954),
+	.B1(n_3495),
+	.B2(n_2980),
+	.Y(n_6388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999251 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[7] [4]),
+	.A2_N(n_3954),
+	.B1(n_3498),
+	.B2(n_2980),
+	.Y(n_6387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999252 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[7] [6]),
+	.A2_N(n_3954),
+	.B1(n_3487),
+	.B2(n_2980),
+	.Y(n_6386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999253 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[8] [2]),
+	.A2_N(n_4146),
+	.B1(n_2978),
+	.B2(n_3491),
+	.Y(n_6385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999254 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[8] [3]),
+	.A2_N(n_4146),
+	.B1(n_2978),
+	.B2(n_3511),
+	.Y(n_6384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999255 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[8] [4]),
+	.A2_N(n_4146),
+	.B1(n_2978),
+	.B2(n_3519),
+	.Y(n_6383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999256 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[8] [5]),
+	.A2_N(n_4146),
+	.B1(n_2978),
+	.B2(n_3488),
+	.Y(n_6382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999257 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[8] [6]),
+	.A2_N(n_4146),
+	.B1(n_2978),
+	.B2(n_3489),
+	.Y(n_6381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999258 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[8] [8]),
+	.A2_N(n_4146),
+	.B1(n_2978),
+	.B2(n_3520),
+	.Y(n_6380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999259 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[9] [1]),
+	.A2_N(n_3861),
+	.B1(n_3504),
+	.B2(n_2964),
+	.Y(n_6379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999260 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[9] [2]),
+	.A2_N(n_3861),
+	.B1(n_3491),
+	.B2(n_2964),
+	.Y(n_6378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999261 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[9] [3]),
+	.A2_N(n_3861),
+	.B1(n_3511),
+	.B2(n_2964),
+	.Y(n_6377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999262 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[9] [4]),
+	.A2_N(n_3861),
+	.B1(n_3519),
+	.B2(n_2964),
+	.Y(n_6376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999263 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[9] [5]),
+	.A2_N(n_3861),
+	.B1(n_3488),
+	.B2(n_2964),
+	.Y(n_6375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999264 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[9] [6]),
+	.A2_N(n_3861),
+	.B1(n_3489),
+	.B2(n_2964),
+	.Y(n_6374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999265 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[9] [7]),
+	.A2_N(n_3861),
+	.B1(n_3490),
+	.B2(n_2964),
+	.Y(n_6373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999266 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[9] [8]),
+	.A2_N(n_3861),
+	.B1(n_3520),
+	.B2(n_2964),
+	.Y(n_6372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999267 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[10] [1]),
+	.A2_N(n_3885),
+	.B1(n_2974),
+	.B2(n_3504),
+	.Y(n_6371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999268 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[10] [6]),
+	.A2_N(n_3885),
+	.B1(n_2974),
+	.B2(n_3489),
+	.Y(n_6370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999269 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[10] [7]),
+	.A2_N(n_3885),
+	.B1(n_2974),
+	.B2(n_3490),
+	.Y(n_6369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999270 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[10] [8]),
+	.A2_N(n_3885),
+	.B1(n_2974),
+	.B2(n_3520),
+	.Y(n_6368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999271 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[11] [1]),
+	.A2_N(n_3870),
+	.B1(n_2979),
+	.B2(n_3504),
+	.Y(n_6367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999272 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[11] [2]),
+	.A2_N(n_3870),
+	.B1(n_2979),
+	.B2(n_3491),
+	.Y(n_6366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999273 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[11] [4]),
+	.A2_N(n_3870),
+	.B1(n_2979),
+	.B2(n_3519),
+	.Y(n_6365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999274 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[11] [7]),
+	.A2_N(n_3870),
+	.B1(n_2979),
+	.B2(n_3490),
+	.Y(n_6364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999275 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[12] [2]),
+	.A2_N(n_4145),
+	.B1(n_3495),
+	.B2(n_2978),
+	.Y(n_6363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999276 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[12] [3]),
+	.A2_N(n_4145),
+	.B1(n_3497),
+	.B2(n_2978),
+	.Y(n_6362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999277 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[12] [4]),
+	.A2_N(n_4145),
+	.B1(n_3498),
+	.B2(n_2978),
+	.Y(n_6361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999278 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[12] [5]),
+	.A2_N(n_4145),
+	.B1(n_3503),
+	.B2(n_2978),
+	.Y(n_6360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999279 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[12] [6]),
+	.A2_N(n_4145),
+	.B1(n_3487),
+	.B2(n_2978),
+	.Y(n_6359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999280 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[12] [7]),
+	.A2_N(n_4145),
+	.B1(n_3508),
+	.B2(n_2978),
+	.Y(n_6358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999281 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[12] [8]),
+	.A2_N(n_4145),
+	.B1(n_3509),
+	.B2(n_2978),
+	.Y(n_6357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999282 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[13] [1]),
+	.A2_N(n_3862),
+	.B1(n_3457),
+	.B2(n_2964),
+	.Y(n_6356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999283 (
+	.A1_N(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[7]),
+	.A2_N(n_3323),
+	.B1(n_3018),
+	.B2(n_3706),
+	.Y(n_6355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999284 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[13] [2]),
+	.A2_N(n_3862),
+	.B1(n_3495),
+	.B2(n_2964),
+	.Y(n_6354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999285 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[13] [3]),
+	.A2_N(n_3862),
+	.B1(n_3497),
+	.B2(n_2964),
+	.Y(n_6353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999286 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[13] [5]),
+	.A2_N(n_3862),
+	.B1(n_3503),
+	.B2(n_2964),
+	.Y(n_6352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999287 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[13] [6]),
+	.A2_N(n_3862),
+	.B1(n_3487),
+	.B2(n_2964),
+	.Y(n_6351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999288 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[13] [7]),
+	.A2_N(n_3862),
+	.B1(n_3508),
+	.B2(n_2964),
+	.Y(n_6350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999289 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[14] [1]),
+	.A2_N(n_3883),
+	.B1(n_3457),
+	.B2(n_2974),
+	.Y(n_6349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999290 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[14] [2]),
+	.A2_N(n_3883),
+	.B1(n_3495),
+	.B2(n_2974),
+	.Y(n_6348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999291 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[14] [3]),
+	.A2_N(n_3883),
+	.B1(n_3497),
+	.B2(n_2974),
+	.Y(n_6347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999292 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[14] [4]),
+	.A2_N(n_3883),
+	.B1(n_3498),
+	.B2(n_2974),
+	.Y(n_6346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999293 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[14] [5]),
+	.A2_N(n_3883),
+	.B1(n_3503),
+	.B2(n_2974),
+	.Y(n_6345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999294 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[14] [6]),
+	.A2_N(n_3883),
+	.B1(n_3487),
+	.B2(n_2974),
+	.Y(n_6344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999295 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[14] [7]),
+	.A2_N(n_3883),
+	.B1(n_3508),
+	.B2(n_2974),
+	.Y(n_6343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999296 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[15] [1]),
+	.A2_N(n_3868),
+	.B1(n_3457),
+	.B2(n_2979),
+	.Y(n_6342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999297 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[15] [3]),
+	.A2_N(n_3868),
+	.B1(n_3497),
+	.B2(n_2979),
+	.Y(n_6341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999298 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[15] [5]),
+	.A2_N(n_3868),
+	.B1(n_3503),
+	.B2(n_2979),
+	.Y(n_6340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999299 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[15] [8]),
+	.A2_N(n_3868),
+	.B1(n_3509),
+	.B2(n_2979),
+	.Y(n_6339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999300 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[16] [1]),
+	.A2_N(n_4260),
+	.B1(n_3533),
+	.B2(n_2971),
+	.Y(n_6338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999301 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[84] [3]),
+	.A2_N(n_4227),
+	.B1(n_3496),
+	.B2(n_2971),
+	.Y(n_6337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999302 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[16] [2]),
+	.A2_N(n_4260),
+	.B1(n_3470),
+	.B2(n_2971),
+	.Y(n_6336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999303 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[16] [3]),
+	.A2_N(n_4260),
+	.B1(n_3502),
+	.B2(n_2971),
+	.Y(n_6335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999304 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[16] [4]),
+	.A2_N(n_4260),
+	.B1(n_3505),
+	.B2(n_2971),
+	.Y(n_6334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999305 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[16] [6]),
+	.A2_N(n_4260),
+	.B1(n_3483),
+	.B2(n_2971),
+	.Y(n_6333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999306 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[83] [6]),
+	.A2_N(n_3864),
+	.B1(n_2980),
+	.B2(n_3458),
+	.Y(n_6332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999307 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[253] [3]),
+	.A2_N(n_4153),
+	.B1(n_3496),
+	.B2(n_2957),
+	.Y(n_6331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999308 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[16] [8]),
+	.A2_N(n_4260),
+	.B1(n_3510),
+	.B2(n_2971),
+	.Y(n_6330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999309 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[17] [1]),
+	.A2_N(n_4144),
+	.B1(n_3533),
+	.B2(n_2973),
+	.Y(n_6329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999310 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[17] [2]),
+	.A2_N(n_4144),
+	.B1(n_3470),
+	.B2(n_2973),
+	.Y(n_6328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999311 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[17] [3]),
+	.A2_N(n_4144),
+	.B1(n_3502),
+	.B2(n_2973),
+	.Y(n_6327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999312 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[17] [5]),
+	.A2_N(n_4144),
+	.B1(n_3494),
+	.B2(n_2973),
+	.Y(n_6326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999313 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[17] [6]),
+	.A2_N(n_4144),
+	.B1(n_3483),
+	.B2(n_2973),
+	.Y(n_6325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999314 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[18] [1]),
+	.A2_N(n_4221),
+	.B1(n_2972),
+	.B2(n_3533),
+	.Y(n_6324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g999315 (
+	.A1(n_4275),
+	.A2(soc_top_u_top_u_core_csr_mtvec[8]),
+	.B1(n_3960),
+	.B2(soc_top_u_top_u_core_csr_mepc[8]),
+	.Y(n_6323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999316 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[18] [2]),
+	.A2_N(n_4221),
+	.B1(n_2972),
+	.B2(n_3470),
+	.Y(n_6322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999317 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[18] [3]),
+	.A2_N(n_4221),
+	.B1(n_2972),
+	.B2(n_3502),
+	.Y(n_6321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999318 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[18] [5]),
+	.A2_N(n_4221),
+	.B1(n_2972),
+	.B2(n_3494),
+	.Y(n_6320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999319 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[84] [2]),
+	.A2_N(n_4227),
+	.B1(n_3486),
+	.B2(n_2971),
+	.Y(n_6319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999320 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[19] [1]),
+	.A2_N(n_4143),
+	.B1(n_2980),
+	.B2(n_3533),
+	.Y(n_6318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999321 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[19] [2]),
+	.A2_N(n_4143),
+	.B1(n_2980),
+	.B2(n_3470),
+	.Y(n_6317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999322 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[19] [3]),
+	.A2_N(n_4143),
+	.B1(n_2980),
+	.B2(n_3502),
+	.Y(n_6316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999323 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[19] [4]),
+	.A2_N(n_4143),
+	.B1(n_2980),
+	.B2(n_3505),
+	.Y(n_6315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999324 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[19] [5]),
+	.A2_N(n_4143),
+	.B1(n_2980),
+	.B2(n_3494),
+	.Y(n_6314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999325 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[19] [6]),
+	.A2_N(n_4143),
+	.B1(n_2980),
+	.B2(n_3483),
+	.Y(n_6313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999326 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[19] [8]),
+	.A2_N(n_4143),
+	.B1(n_2980),
+	.B2(n_3510),
+	.Y(n_6312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999327 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[20] [1]),
+	.A2_N(n_4259),
+	.B1(n_3475),
+	.B2(n_2971),
+	.Y(n_6311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999328 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[20] [2]),
+	.A2_N(n_4259),
+	.B1(n_3468),
+	.B2(n_2971),
+	.Y(n_6310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999329 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[20] [3]),
+	.A2_N(n_4259),
+	.B1(n_3465),
+	.B2(n_2971),
+	.Y(n_6309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999330 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[20] [4]),
+	.A2_N(n_4259),
+	.B1(n_3466),
+	.B2(n_2971),
+	.Y(n_6308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999331 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[20] [5]),
+	.A2_N(n_4259),
+	.B1(n_3529),
+	.B2(n_2971),
+	.Y(n_6307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999332 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[20] [6]),
+	.A2_N(n_4259),
+	.B1(n_3461),
+	.B2(n_2971),
+	.Y(n_6306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999333 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[20] [7]),
+	.A2_N(n_4259),
+	.B1(n_3459),
+	.B2(n_2971),
+	.Y(n_6305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999334 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[20] [8]),
+	.A2_N(n_4259),
+	.B1(n_3474),
+	.B2(n_2971),
+	.Y(n_6304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999335 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[21] [2]),
+	.A2_N(n_4142),
+	.B1(n_3468),
+	.B2(n_2973),
+	.Y(n_6303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999336 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[21] [3]),
+	.A2_N(n_4142),
+	.B1(n_3465),
+	.B2(n_2973),
+	.Y(n_6302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999337 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[21] [4]),
+	.A2_N(n_4142),
+	.B1(n_3466),
+	.B2(n_2973),
+	.Y(n_6301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999338 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[21] [5]),
+	.A2_N(n_4142),
+	.B1(n_3529),
+	.B2(n_2973),
+	.Y(n_6300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999339 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[21] [6]),
+	.A2_N(n_4142),
+	.B1(n_3461),
+	.B2(n_2973),
+	.Y(n_6299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999340 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[21] [7]),
+	.A2_N(n_4142),
+	.B1(n_3459),
+	.B2(n_2973),
+	.Y(n_6298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999341 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[21] [8]),
+	.A2_N(n_4142),
+	.B1(n_3474),
+	.B2(n_2973),
+	.Y(n_6297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999342 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[22] [1]),
+	.A2_N(n_4222),
+	.B1(n_3475),
+	.B2(n_2972),
+	.Y(n_6296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999343 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[22] [5]),
+	.A2_N(n_4222),
+	.B1(n_3529),
+	.B2(n_2972),
+	.Y(n_6295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999344 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[22] [6]),
+	.A2_N(n_4222),
+	.B1(n_3461),
+	.B2(n_2972),
+	.Y(n_6294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999345 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[22] [7]),
+	.A2_N(n_4222),
+	.B1(n_3459),
+	.B2(n_2972),
+	.Y(n_6293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999346 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[23] [1]),
+	.A2_N(n_4170),
+	.B1(n_3475),
+	.B2(n_2980),
+	.Y(n_6292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999347 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[23] [2]),
+	.A2_N(n_4170),
+	.B1(n_3468),
+	.B2(n_2980),
+	.Y(n_6291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999348 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[23] [3]),
+	.A2_N(n_4170),
+	.B1(n_3465),
+	.B2(n_2980),
+	.Y(n_6290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999349 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[23] [4]),
+	.A2_N(n_4170),
+	.B1(n_3466),
+	.B2(n_2980),
+	.Y(n_6289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999350 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[23] [5]),
+	.A2_N(n_4170),
+	.B1(n_3529),
+	.B2(n_2980),
+	.Y(n_6288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999351 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[23] [6]),
+	.A2_N(n_4170),
+	.B1(n_3461),
+	.B2(n_2980),
+	.Y(n_6287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999352 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[23] [7]),
+	.A2_N(n_4170),
+	.B1(n_3459),
+	.B2(n_2980),
+	.Y(n_6286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999353 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[23] [8]),
+	.A2_N(n_4170),
+	.B1(n_3474),
+	.B2(n_2980),
+	.Y(n_6285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999354 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[24] [2]),
+	.A2_N(n_4240),
+	.B1(n_2978),
+	.B2(n_3470),
+	.Y(n_6284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999355 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[24] [3]),
+	.A2_N(n_4240),
+	.B1(n_2978),
+	.B2(n_3502),
+	.Y(n_6283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999356 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[24] [5]),
+	.A2_N(n_4240),
+	.B1(n_2978),
+	.B2(n_3494),
+	.Y(n_6282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999357 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[24] [6]),
+	.A2_N(n_4240),
+	.B1(n_2978),
+	.B2(n_3483),
+	.Y(n_6281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999358 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[25] [1]),
+	.A2_N(n_4113),
+	.B1(n_3533),
+	.B2(n_2964),
+	.Y(n_6280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999359 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[25] [2]),
+	.A2_N(n_4113),
+	.B1(n_3470),
+	.B2(n_2964),
+	.Y(n_6279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999360 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[25] [3]),
+	.A2_N(n_4113),
+	.B1(n_3502),
+	.B2(n_2964),
+	.Y(n_6278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999361 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[25] [4]),
+	.A2_N(n_4113),
+	.B1(n_3505),
+	.B2(n_2964),
+	.Y(n_6277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999362 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[25] [5]),
+	.A2_N(n_4113),
+	.B1(n_3494),
+	.B2(n_2964),
+	.Y(n_6276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999363 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[218] [2]),
+	.A2_N(n_3912),
+	.B1(n_2956),
+	.B2(n_3463),
+	.Y(n_6275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999364 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[25] [6]),
+	.A2_N(n_4113),
+	.B1(n_3483),
+	.B2(n_2964),
+	.Y(n_6274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999365 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[25] [7]),
+	.A2_N(n_4113),
+	.B1(n_3507),
+	.B2(n_2964),
+	.Y(n_6273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999366 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[25] [8]),
+	.A2_N(n_4113),
+	.B1(n_3510),
+	.B2(n_2964),
+	.Y(n_6272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999367 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[26] [1]),
+	.A2_N(n_4197),
+	.B1(n_2974),
+	.B2(n_3533),
+	.Y(n_6271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999368 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[19] [7]),
+	.A2_N(n_4143),
+	.B1(n_2980),
+	.B2(n_3507),
+	.Y(n_6270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999369 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[26] [5]),
+	.A2_N(n_4197),
+	.B1(n_2974),
+	.B2(n_3494),
+	.Y(n_6269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999370 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[26] [6]),
+	.A2_N(n_4197),
+	.B1(n_2974),
+	.B2(n_3483),
+	.Y(n_6268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999371 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[233] [4]),
+	.A2_N(n_3910),
+	.B1(n_3517),
+	.B2(n_2957),
+	.Y(n_6267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999372 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[27] [2]),
+	.A2_N(n_4177),
+	.B1(n_2979),
+	.B2(n_3470),
+	.Y(n_6266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999373 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[27] [4]),
+	.A2_N(n_4177),
+	.B1(n_2979),
+	.B2(n_3505),
+	.Y(n_6265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999374 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[27] [5]),
+	.A2_N(n_4177),
+	.B1(n_2979),
+	.B2(n_3494),
+	.Y(n_6264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999375 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[27] [6]),
+	.A2_N(n_4177),
+	.B1(n_2979),
+	.B2(n_3483),
+	.Y(n_6263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999376 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[27] [8]),
+	.A2_N(n_4177),
+	.B1(n_2979),
+	.B2(n_3510),
+	.Y(n_6262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g999377 (
+	.A1(n_4094),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [12]),
+	.B1(n_1232),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [12]),
+	.Y(n_6261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999378 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[28] [1]),
+	.A2_N(n_4248),
+	.B1(n_3475),
+	.B2(n_2978),
+	.Y(n_6260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999379 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[28] [2]),
+	.A2_N(n_4248),
+	.B1(n_3468),
+	.B2(n_2978),
+	.Y(n_6259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999380 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[28] [5]),
+	.A2_N(n_4248),
+	.B1(n_3529),
+	.B2(n_2978),
+	.Y(n_6258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999381 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[28] [6]),
+	.A2_N(n_4248),
+	.B1(n_3461),
+	.B2(n_2978),
+	.Y(n_6257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999382 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[28] [8]),
+	.A2_N(n_4248),
+	.B1(n_3474),
+	.B2(n_2978),
+	.Y(n_6256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999383 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[29] [1]),
+	.A2_N(n_4115),
+	.B1(n_3475),
+	.B2(n_2964),
+	.Y(n_6255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999384 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[29] [3]),
+	.A2_N(n_4115),
+	.B1(n_3465),
+	.B2(n_2964),
+	.Y(n_6254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999385 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[29] [4]),
+	.A2_N(n_4115),
+	.B1(n_3466),
+	.B2(n_2964),
+	.Y(n_6253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999386 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[29] [5]),
+	.A2_N(n_4115),
+	.B1(n_3529),
+	.B2(n_2964),
+	.Y(n_6252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999387 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[29] [6]),
+	.A2_N(n_4115),
+	.B1(n_3461),
+	.B2(n_2964),
+	.Y(n_6251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999388 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[29] [7]),
+	.A2_N(n_4115),
+	.B1(n_3459),
+	.B2(n_2964),
+	.Y(n_6250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999389 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[29] [8]),
+	.A2_N(n_4115),
+	.B1(n_3474),
+	.B2(n_2964),
+	.Y(n_6249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999390 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[30] [1]),
+	.A2_N(n_4198),
+	.B1(n_3475),
+	.B2(n_2974),
+	.Y(n_6248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999391 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[30] [4]),
+	.A2_N(n_4198),
+	.B1(n_3466),
+	.B2(n_2974),
+	.Y(n_6247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999392 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[30] [5]),
+	.A2_N(n_4198),
+	.B1(n_3529),
+	.B2(n_2974),
+	.Y(n_6246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999393 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[30] [7]),
+	.A2_N(n_4198),
+	.B1(n_3459),
+	.B2(n_2974),
+	.Y(n_6245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999394 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[31] [2]),
+	.A2_N(n_4178),
+	.B1(n_3468),
+	.B2(n_2979),
+	.Y(n_6244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999395 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[31] [3]),
+	.A2_N(n_4178),
+	.B1(n_3465),
+	.B2(n_2979),
+	.Y(n_6243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999396 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[31] [4]),
+	.A2_N(n_4178),
+	.B1(n_3466),
+	.B2(n_2979),
+	.Y(n_6242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999397 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[31] [5]),
+	.A2_N(n_4178),
+	.B1(n_3529),
+	.B2(n_2979),
+	.Y(n_6241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999398 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[31] [6]),
+	.A2_N(n_4178),
+	.B1(n_3461),
+	.B2(n_2979),
+	.Y(n_6240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999399 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[31] [7]),
+	.A2_N(n_4178),
+	.B1(n_3459),
+	.B2(n_2979),
+	.Y(n_6239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999400 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[32] [1]),
+	.A2_N(n_4140),
+	.B1(n_2970),
+	.B2(n_3504),
+	.Y(n_6238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999401 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[32] [2]),
+	.A2_N(n_4140),
+	.B1(n_2970),
+	.B2(n_3491),
+	.Y(n_6237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999402 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[32] [3]),
+	.A2_N(n_4140),
+	.B1(n_2970),
+	.B2(n_3511),
+	.Y(n_6236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999403 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[32] [4]),
+	.A2_N(n_4140),
+	.B1(n_2970),
+	.B2(n_3519),
+	.Y(n_6235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999404 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[32] [5]),
+	.A2_N(n_4140),
+	.B1(n_2970),
+	.B2(n_3488),
+	.Y(n_6234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999405 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[32] [6]),
+	.A2_N(n_4140),
+	.B1(n_2970),
+	.B2(n_3489),
+	.Y(n_6233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999406 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[32] [7]),
+	.A2_N(n_4140),
+	.B1(n_2970),
+	.B2(n_3490),
+	.Y(n_6232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999407 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[33] [1]),
+	.A2_N(n_3898),
+	.B1(n_3504),
+	.B2(n_2961),
+	.Y(n_6231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999408 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[33] [2]),
+	.A2_N(n_3898),
+	.B1(n_3491),
+	.B2(n_2961),
+	.Y(n_6230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999409 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[33] [3]),
+	.A2_N(n_3898),
+	.B1(n_3511),
+	.B2(n_2961),
+	.Y(n_6229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999410 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[33] [4]),
+	.A2_N(n_3898),
+	.B1(n_3519),
+	.B2(n_2961),
+	.Y(n_6228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999411 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[33] [5]),
+	.A2_N(n_3898),
+	.B1(n_3488),
+	.B2(n_2961),
+	.Y(n_6227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999412 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[33] [6]),
+	.A2_N(n_3898),
+	.B1(n_3489),
+	.B2(n_2961),
+	.Y(n_6226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999413 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[33] [7]),
+	.A2_N(n_3898),
+	.B1(n_3490),
+	.B2(n_2961),
+	.Y(n_6225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999414 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[33] [8]),
+	.A2_N(n_3898),
+	.B1(n_3520),
+	.B2(n_2961),
+	.Y(n_6224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999415 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[34] [1]),
+	.A2_N(n_3941),
+	.B1(n_2960),
+	.B2(n_3504),
+	.Y(n_6223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999416 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[34] [2]),
+	.A2_N(n_3941),
+	.B1(n_2960),
+	.B2(n_3491),
+	.Y(n_6222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999417 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[204] [2]),
+	.A2_N(n_4208),
+	.B1(n_3527),
+	.B2(n_2981),
+	.Y(n_6221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999418 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[34] [7]),
+	.A2_N(n_3941),
+	.B1(n_2960),
+	.B2(n_3490),
+	.Y(n_6220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999419 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[34] [8]),
+	.A2_N(n_3941),
+	.B1(n_2960),
+	.B2(n_3520),
+	.Y(n_6219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999420 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[35] [2]),
+	.A2_N(n_3873),
+	.B1(n_2983),
+	.B2(n_3491),
+	.Y(n_6218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999421 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[36] [2]),
+	.A2_N(n_4139),
+	.B1(n_3495),
+	.B2(n_2970),
+	.Y(n_6217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999422 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[225] [2]),
+	.A2_N(n_4166),
+	.B1(n_3515),
+	.B2(n_2967),
+	.Y(n_6216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999423 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[36] [3]),
+	.A2_N(n_4139),
+	.B1(n_3497),
+	.B2(n_2970),
+	.Y(n_6215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999424 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[36] [4]),
+	.A2_N(n_4139),
+	.B1(n_3498),
+	.B2(n_2970),
+	.Y(n_6214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999425 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[36] [5]),
+	.A2_N(n_4139),
+	.B1(n_3503),
+	.B2(n_2970),
+	.Y(n_6213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999426 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[36] [6]),
+	.A2_N(n_4139),
+	.B1(n_3487),
+	.B2(n_2970),
+	.Y(n_6212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999427 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[36] [7]),
+	.A2_N(n_4139),
+	.B1(n_3508),
+	.B2(n_2970),
+	.Y(n_6211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999428 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[36] [8]),
+	.A2_N(n_4139),
+	.B1(n_3509),
+	.B2(n_2970),
+	.Y(n_6210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999429 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[37] [1]),
+	.A2_N(n_3897),
+	.B1(n_3457),
+	.B2(n_2961),
+	.Y(n_6209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999430 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[37] [6]),
+	.A2_N(n_3897),
+	.B1(n_3487),
+	.B2(n_2961),
+	.Y(n_6208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999431 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[38] [1]),
+	.A2_N(n_3939),
+	.B1(n_3457),
+	.B2(n_2960),
+	.Y(n_6207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999432 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[38] [2]),
+	.A2_N(n_3939),
+	.B1(n_3495),
+	.B2(n_2960),
+	.Y(n_6206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999433 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[38] [3]),
+	.A2_N(n_3939),
+	.B1(n_3497),
+	.B2(n_2960),
+	.Y(n_6205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999434 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[38] [4]),
+	.A2_N(n_3939),
+	.B1(n_3498),
+	.B2(n_2960),
+	.Y(n_6204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999435 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[38] [6]),
+	.A2_N(n_3939),
+	.B1(n_3487),
+	.B2(n_2960),
+	.Y(n_6203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999436 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[38] [7]),
+	.A2_N(n_3939),
+	.B1(n_3508),
+	.B2(n_2960),
+	.Y(n_6202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999437 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[38] [8]),
+	.A2_N(n_3939),
+	.B1(n_3509),
+	.B2(n_2960),
+	.Y(n_6201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999438 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[39] [1]),
+	.A2_N(n_3874),
+	.B1(n_3457),
+	.B2(n_2983),
+	.Y(n_6200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999439 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[39] [3]),
+	.A2_N(n_3874),
+	.B1(n_3497),
+	.B2(n_2983),
+	.Y(n_6199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999440 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[39] [6]),
+	.A2_N(n_3874),
+	.B1(n_3487),
+	.B2(n_2983),
+	.Y(n_6198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999441 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[39] [7]),
+	.A2_N(n_3874),
+	.B1(n_3508),
+	.B2(n_2983),
+	.Y(n_6197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999442 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[39] [8]),
+	.A2_N(n_3874),
+	.B1(n_3509),
+	.B2(n_2983),
+	.Y(n_6196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999443 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[40] [2]),
+	.A2_N(n_4138),
+	.B1(n_2977),
+	.B2(n_3491),
+	.Y(n_6195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999444 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[40] [4]),
+	.A2_N(n_4138),
+	.B1(n_2977),
+	.B2(n_3519),
+	.Y(n_6194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999445 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[40] [5]),
+	.A2_N(n_4138),
+	.B1(n_2977),
+	.B2(n_3488),
+	.Y(n_6193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999446 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[18] [8]),
+	.A2_N(n_4221),
+	.B1(n_2972),
+	.B2(n_3510),
+	.Y(n_6192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999447 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[40] [6]),
+	.A2_N(n_4138),
+	.B1(n_2977),
+	.B2(n_3489),
+	.Y(n_6191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999448 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[40] [7]),
+	.A2_N(n_4138),
+	.B1(n_2977),
+	.B2(n_3490),
+	.Y(n_6190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999449 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[40] [8]),
+	.A2_N(n_4138),
+	.B1(n_2977),
+	.B2(n_3520),
+	.Y(n_6189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999450 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[41] [1]),
+	.A2_N(n_3860),
+	.B1(n_3504),
+	.B2(n_2966),
+	.Y(n_6188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999451 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[41] [2]),
+	.A2_N(n_3860),
+	.B1(n_3491),
+	.B2(n_2966),
+	.Y(n_6187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999452 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[41] [3]),
+	.A2_N(n_3860),
+	.B1(n_3511),
+	.B2(n_2966),
+	.Y(n_6186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999453 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[234] [2]),
+	.A2_N(n_3902),
+	.B1(n_2959),
+	.B2(n_3515),
+	.Y(n_6185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999454 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[41] [4]),
+	.A2_N(n_3860),
+	.B1(n_3519),
+	.B2(n_2966),
+	.Y(n_6184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999455 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[41] [5]),
+	.A2_N(n_3860),
+	.B1(n_3488),
+	.B2(n_2966),
+	.Y(n_6183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999456 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[41] [6]),
+	.A2_N(n_3860),
+	.B1(n_3489),
+	.B2(n_2966),
+	.Y(n_6182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999457 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[41] [7]),
+	.A2_N(n_3860),
+	.B1(n_3490),
+	.B2(n_2966),
+	.Y(n_6181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999458 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[41] [8]),
+	.A2_N(n_3860),
+	.B1(n_3520),
+	.B2(n_2966),
+	.Y(n_6180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999459 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[42] [1]),
+	.A2_N(n_3882),
+	.B1(n_2965),
+	.B2(n_3504),
+	.Y(n_6179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999460 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[221] [3]),
+	.A2_N(n_3951),
+	.B1(n_3496),
+	.B2(n_2969),
+	.Y(n_6178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999461 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[42] [3]),
+	.A2_N(n_3882),
+	.B1(n_2965),
+	.B2(n_3511),
+	.Y(n_6177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999462 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[42] [7]),
+	.A2_N(n_3882),
+	.B1(n_2965),
+	.B2(n_3490),
+	.Y(n_6176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999463 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[42] [8]),
+	.A2_N(n_3882),
+	.B1(n_2965),
+	.B2(n_3520),
+	.Y(n_6175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999464 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[43] [1]),
+	.A2_N(n_3867),
+	.B1(n_2976),
+	.B2(n_3504),
+	.Y(n_6174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999465 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[43] [2]),
+	.A2_N(n_3867),
+	.B1(n_2976),
+	.B2(n_3491),
+	.Y(n_6173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g999466 (
+	.A1(n_3537),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [50]),
+	.B1(n_4096),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [18]),
+	.X(n_6172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999467 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[43] [5]),
+	.A2_N(n_3867),
+	.B1(n_2976),
+	.B2(n_3488),
+	.Y(n_6171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999468 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[43] [6]),
+	.A2_N(n_3867),
+	.B1(n_2976),
+	.B2(n_3489),
+	.Y(n_6170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999469 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[43] [7]),
+	.A2_N(n_3867),
+	.B1(n_2976),
+	.B2(n_3490),
+	.Y(n_6169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999470 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[43] [8]),
+	.A2_N(n_3867),
+	.B1(n_2976),
+	.B2(n_3520),
+	.Y(n_6168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999471 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[253] [7]),
+	.A2_N(n_4153),
+	.B1(n_3480),
+	.B2(n_2957),
+	.Y(n_6167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999472 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[44] [2]),
+	.A2_N(n_4125),
+	.B1(n_3495),
+	.B2(n_2977),
+	.Y(n_6166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999473 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[44] [3]),
+	.A2_N(n_4125),
+	.B1(n_3497),
+	.B2(n_2977),
+	.Y(n_6165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999474 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[44] [4]),
+	.A2_N(n_4125),
+	.B1(n_3498),
+	.B2(n_2977),
+	.Y(n_6164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999475 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[44] [5]),
+	.A2_N(n_4125),
+	.B1(n_3503),
+	.B2(n_2977),
+	.Y(n_6163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999476 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[44] [6]),
+	.A2_N(n_4125),
+	.B1(n_3487),
+	.B2(n_2977),
+	.Y(n_6162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999477 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[44] [7]),
+	.A2_N(n_4125),
+	.B1(n_3508),
+	.B2(n_2977),
+	.Y(n_6161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999478 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[44] [8]),
+	.A2_N(n_4125),
+	.B1(n_3509),
+	.B2(n_2977),
+	.Y(n_6160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999479 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[45] [1]),
+	.A2_N(n_3859),
+	.B1(n_3457),
+	.B2(n_2966),
+	.Y(n_6159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999480 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[18] [7]),
+	.A2_N(n_4221),
+	.B1(n_2972),
+	.B2(n_3507),
+	.Y(n_6158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999481 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[46] [1]),
+	.A2_N(n_3884),
+	.B1(n_2965),
+	.B2(n_3457),
+	.Y(n_6157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999482 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[46] [2]),
+	.A2_N(n_3884),
+	.B1(n_2965),
+	.B2(n_3495),
+	.Y(n_6156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999483 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[46] [3]),
+	.A2_N(n_3884),
+	.B1(n_2965),
+	.B2(n_3497),
+	.Y(n_6155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999484 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[46] [5]),
+	.A2_N(n_3884),
+	.B1(n_2965),
+	.B2(n_3503),
+	.Y(n_6154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999485 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[46] [6]),
+	.A2_N(n_3884),
+	.B1(n_2965),
+	.B2(n_3487),
+	.Y(n_6153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999486 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[46] [8]),
+	.A2_N(n_3884),
+	.B1(n_2965),
+	.B2(n_3509),
+	.Y(n_6152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999487 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[47] [1]),
+	.A2_N(n_3869),
+	.B1(n_2976),
+	.B2(n_3457),
+	.Y(n_6151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999488 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[47] [3]),
+	.A2_N(n_3869),
+	.B1(n_2976),
+	.B2(n_3497),
+	.Y(n_6150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999489 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[47] [4]),
+	.A2_N(n_3869),
+	.B1(n_2976),
+	.B2(n_3498),
+	.Y(n_6149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999490 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[47] [5]),
+	.A2_N(n_3869),
+	.B1(n_2976),
+	.B2(n_3503),
+	.Y(n_6148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999491 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[18] [6]),
+	.A2_N(n_4221),
+	.B1(n_2972),
+	.B2(n_3483),
+	.Y(n_6147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999492 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[47] [8]),
+	.A2_N(n_3869),
+	.B1(n_2976),
+	.B2(n_3509),
+	.Y(n_6146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999493 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[48] [2]),
+	.A2_N(n_4247),
+	.B1(n_2970),
+	.B2(n_3470),
+	.Y(n_6145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999494 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[48] [3]),
+	.A2_N(n_4247),
+	.B1(n_2970),
+	.B2(n_3502),
+	.Y(n_6144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999495 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[48] [4]),
+	.A2_N(n_4247),
+	.B1(n_2970),
+	.B2(n_3505),
+	.Y(n_6143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999496 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[48] [5]),
+	.A2_N(n_4247),
+	.B1(n_2970),
+	.B2(n_3494),
+	.Y(n_6142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999497 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[48] [6]),
+	.A2_N(n_4247),
+	.B1(n_2970),
+	.B2(n_3483),
+	.Y(n_6141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999498 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[48] [8]),
+	.A2_N(n_4247),
+	.B1(n_2970),
+	.B2(n_3510),
+	.Y(n_6140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999499 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[49] [1]),
+	.A2_N(n_4116),
+	.B1(n_3533),
+	.B2(n_2961),
+	.Y(n_6139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999500 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[49] [6]),
+	.A2_N(n_4116),
+	.B1(n_3483),
+	.B2(n_2961),
+	.Y(n_6138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g999501 (
+	.A1(n_4094),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [21]),
+	.B1(n_4096),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [21]),
+	.Y(n_6137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999502 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[49] [8]),
+	.A2_N(n_4116),
+	.B1(n_3510),
+	.B2(n_2961),
+	.Y(n_6136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999503 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[50] [2]),
+	.A2_N(n_4235),
+	.B1(n_2960),
+	.B2(n_3470),
+	.Y(n_6135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999504 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[50] [3]),
+	.A2_N(n_4235),
+	.B1(n_2960),
+	.B2(n_3502),
+	.Y(n_6134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999505 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[50] [4]),
+	.A2_N(n_4235),
+	.B1(n_2960),
+	.B2(n_3505),
+	.Y(n_6133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999506 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[50] [5]),
+	.A2_N(n_4235),
+	.B1(n_2960),
+	.B2(n_3494),
+	.Y(n_6132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999507 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[50] [6]),
+	.A2_N(n_4235),
+	.B1(n_2960),
+	.B2(n_3483),
+	.Y(n_6131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999508 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[50] [8]),
+	.A2_N(n_4235),
+	.B1(n_2960),
+	.B2(n_3510),
+	.Y(n_6130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999509 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[51] [1]),
+	.A2_N(n_4117),
+	.B1(n_2983),
+	.B2(n_3533),
+	.Y(n_6129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999510 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[51] [2]),
+	.A2_N(n_4117),
+	.B1(n_2983),
+	.B2(n_3470),
+	.Y(n_6128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999511 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[51] [4]),
+	.A2_N(n_4117),
+	.B1(n_2983),
+	.B2(n_3505),
+	.Y(n_6127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999512 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[208] [5]),
+	.A2_N(n_4190),
+	.B1(n_3484),
+	.B2(n_2985),
+	.Y(n_6126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999513 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[51] [7]),
+	.A2_N(n_4117),
+	.B1(n_2983),
+	.B2(n_3507),
+	.Y(n_6125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999514 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[51] [8]),
+	.A2_N(n_4117),
+	.B1(n_2983),
+	.B2(n_3510),
+	.Y(n_6124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999515 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[52] [1]),
+	.A2_N(n_4258),
+	.B1(n_3475),
+	.B2(n_2970),
+	.Y(n_6123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999516 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[52] [2]),
+	.A2_N(n_4258),
+	.B1(n_3468),
+	.B2(n_2970),
+	.Y(n_6122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999517 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[52] [3]),
+	.A2_N(n_4258),
+	.B1(n_3465),
+	.B2(n_2970),
+	.Y(n_6121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999518 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[52] [4]),
+	.A2_N(n_4258),
+	.B1(n_3466),
+	.B2(n_2970),
+	.Y(n_6120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999519 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[52] [5]),
+	.A2_N(n_4258),
+	.B1(n_3529),
+	.B2(n_2970),
+	.Y(n_6119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999520 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[52] [7]),
+	.A2_N(n_4258),
+	.B1(n_3459),
+	.B2(n_2970),
+	.Y(n_6118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999521 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[52] [8]),
+	.A2_N(n_4258),
+	.B1(n_3474),
+	.B2(n_2970),
+	.Y(n_6117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999522 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[53] [1]),
+	.A2_N(n_4267),
+	.B1(n_3475),
+	.B2(n_2961),
+	.Y(n_6116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999523 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[53] [3]),
+	.A2_N(n_4267),
+	.B1(n_3465),
+	.B2(n_2961),
+	.Y(n_6115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999524 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[18] [4]),
+	.A2_N(n_4221),
+	.B1(n_2972),
+	.B2(n_3505),
+	.Y(n_6114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999525 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[53] [7]),
+	.A2_N(n_4267),
+	.B1(n_3459),
+	.B2(n_2961),
+	.Y(n_6113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999526 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[79] [2]),
+	.A2_N(n_3917),
+	.B1(n_3527),
+	.B2(n_2979),
+	.Y(n_6112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999527 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[54] [1]),
+	.A2_N(n_4231),
+	.B1(n_3475),
+	.B2(n_2960),
+	.Y(n_6111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999528 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[54] [2]),
+	.A2_N(n_4231),
+	.B1(n_3468),
+	.B2(n_2960),
+	.Y(n_6110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999529 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[233] [5]),
+	.A2_N(n_3910),
+	.B1(n_3469),
+	.B2(n_2957),
+	.Y(n_6109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999530 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[54] [4]),
+	.A2_N(n_4231),
+	.B1(n_3466),
+	.B2(n_2960),
+	.Y(n_6108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999531 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[54] [5]),
+	.A2_N(n_4231),
+	.B1(n_3529),
+	.B2(n_2960),
+	.Y(n_6107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999532 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[54] [6]),
+	.A2_N(n_4231),
+	.B1(n_3461),
+	.B2(n_2960),
+	.Y(n_6106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999533 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[54] [7]),
+	.A2_N(n_4231),
+	.B1(n_3459),
+	.B2(n_2960),
+	.Y(n_6105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999534 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[54] [8]),
+	.A2_N(n_4231),
+	.B1(n_3474),
+	.B2(n_2960),
+	.Y(n_6104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999535 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[55] [1]),
+	.A2_N(n_4274),
+	.B1(n_3475),
+	.B2(n_2983),
+	.Y(n_6103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999536 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[55] [3]),
+	.A2_N(n_4274),
+	.B1(n_3465),
+	.B2(n_2983),
+	.Y(n_6102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999537 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[55] [5]),
+	.A2_N(n_4274),
+	.B1(n_3529),
+	.B2(n_2983),
+	.Y(n_6101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999538 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[56] [1]),
+	.A2_N(n_4244),
+	.B1(n_2977),
+	.B2(n_3533),
+	.Y(n_6100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999539 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[56] [2]),
+	.A2_N(n_4244),
+	.B1(n_2977),
+	.B2(n_3470),
+	.Y(n_6099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999540 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[56] [3]),
+	.A2_N(n_4244),
+	.B1(n_2977),
+	.B2(n_3502),
+	.Y(n_6098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999541 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[56] [4]),
+	.A2_N(n_4244),
+	.B1(n_2977),
+	.B2(n_3505),
+	.Y(n_6097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999542 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[56] [5]),
+	.A2_N(n_4244),
+	.B1(n_2977),
+	.B2(n_3494),
+	.Y(n_6096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999543 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[56] [6]),
+	.A2_N(n_4244),
+	.B1(n_2977),
+	.B2(n_3483),
+	.Y(n_6095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999544 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[56] [7]),
+	.A2_N(n_4244),
+	.B1(n_2977),
+	.B2(n_3507),
+	.Y(n_6094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999545 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[56] [8]),
+	.A2_N(n_4244),
+	.B1(n_2977),
+	.B2(n_3510),
+	.Y(n_6093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999546 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[57] [1]),
+	.A2_N(n_4149),
+	.B1(n_3533),
+	.B2(n_2966),
+	.Y(n_6092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999547 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[57] [2]),
+	.A2_N(n_4149),
+	.B1(n_3470),
+	.B2(n_2966),
+	.Y(n_6091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999548 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[57] [4]),
+	.A2_N(n_4149),
+	.B1(n_3505),
+	.B2(n_2966),
+	.Y(n_6090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999549 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[57] [6]),
+	.A2_N(n_4149),
+	.B1(n_3483),
+	.B2(n_2966),
+	.Y(n_6089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999550 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[57] [7]),
+	.A2_N(n_4149),
+	.B1(n_3507),
+	.B2(n_2966),
+	.Y(n_6088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999551 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[58] [2]),
+	.A2_N(n_4207),
+	.B1(n_2965),
+	.B2(n_3470),
+	.Y(n_6087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999552 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[58] [3]),
+	.A2_N(n_4207),
+	.B1(n_2965),
+	.B2(n_3502),
+	.Y(n_6086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999553 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[58] [4]),
+	.A2_N(n_4207),
+	.B1(n_2965),
+	.B2(n_3505),
+	.Y(n_6085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999554 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[58] [5]),
+	.A2_N(n_4207),
+	.B1(n_2965),
+	.B2(n_3494),
+	.Y(n_6084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999555 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[58] [6]),
+	.A2_N(n_4207),
+	.B1(n_2965),
+	.B2(n_3483),
+	.Y(n_6083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999556 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[17] [7]),
+	.A2_N(n_4144),
+	.B1(n_3507),
+	.B2(n_2973),
+	.Y(n_6082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999557 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[58] [8]),
+	.A2_N(n_4207),
+	.B1(n_2965),
+	.B2(n_3510),
+	.Y(n_6081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999558 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[59] [1]),
+	.A2_N(n_4182),
+	.B1(n_2976),
+	.B2(n_3533),
+	.Y(n_6080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999559 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[59] [3]),
+	.A2_N(n_4182),
+	.B1(n_2976),
+	.B2(n_3502),
+	.Y(n_6079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999560 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[59] [5]),
+	.A2_N(n_4182),
+	.B1(n_2976),
+	.B2(n_3494),
+	.Y(n_6078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999561 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[59] [6]),
+	.A2_N(n_4182),
+	.B1(n_2976),
+	.B2(n_3483),
+	.Y(n_6077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999562 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[59] [7]),
+	.A2_N(n_4182),
+	.B1(n_2976),
+	.B2(n_3507),
+	.Y(n_6076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999563 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[59] [8]),
+	.A2_N(n_4182),
+	.B1(n_2976),
+	.B2(n_3510),
+	.Y(n_6075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999564 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[60] [2]),
+	.A2_N(n_4257),
+	.B1(n_3468),
+	.B2(n_2977),
+	.Y(n_6074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999565 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[60] [3]),
+	.A2_N(n_4257),
+	.B1(n_3465),
+	.B2(n_2977),
+	.Y(n_6073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999566 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[60] [4]),
+	.A2_N(n_4257),
+	.B1(n_3466),
+	.B2(n_2977),
+	.Y(n_6072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999567 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[60] [5]),
+	.A2_N(n_4257),
+	.B1(n_3529),
+	.B2(n_2977),
+	.Y(n_6071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999568 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[60] [6]),
+	.A2_N(n_4257),
+	.B1(n_3461),
+	.B2(n_2977),
+	.Y(n_6070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999569 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[60] [7]),
+	.A2_N(n_4257),
+	.B1(n_3459),
+	.B2(n_2977),
+	.Y(n_6069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999570 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[60] [8]),
+	.A2_N(n_4257),
+	.B1(n_3474),
+	.B2(n_2977),
+	.Y(n_6068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999571 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[61] [1]),
+	.A2_N(n_4268),
+	.B1(n_3475),
+	.B2(n_2966),
+	.Y(n_6067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999572 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[61] [2]),
+	.A2_N(n_4268),
+	.B1(n_3468),
+	.B2(n_2966),
+	.Y(n_6066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999573 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[61] [3]),
+	.A2_N(n_4268),
+	.B1(n_3465),
+	.B2(n_2966),
+	.Y(n_6065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999574 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[61] [5]),
+	.A2_N(n_4268),
+	.B1(n_3529),
+	.B2(n_2966),
+	.Y(n_6064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999575 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[61] [6]),
+	.A2_N(n_4268),
+	.B1(n_3461),
+	.B2(n_2966),
+	.Y(n_6063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999576 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[61] [7]),
+	.A2_N(n_4268),
+	.B1(n_3459),
+	.B2(n_2966),
+	.Y(n_6062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999577 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[62] [1]),
+	.A2_N(n_4196),
+	.B1(n_3475),
+	.B2(n_2965),
+	.Y(n_6061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999578 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[62] [2]),
+	.A2_N(n_4196),
+	.B1(n_3468),
+	.B2(n_2965),
+	.Y(n_6060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999579 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[62] [3]),
+	.A2_N(n_4196),
+	.B1(n_3465),
+	.B2(n_2965),
+	.Y(n_6059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999580 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[62] [4]),
+	.A2_N(n_4196),
+	.B1(n_3466),
+	.B2(n_2965),
+	.Y(n_6058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999581 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[62] [5]),
+	.A2_N(n_4196),
+	.B1(n_3529),
+	.B2(n_2965),
+	.Y(n_6057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999582 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[62] [6]),
+	.A2_N(n_4196),
+	.B1(n_3461),
+	.B2(n_2965),
+	.Y(n_6056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999583 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[62] [7]),
+	.A2_N(n_4196),
+	.B1(n_3459),
+	.B2(n_2965),
+	.Y(n_6055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999584 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[62] [8]),
+	.A2_N(n_4196),
+	.B1(n_3474),
+	.B2(n_2965),
+	.Y(n_6054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999585 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[63] [1]),
+	.A2_N(n_4174),
+	.B1(n_3475),
+	.B2(n_2976),
+	.Y(n_6053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999586 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[63] [5]),
+	.A2_N(n_4174),
+	.B1(n_3529),
+	.B2(n_2976),
+	.Y(n_6052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999587 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[63] [7]),
+	.A2_N(n_4174),
+	.B1(n_3459),
+	.B2(n_2976),
+	.Y(n_6051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999588 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[64] [3]),
+	.A2_N(n_3947),
+	.B1(n_3518),
+	.B2(n_2971),
+	.Y(n_6050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999589 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[16] [7]),
+	.A2_N(n_4260),
+	.B1(n_3507),
+	.B2(n_2971),
+	.Y(n_6049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999590 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[64] [4]),
+	.A2_N(n_3947),
+	.B1(n_3517),
+	.B2(n_2971),
+	.Y(n_6048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999591 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[64] [5]),
+	.A2_N(n_3947),
+	.B1(n_3469),
+	.B2(n_2971),
+	.Y(n_6047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999592 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[64] [6]),
+	.A2_N(n_3947),
+	.B1(n_3476),
+	.B2(n_2971),
+	.Y(n_6046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999593 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[64] [7]),
+	.A2_N(n_3947),
+	.B1(n_3513),
+	.B2(n_2971),
+	.Y(n_6045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999594 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[17] [8]),
+	.A2_N(n_4144),
+	.B1(n_3510),
+	.B2(n_2973),
+	.Y(n_6044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999595 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[65] [1]),
+	.A2_N(n_4189),
+	.B1(n_3514),
+	.B2(n_2973),
+	.Y(n_6043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999596 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[65] [3]),
+	.A2_N(n_4189),
+	.B1(n_3518),
+	.B2(n_2973),
+	.Y(n_6042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999597 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[126] [1]),
+	.A2_N(n_4164),
+	.B1(n_3532),
+	.B2(n_2965),
+	.Y(n_6041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999598 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[65] [4]),
+	.A2_N(n_4189),
+	.B1(n_3517),
+	.B2(n_2973),
+	.Y(n_6040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999599 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[65] [5]),
+	.A2_N(n_4189),
+	.B1(n_3469),
+	.B2(n_2973),
+	.Y(n_6039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g999600 (
+	.A1(n_4094),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [27]),
+	.B1(n_3535),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [59]),
+	.Y(n_6038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999601 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[66] [2]),
+	.A2_N(n_3949),
+	.B1(n_2972),
+	.B2(n_3515),
+	.Y(n_6037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999602 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[66] [5]),
+	.A2_N(n_3949),
+	.B1(n_2972),
+	.B2(n_3469),
+	.Y(n_6036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999603 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[66] [6]),
+	.A2_N(n_3949),
+	.B1(n_2972),
+	.B2(n_3476),
+	.Y(n_6035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999604 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[66] [7]),
+	.A2_N(n_3949),
+	.B1(n_2972),
+	.B2(n_3513),
+	.Y(n_6034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999605 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[66] [8]),
+	.A2_N(n_3949),
+	.B1(n_2972),
+	.B2(n_3512),
+	.Y(n_6033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999606 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[67] [1]),
+	.A2_N(n_4158),
+	.B1(n_2980),
+	.B2(n_3514),
+	.Y(n_6032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999607 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[67] [2]),
+	.A2_N(n_4158),
+	.B1(n_2980),
+	.B2(n_3515),
+	.Y(n_6031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999608 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[253] [8]),
+	.A2_N(n_4153),
+	.B1(n_3479),
+	.B2(n_2957),
+	.Y(n_6030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999609 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[67] [3]),
+	.A2_N(n_4158),
+	.B1(n_2980),
+	.B2(n_3518),
+	.Y(n_6029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999610 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[67] [5]),
+	.A2_N(n_4158),
+	.B1(n_2980),
+	.B2(n_3469),
+	.Y(n_6028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999611 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[68] [1]),
+	.A2_N(n_3929),
+	.B1(n_3521),
+	.B2(n_2971),
+	.Y(n_6027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999612 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[68] [3]),
+	.A2_N(n_3929),
+	.B1(n_3522),
+	.B2(n_2971),
+	.Y(n_6026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999613 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[68] [6]),
+	.A2_N(n_3929),
+	.B1(n_3528),
+	.B2(n_2971),
+	.Y(n_6025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999614 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[68] [7]),
+	.A2_N(n_3929),
+	.B1(n_3531),
+	.B2(n_2971),
+	.Y(n_6024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999615 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[69] [1]),
+	.A2_N(n_3946),
+	.B1(n_3521),
+	.B2(n_2973),
+	.Y(n_6023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999616 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[69] [2]),
+	.A2_N(n_3946),
+	.B1(n_3527),
+	.B2(n_2973),
+	.Y(n_6022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g999624 (
+	.A(n_32),
+	.B(n_2280),
+	.C(n_3383),
+	.D(n_85185),
+	.Y(n_6021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g999637 (
+	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_rdata_outstanding_q[1]),
+	.A2(n_3964),
+	.B1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_rdata_outstanding_q[0]),
+	.Y(n_7206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g999638 (
+	.A1(n_136),
+	.A2(soc_top_iccm_adapter_inst_mem_u_reqfifo_n_68),
+	.B1(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.C1(n_3353),
+	.D1(n_70352),
+	.X(n_7205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41o_1 g999642 (
+	.A1(n_3811),
+	.A2(n_2431),
+	.A3(n_35001),
+	.A4(n_75676),
+	.B1(n_80815),
+	.X(n_7204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g999676 (
+	.A(n_4691),
+	.B(n_2205),
+	.Y(n_7203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g999696 (
+	.A(n_103),
+	.B(n_4698),
+	.Y(n_7202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g999697 (
+	.A(n_4563),
+	.B(n_87131),
+	.Y(n_7201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g999702 (
+	.A(n_1359),
+	.B(n_4740),
+	.Y(n_7199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g999710 (
+	.A(n_4697),
+	.B_N(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_rdata_outstanding_q[0]),
+	.Y(n_7197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g999711 (
+	.A(n_4745),
+	.B(soc_top_u_pwm_pwm_core_period_counter1[7]),
+	.Y(n_7196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g999712 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [7]),
+	.B(n_3567),
+	.Y(n_7195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g999713 (
+	.A(n_1630),
+	.B_N(n_4691),
+	.Y(n_7194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 g999715 (
+	.A1(n_1557),
+	.A2(n_1973),
+	.B1(n_3351),
+	.B2(n_3841),
+	.C1(n_1321),
+	.X(n_7193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g999716 (
+	.A_N(n_4742),
+	.B(soc_top_u_pwm_pwm_core_counter_p2[7]),
+	.Y(n_7192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g999720 (
+	.A(n_3575),
+	.B(n_4554),
+	.Y(n_7191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g999721 (
+	.A1(n_1282),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Inf_a_S ),
+	.B1(n_4427),
+	.Y(n_7190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g999722 (
+	.A(n_571),
+	.B(n_4589),
+	.Y(n_7188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g999724 (
+	.A(n_35398),
+	.B(n_1570),
+	.Y(n_7187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g999725 (
+	.A1(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.A2(soc_top_iccm_adapter_inst_mem_u_reqfifo_n_68),
+	.B1(n_212),
+	.C1(n_3353),
+	.D1(n_70352),
+	.Y(n_7186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g999726 (
+	.A_N(n_4737),
+	.B(soc_top_u_pwm_pwm_core_counter_p1[7]),
+	.Y(n_7185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g999727 (
+	.A(n_144),
+	.B(n_1533),
+	.C(n_4278),
+	.Y(n_7184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g999728 (
+	.A(\soc_top_intr_controller_irq_id_o[0] [0]),
+	.B(n_4597),
+	.Y(n_7183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g999729 (
+	.A(n_4588),
+	.B(n_1599),
+	.Y(n_7182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g999730 (
+	.A(n_3583),
+	.B(n_454),
+	.Y(n_7181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g999731 (
+	.A(n_29702),
+	.B(n_3756),
+	.C(n_72033),
+	.X(n_7180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g999732 (
+	.A(n_35398),
+	.B(n_1604),
+	.Y(n_7179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g999734 (
+	.A(n_68385),
+	.B(n_4554),
+	.Y(n_7178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g999735 (
+	.A_N(n_1371),
+	.B(n_35398),
+	.Y(n_7177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g999737 (
+	.A(n_35398),
+	.B(n_1599),
+	.Y(n_7176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g999738 (
+	.A(n_4691),
+	.B(n_1284),
+	.Y(n_7175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g999739 (
+	.A(n_4778),
+	.B(n_3723),
+	.Y(n_7173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g999740 (
+	.A(n_4588),
+	.B(n_1604),
+	.Y(n_7172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g999741 (
+	.A(n_1371),
+	.B(n_4587),
+	.Y(n_7171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g999742 (
+	.A(n_4588),
+	.B(n_1570),
+	.Y(n_7170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g999743 (
+	.A_N(n_75185),
+	.B(n_3576),
+	.Y(n_7169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g999745 (
+	.A(n_68385),
+	.B(n_35336),
+	.C(n_597),
+	.X(n_7166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g999746 (
+	.A1(n_68385),
+	.A2(n_35336),
+	.B1(soc_top_u_top_u_core_is_fp_instr),
+	.Y(n_7165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g999747 (
+	.A(n_4573),
+	.B(n_70436),
+	.C(n_70406),
+	.Y(n_7163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g999748 (
+	.A(n_1372),
+	.B(n_4554),
+	.Y(n_7162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g999752 (
+	.A(n_4741),
+	.B(n_595),
+	.Y(n_7160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g999753 (
+	.A1(n_4077),
+	.A2(soc_top_system_rst_ni),
+	.B1(n_1279),
+	.Y(n_7157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g999755 (
+	.A(n_3576),
+	.B(n_75185),
+	.Y(n_7156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g999756 (
+	.A(n_4554),
+	.B(n_4556),
+	.Y(n_7154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g999757 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_branch_discard_q[0]),
+	.B(n_4712),
+	.Y(n_7153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g999758 (
+	.A(soc_top_u_top_u_core_rf_waddr_wb[0]),
+	.B_N(n_4623),
+	.Y(n_7151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g999761 (
+	.A(soc_top_u_top_u_core_rf_waddr_wb[0]),
+	.B(n_4601),
+	.Y(n_7149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g999762 (
+	.A(n_4601),
+	.B_N(soc_top_u_top_u_core_rf_waddr_wb[0]),
+	.Y(n_7147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g999763 (
+	.A(n_4738),
+	.B_N(soc_top_u_top_u_core_pc_set),
+	.Y(n_7145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g999764 (
+	.A_N(n_4738),
+	.B(n_29399),
+	.Y(n_7143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g999765 (
+	.A(n_4623),
+	.B(soc_top_u_top_u_core_rf_waddr_wb[0]),
+	.Y(n_7142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g999766 (
+	.A(n_4443),
+	.B(n_1377),
+	.C(soc_top_u_top_u_core_is_fp_instr),
+	.X(n_7140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g999767 (
+	.A(n_3592),
+	.B(n_4666),
+	.Y(n_7139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g999768 (
+	.A(n_4562),
+	.B(n_2150),
+	.Y(n_7137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g999769 (
+	.A(n_4562),
+	.B(n_1511),
+	.Y(n_7136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_2 g999770 (
+	.A(n_69931),
+	.B(n_4561),
+	.C_N(n_1268),
+	.X(n_7135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g999771 (
+	.A(n_3590),
+	.B(n_4666),
+	.Y(n_7134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g999772 (
+	.A(n_3003),
+	.B(n_4561),
+	.X(n_7132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g999773 (
+	.A(n_16),
+	.B(n_2687),
+	.C(n_4561),
+	.X(n_7131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4b_1 g999774 (
+	.A(n_1277),
+	.B(n_2687),
+	.C(n_4561),
+	.D_N(n_29447),
+	.X(n_7130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_2 g999775 (
+	.A(n_4571),
+	.B(soc_top_u_top_u_core_multdiv_operand_b_ex[0]),
+	.C(n_1302),
+	.X(n_7129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g999776 (
+	.A_N(n_4698),
+	.B(n_2596),
+	.X(n_7128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g999777 (
+	.A_N(n_4744),
+	.B(n_10),
+	.X(n_7127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g999778 (
+	.A_N(n_4750),
+	.B(n_10),
+	.X(n_7126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g999779 (
+	.A_N(n_4620),
+	.B(n_10),
+	.X(n_7125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g999780 (
+	.A_N(n_4753),
+	.B(n_10),
+	.X(n_7124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g999782 (
+	.A_N(n_2043),
+	.B(n_4571),
+	.X(n_7122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g999783 (
+	.A_N(n_4754),
+	.B(n_10),
+	.X(n_7121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g999784 (
+	.A_N(n_4759),
+	.B(n_10),
+	.X(n_7120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g999786 (
+	.A(n_4562),
+	.B(n_1505),
+	.X(n_7118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g999787 (
+	.A_N(n_4758),
+	.B(n_10),
+	.X(n_7117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g999788 (
+	.A(n_2217),
+	.B(n_4689),
+	.X(n_7116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g999789 (
+	.A_N(n_4617),
+	.B(n_10),
+	.X(n_7115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g999790 (
+	.A_N(n_4615),
+	.B(n_10),
+	.X(n_7114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g999791 (
+	.A(n_4691),
+	.B(FE_DBTN143_soc_top_u_top_u_core_multdiv_operator_ex_1),
+	.X(n_7113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g999792 (
+	.A(n_2226),
+	.B(n_4689),
+	.X(n_7112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_2 g999793 (
+	.A(n_3973),
+	.B(n_2001),
+	.C(soc_top_u_top_u_core_alu_operator_ex[3]),
+	.X(n_7111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_2 g999794 (
+	.A(n_4276),
+	.B(soc_top_u_top_u_core_id_stage_i_div_en_dec),
+	.C(n_34952),
+	.X(n_7110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g999795 (
+	.A_N(n_4751),
+	.B(n_10),
+	.X(n_7109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g999796 (
+	.A_N(n_4756),
+	.B(n_10),
+	.X(n_7108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g999797 (
+	.A_N(n_4749),
+	.B(n_10),
+	.X(n_7107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g999798 (
+	.A(n_2218),
+	.B(n_4689),
+	.X(n_7106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g999799 (
+	.A(n_2236),
+	.B(n_4689),
+	.X(n_7105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g999800 (
+	.A_N(n_2219),
+	.B(n_4692),
+	.X(n_7104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g999801 (
+	.A_N(n_2225),
+	.B(n_4692),
+	.X(n_7103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g999802 (
+	.A(n_2224),
+	.B(n_4693),
+	.X(n_7102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g999803 (
+	.A_N(n_4626),
+	.B(n_10),
+	.X(n_7101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g999804 (
+	.A(n_2226),
+	.B(n_4693),
+	.X(n_7100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g999805 (
+	.A_N(n_4747),
+	.B(n_10),
+	.X(n_7099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g999806 (
+	.A(n_2218),
+	.B(n_4693),
+	.X(n_7098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g999807 (
+	.A_N(n_2222),
+	.B(n_4690),
+	.X(n_7097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g999808 (
+	.A(n_2236),
+	.B(n_4693),
+	.X(n_7096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g999809 (
+	.A_N(n_1526),
+	.B(n_4667),
+	.X(n_7095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g999810 (
+	.A_N(n_4752),
+	.B(n_10),
+	.X(n_7093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g999811 (
+	.A_N(n_2219),
+	.B(n_4690),
+	.X(n_7092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g999812 (
+	.A_N(n_2225),
+	.B(n_4690),
+	.X(n_7091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g999813 (
+	.A(n_2224),
+	.B(n_4689),
+	.X(n_7090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g999814 (
+	.A_N(n_4755),
+	.B(n_10),
+	.X(n_7089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g999815 (
+	.A_N(n_2222),
+	.B(n_4692),
+	.X(n_7088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_2 g999816 (
+	.A(n_227),
+	.B(soc_top_u_top_u_core_id_stage_i_div_en_dec),
+	.C_N(n_4276),
+	.X(n_7087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g999817 (
+	.A(n_2217),
+	.B(n_4693),
+	.X(n_7085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_2 g999818 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
+	.B(n_1291),
+	.C(n_2097),
+	.D(n_3854),
+	.X(n_7084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g999819 (
+	.A(n_4667),
+	.B(n_1526),
+	.X(n_7083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4b_2 g999820 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
+	.B(n_2510),
+	.C(n_3854),
+	.D_N(n_29308),
+	.X(n_7082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g999821 (
+	.A(n_2286),
+	.B(n_4561),
+	.X(n_7081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_2 g999822 (
+	.A1(n_35257),
+	.A2(n_4076),
+	.B1(n_2130),
+	.X(n_7079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g999824 (
+	.A(n_68385),
+	.B(n_4559),
+	.Y(n_7077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4bb_2 g999825 (
+	.A_N(n_29416),
+	.B_N(n_29702),
+	.C(n_147),
+	.D(n_3289),
+	.X(n_7076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g999826 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.B(n_4510),
+	.X(n_7068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g999827 (
+	.A(n_4510),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_7060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g999828 (
+	.A(n_1326),
+	.B_N(n_4735),
+	.X(n_7055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g999829 (
+	.A(n_2999),
+	.B_N(n_4562),
+	.X(n_7054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g999830 (
+	.A(n_75503),
+	.B_N(n_4669),
+	.X(n_7053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g999831 (
+	.A_N(n_2161),
+	.B(n_4562),
+	.X(n_7052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g999832 (
+	.A(n_35380),
+	.B_N(n_4735),
+	.X(n_7051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g999833 (
+	.A(n_75503),
+	.B_N(n_4553),
+	.X(n_7050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g999834 (
+	.A(n_75503),
+	.B_N(n_4556),
+	.X(n_7049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g999835 (
+	.A_N(n_4599),
+	.B(soc_top_system_rst_ni),
+	.X(n_7048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g999836 (
+	.A(n_4561),
+	.B_N(n_1503),
+	.X(n_7047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g999837 (
+	.A(n_4602),
+	.B(soc_top_system_rst_ni),
+	.X(n_7046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g999838 (
+	.A(n_4561),
+	.B_N(n_2163),
+	.X(n_7045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g999839 (
+	.A(n_35334),
+	.B(n_35327),
+	.X(n_7044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g999841 (
+	.A(n_4561),
+	.B_N(n_2171),
+	.X(n_7042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g999842 (
+	.A(n_35333),
+	.B(n_35328),
+	.X(n_7041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g999843 (
+	.A(n_2166),
+	.B_N(n_4562),
+	.X(n_7040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g999844 (
+	.A(n_6019),
+	.Y(n_6020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999845 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[201] [7]),
+	.A2_N(n_3911),
+	.B1(n_3513),
+	.B2(n_2969),
+	.Y(n_6018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999846 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[109] [3]),
+	.A2_N(n_3924),
+	.B1(n_3522),
+	.B2(n_2966),
+	.Y(n_6017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999847 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[109] [4]),
+	.A2_N(n_3924),
+	.B1(n_3526),
+	.B2(n_2966),
+	.Y(n_6016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999848 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[235] [6]),
+	.A2_N(n_3901),
+	.B1(n_2954),
+	.B2(n_3476),
+	.Y(n_6015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999849 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[109] [7]),
+	.A2_N(n_3924),
+	.B1(n_3531),
+	.B2(n_2966),
+	.Y(n_6014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999850 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[109] [8]),
+	.A2_N(n_3924),
+	.B1(n_3530),
+	.B2(n_2966),
+	.Y(n_6013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999851 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[110] [2]),
+	.A2_N(n_3918),
+	.B1(n_2965),
+	.B2(n_3527),
+	.Y(n_6012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999852 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[110] [3]),
+	.A2_N(n_3918),
+	.B1(n_2965),
+	.B2(n_3522),
+	.Y(n_6011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999853 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[110] [4]),
+	.A2_N(n_3918),
+	.B1(n_2965),
+	.B2(n_3526),
+	.Y(n_6010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999854 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[110] [5]),
+	.A2_N(n_3918),
+	.B1(n_2965),
+	.B2(n_3524),
+	.Y(n_6009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999855 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[110] [6]),
+	.A2_N(n_3918),
+	.B1(n_2965),
+	.B2(n_3528),
+	.Y(n_6008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999856 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[254] [8]),
+	.A2_N(n_4195),
+	.B1(n_3479),
+	.B2(n_2959),
+	.Y(n_6007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999857 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[110] [8]),
+	.A2_N(n_3918),
+	.B1(n_2965),
+	.B2(n_3530),
+	.Y(n_6006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999858 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[111] [1]),
+	.A2_N(n_3921),
+	.B1(n_2976),
+	.B2(n_3521),
+	.Y(n_6005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999859 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[111] [2]),
+	.A2_N(n_3921),
+	.B1(n_2976),
+	.B2(n_3527),
+	.Y(n_6004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999860 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[235] [3]),
+	.A2_N(n_3901),
+	.B1(n_2954),
+	.B2(n_3518),
+	.Y(n_6003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999861 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[111] [4]),
+	.A2_N(n_3921),
+	.B1(n_2976),
+	.B2(n_3526),
+	.Y(n_6002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999862 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[111] [6]),
+	.A2_N(n_3921),
+	.B1(n_2976),
+	.B2(n_3528),
+	.Y(n_6001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999863 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[112] [1]),
+	.A2_N(n_4130),
+	.B1(n_2970),
+	.B2(n_3462),
+	.Y(n_6000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999864 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[112] [2]),
+	.A2_N(n_4130),
+	.B1(n_2970),
+	.B2(n_3463),
+	.Y(n_5999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999865 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[112] [3]),
+	.A2_N(n_4130),
+	.B1(n_2970),
+	.B2(n_3464),
+	.Y(n_5998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999866 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[112] [4]),
+	.A2_N(n_4130),
+	.B1(n_2970),
+	.B2(n_3500),
+	.Y(n_5997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999867 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[112] [5]),
+	.A2_N(n_4130),
+	.B1(n_2970),
+	.B2(n_3484),
+	.Y(n_5996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999868 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[112] [6]),
+	.A2_N(n_4130),
+	.B1(n_2970),
+	.B2(n_3458),
+	.Y(n_5995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999869 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[112] [7]),
+	.A2_N(n_4130),
+	.B1(n_2970),
+	.B2(n_3493),
+	.Y(n_5994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999870 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[112] [8]),
+	.A2_N(n_4130),
+	.B1(n_2970),
+	.B2(n_3472),
+	.Y(n_5993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999871 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[113] [1]),
+	.A2_N(n_3928),
+	.B1(n_3462),
+	.B2(n_2961),
+	.Y(n_5992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999872 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[113] [5]),
+	.A2_N(n_3928),
+	.B1(n_3484),
+	.B2(n_2961),
+	.Y(n_5991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999873 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[113] [8]),
+	.A2_N(n_3928),
+	.B1(n_3472),
+	.B2(n_2961),
+	.Y(n_5990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999874 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[114] [1]),
+	.A2_N(n_3938),
+	.B1(n_2960),
+	.B2(n_3462),
+	.Y(n_5989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999875 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[127] [1]),
+	.A2_N(n_4163),
+	.B1(n_3532),
+	.B2(n_2976),
+	.Y(n_5988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999876 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[114] [3]),
+	.A2_N(n_3938),
+	.B1(n_2960),
+	.B2(n_3464),
+	.Y(n_5987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999877 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[114] [5]),
+	.A2_N(n_3938),
+	.B1(n_2960),
+	.B2(n_3484),
+	.Y(n_5986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999878 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[114] [6]),
+	.A2_N(n_3938),
+	.B1(n_2960),
+	.B2(n_3458),
+	.Y(n_5985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999879 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[114] [7]),
+	.A2_N(n_3938),
+	.B1(n_2960),
+	.B2(n_3493),
+	.Y(n_5984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999880 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[115] [1]),
+	.A2_N(n_3893),
+	.B1(n_2983),
+	.B2(n_3462),
+	.Y(n_5983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999881 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[115] [3]),
+	.A2_N(n_3893),
+	.B1(n_2983),
+	.B2(n_3464),
+	.Y(n_5982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999882 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[115] [5]),
+	.A2_N(n_3893),
+	.B1(n_2983),
+	.B2(n_3484),
+	.Y(n_5981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999883 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[115] [7]),
+	.A2_N(n_3893),
+	.B1(n_2983),
+	.B2(n_3493),
+	.Y(n_5980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999884 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[116] [2]),
+	.A2_N(n_4229),
+	.B1(n_3486),
+	.B2(n_2970),
+	.Y(n_5979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999885 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[116] [4]),
+	.A2_N(n_4229),
+	.B1(n_3477),
+	.B2(n_2970),
+	.Y(n_5978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999886 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[116] [5]),
+	.A2_N(n_4229),
+	.B1(n_3482),
+	.B2(n_2970),
+	.Y(n_5977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999887 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[116] [6]),
+	.A2_N(n_4229),
+	.B1(n_3481),
+	.B2(n_2970),
+	.Y(n_5976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999888 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[116] [7]),
+	.A2_N(n_4229),
+	.B1(n_3480),
+	.B2(n_2970),
+	.Y(n_5975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999889 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[116] [8]),
+	.A2_N(n_4229),
+	.B1(n_3479),
+	.B2(n_2970),
+	.Y(n_5974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999890 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[117] [1]),
+	.A2_N(n_3930),
+	.B1(n_3532),
+	.B2(n_2961),
+	.Y(n_5973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999891 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[117] [2]),
+	.A2_N(n_3930),
+	.B1(n_3486),
+	.B2(n_2961),
+	.Y(n_5972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999892 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[117] [4]),
+	.A2_N(n_3930),
+	.B1(n_3477),
+	.B2(n_2961),
+	.Y(n_5971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999893 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[117] [5]),
+	.A2_N(n_3930),
+	.B1(n_3482),
+	.B2(n_2961),
+	.Y(n_5970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999894 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[117] [7]),
+	.A2_N(n_3930),
+	.B1(n_3480),
+	.B2(n_2961),
+	.Y(n_5969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999895 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[246] [5]),
+	.A2_N(n_4232),
+	.B1(n_3482),
+	.B2(n_2968),
+	.Y(n_5968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999896 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[118] [1]),
+	.A2_N(n_4225),
+	.B1(n_3532),
+	.B2(n_2960),
+	.Y(n_5967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999897 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[118] [2]),
+	.A2_N(n_4225),
+	.B1(n_3486),
+	.B2(n_2960),
+	.Y(n_5966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999898 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[118] [3]),
+	.A2_N(n_4225),
+	.B1(n_3496),
+	.B2(n_2960),
+	.Y(n_5965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999899 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[118] [4]),
+	.A2_N(n_4225),
+	.B1(n_3477),
+	.B2(n_2960),
+	.Y(n_5964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999900 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[118] [5]),
+	.A2_N(n_4225),
+	.B1(n_3482),
+	.B2(n_2960),
+	.Y(n_5963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999901 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[118] [6]),
+	.A2_N(n_4225),
+	.B1(n_3481),
+	.B2(n_2960),
+	.Y(n_5962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999902 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[255] [1]),
+	.A2_N(n_4175),
+	.B1(n_2954),
+	.B2(n_3532),
+	.Y(n_5961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999903 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[235] [5]),
+	.A2_N(n_3901),
+	.B1(n_2954),
+	.B2(n_3469),
+	.Y(n_5960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999904 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[118] [8]),
+	.A2_N(n_4225),
+	.B1(n_3479),
+	.B2(n_2960),
+	.Y(n_5959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999905 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[119] [1]),
+	.A2_N(n_3931),
+	.B1(n_3532),
+	.B2(n_2983),
+	.Y(n_5958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999906 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[119] [2]),
+	.A2_N(n_3931),
+	.B1(n_3486),
+	.B2(n_2983),
+	.Y(n_5957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999907 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[119] [4]),
+	.A2_N(n_3931),
+	.B1(n_3477),
+	.B2(n_2983),
+	.Y(n_5956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999908 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[119] [6]),
+	.A2_N(n_3931),
+	.B1(n_3481),
+	.B2(n_2983),
+	.Y(n_5955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999909 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[119] [8]),
+	.A2_N(n_3931),
+	.B1(n_3479),
+	.B2(n_2983),
+	.Y(n_5954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999910 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[120] [2]),
+	.A2_N(n_4129),
+	.B1(n_2977),
+	.B2(n_3463),
+	.Y(n_5953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999911 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[120] [3]),
+	.A2_N(n_4129),
+	.B1(n_2977),
+	.B2(n_3464),
+	.Y(n_5952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999912 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[120] [4]),
+	.A2_N(n_4129),
+	.B1(n_2977),
+	.B2(n_3500),
+	.Y(n_5951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999913 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[236] [1]),
+	.A2_N(n_4209),
+	.B1(n_3521),
+	.B2(n_2982),
+	.Y(n_5950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999914 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[120] [5]),
+	.A2_N(n_4129),
+	.B1(n_2977),
+	.B2(n_3484),
+	.Y(n_5949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999915 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[120] [6]),
+	.A2_N(n_4129),
+	.B1(n_2977),
+	.B2(n_3458),
+	.Y(n_5948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999916 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[120] [7]),
+	.A2_N(n_4129),
+	.B1(n_2977),
+	.B2(n_3493),
+	.Y(n_5947), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999917 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[120] [8]),
+	.A2_N(n_4129),
+	.B1(n_2977),
+	.B2(n_3472),
+	.Y(n_5946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999918 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[121] [1]),
+	.A2_N(n_3865),
+	.B1(n_3462),
+	.B2(n_2966),
+	.Y(n_5945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999919 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[250] [2]),
+	.A2_N(n_3913),
+	.B1(n_2959),
+	.B2(n_3463),
+	.Y(n_5944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999920 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[121] [3]),
+	.A2_N(n_3865),
+	.B1(n_3464),
+	.B2(n_2966),
+	.Y(n_5943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999921 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[121] [5]),
+	.A2_N(n_3865),
+	.B1(n_3484),
+	.B2(n_2966),
+	.Y(n_5942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999922 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[121] [6]),
+	.A2_N(n_3865),
+	.B1(n_3458),
+	.B2(n_2966),
+	.Y(n_5941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999923 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[250] [7]),
+	.A2_N(n_3913),
+	.B1(n_2959),
+	.B2(n_3493),
+	.Y(n_5940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999924 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[121] [8]),
+	.A2_N(n_3865),
+	.B1(n_3472),
+	.B2(n_2966),
+	.Y(n_5939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999925 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[246] [3]),
+	.A2_N(n_4232),
+	.B1(n_3496),
+	.B2(n_2968),
+	.Y(n_5938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999926 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[122] [3]),
+	.A2_N(n_3887),
+	.B1(n_2965),
+	.B2(n_3464),
+	.Y(n_5937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999927 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[122] [4]),
+	.A2_N(n_3887),
+	.B1(n_2965),
+	.B2(n_3500),
+	.Y(n_5936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999928 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[122] [5]),
+	.A2_N(n_3887),
+	.B1(n_2965),
+	.B2(n_3484),
+	.Y(n_5935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999929 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[123] [1]),
+	.A2_N(n_3872),
+	.B1(n_2976),
+	.B2(n_3462),
+	.Y(n_5934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999930 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[123] [3]),
+	.A2_N(n_3872),
+	.B1(n_2976),
+	.B2(n_3464),
+	.Y(n_5933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999931 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[123] [4]),
+	.A2_N(n_3872),
+	.B1(n_2976),
+	.B2(n_3500),
+	.Y(n_5932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999932 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[123] [5]),
+	.A2_N(n_3872),
+	.B1(n_2976),
+	.B2(n_3484),
+	.Y(n_5931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999933 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[123] [7]),
+	.A2_N(n_3872),
+	.B1(n_2976),
+	.B2(n_3493),
+	.Y(n_5930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999934 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[123] [8]),
+	.A2_N(n_3872),
+	.B1(n_2976),
+	.B2(n_3472),
+	.Y(n_5929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999935 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[124] [1]),
+	.A2_N(n_4230),
+	.B1(n_3532),
+	.B2(n_2977),
+	.Y(n_5928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999936 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[124] [2]),
+	.A2_N(n_4230),
+	.B1(n_3486),
+	.B2(n_2977),
+	.Y(n_5927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999937 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[124] [3]),
+	.A2_N(n_4230),
+	.B1(n_3496),
+	.B2(n_2977),
+	.Y(n_5926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999938 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[124] [4]),
+	.A2_N(n_4230),
+	.B1(n_3477),
+	.B2(n_2977),
+	.Y(n_5925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999939 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[124] [5]),
+	.A2_N(n_4230),
+	.B1(n_3482),
+	.B2(n_2977),
+	.Y(n_5924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999940 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[124] [6]),
+	.A2_N(n_4230),
+	.B1(n_3481),
+	.B2(n_2977),
+	.Y(n_5923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999941 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[124] [7]),
+	.A2_N(n_4230),
+	.B1(n_3480),
+	.B2(n_2977),
+	.Y(n_5922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999942 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[124] [8]),
+	.A2_N(n_4230),
+	.B1(n_3479),
+	.B2(n_2977),
+	.Y(n_5921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999943 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[125] [1]),
+	.A2_N(n_3935),
+	.B1(n_3532),
+	.B2(n_2966),
+	.Y(n_5920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999944 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[125] [3]),
+	.A2_N(n_3935),
+	.B1(n_3496),
+	.B2(n_2966),
+	.Y(n_5919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999945 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[125] [4]),
+	.A2_N(n_3935),
+	.B1(n_3477),
+	.B2(n_2966),
+	.Y(n_5918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999946 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[125] [7]),
+	.A2_N(n_3935),
+	.B1(n_3480),
+	.B2(n_2966),
+	.Y(n_5917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999947 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[125] [8]),
+	.A2_N(n_3935),
+	.B1(n_3479),
+	.B2(n_2966),
+	.Y(n_5916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999948 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[126] [2]),
+	.A2_N(n_4164),
+	.B1(n_3486),
+	.B2(n_2965),
+	.Y(n_5915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999949 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[126] [3]),
+	.A2_N(n_4164),
+	.B1(n_3496),
+	.B2(n_2965),
+	.Y(n_5914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999950 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[126] [4]),
+	.A2_N(n_4164),
+	.B1(n_3477),
+	.B2(n_2965),
+	.Y(n_5913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999951 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[126] [5]),
+	.A2_N(n_4164),
+	.B1(n_3482),
+	.B2(n_2965),
+	.Y(n_5912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999952 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[126] [6]),
+	.A2_N(n_4164),
+	.B1(n_3481),
+	.B2(n_2965),
+	.Y(n_5911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999953 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[126] [7]),
+	.A2_N(n_4164),
+	.B1(n_3480),
+	.B2(n_2965),
+	.Y(n_5910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999954 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[126] [8]),
+	.A2_N(n_4164),
+	.B1(n_3479),
+	.B2(n_2965),
+	.Y(n_5909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999955 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[127] [6]),
+	.A2_N(n_4163),
+	.B1(n_3481),
+	.B2(n_2976),
+	.Y(n_5908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999956 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[127] [8]),
+	.A2_N(n_4163),
+	.B1(n_3479),
+	.B2(n_2976),
+	.Y(n_5907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999957 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[128] [1]),
+	.A2_N(n_4255),
+	.B1(n_3504),
+	.B2(n_2985),
+	.Y(n_5906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999958 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[128] [2]),
+	.A2_N(n_4255),
+	.B1(n_3491),
+	.B2(n_2985),
+	.Y(n_5905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999959 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[128] [3]),
+	.A2_N(n_4255),
+	.B1(n_3511),
+	.B2(n_2985),
+	.Y(n_5904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999960 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[128] [4]),
+	.A2_N(n_4255),
+	.B1(n_3519),
+	.B2(n_2985),
+	.Y(n_5903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999961 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[128] [5]),
+	.A2_N(n_4255),
+	.B1(n_3488),
+	.B2(n_2985),
+	.Y(n_5902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999962 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[128] [8]),
+	.A2_N(n_4255),
+	.B1(n_3520),
+	.B2(n_2985),
+	.Y(n_5901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999963 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[129] [1]),
+	.A2_N(n_4216),
+	.B1(n_3504),
+	.B2(n_2975),
+	.Y(n_5900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999964 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[129] [2]),
+	.A2_N(n_4216),
+	.B1(n_3491),
+	.B2(n_2975),
+	.Y(n_5899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999965 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[129] [3]),
+	.A2_N(n_4216),
+	.B1(n_3511),
+	.B2(n_2975),
+	.Y(n_5898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999966 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[129] [4]),
+	.A2_N(n_4216),
+	.B1(n_3519),
+	.B2(n_2975),
+	.Y(n_5897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999967 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[129] [5]),
+	.A2_N(n_4216),
+	.B1(n_3488),
+	.B2(n_2975),
+	.Y(n_5896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999968 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[129] [6]),
+	.A2_N(n_4216),
+	.B1(n_3489),
+	.B2(n_2975),
+	.Y(n_5895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999969 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[129] [7]),
+	.A2_N(n_4216),
+	.B1(n_3490),
+	.B2(n_2975),
+	.Y(n_5894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999970 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[129] [8]),
+	.A2_N(n_4216),
+	.B1(n_3520),
+	.B2(n_2975),
+	.Y(n_5893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999971 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[130] [1]),
+	.A2_N(n_4220),
+	.B1(n_2984),
+	.B2(n_3504),
+	.Y(n_5892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999972 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[130] [2]),
+	.A2_N(n_4220),
+	.B1(n_2984),
+	.B2(n_3491),
+	.Y(n_5891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999973 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[130] [3]),
+	.A2_N(n_4220),
+	.B1(n_2984),
+	.B2(n_3511),
+	.Y(n_5890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999974 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[130] [4]),
+	.A2_N(n_4220),
+	.B1(n_2984),
+	.B2(n_3519),
+	.Y(n_5889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999975 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[130] [5]),
+	.A2_N(n_4220),
+	.B1(n_2984),
+	.B2(n_3488),
+	.Y(n_5888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999976 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[130] [6]),
+	.A2_N(n_4220),
+	.B1(n_2984),
+	.B2(n_3489),
+	.Y(n_5887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999977 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[130] [7]),
+	.A2_N(n_4220),
+	.B1(n_2984),
+	.B2(n_3490),
+	.Y(n_5886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999978 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[130] [8]),
+	.A2_N(n_4220),
+	.B1(n_2984),
+	.B2(n_3520),
+	.Y(n_5885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999979 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[131] [1]),
+	.A2_N(n_4150),
+	.B1(n_2962),
+	.B2(n_3504),
+	.Y(n_5884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999980 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[131] [2]),
+	.A2_N(n_4150),
+	.B1(n_2962),
+	.B2(n_3491),
+	.Y(n_5883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999981 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[131] [3]),
+	.A2_N(n_4150),
+	.B1(n_2962),
+	.B2(n_3511),
+	.Y(n_5882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999982 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[131] [4]),
+	.A2_N(n_4150),
+	.B1(n_2962),
+	.B2(n_3519),
+	.Y(n_5881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999983 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[131] [5]),
+	.A2_N(n_4150),
+	.B1(n_2962),
+	.B2(n_3488),
+	.Y(n_5880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999984 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[131] [6]),
+	.A2_N(n_4150),
+	.B1(n_2962),
+	.B2(n_3489),
+	.Y(n_5879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999985 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[131] [7]),
+	.A2_N(n_4150),
+	.B1(n_2962),
+	.B2(n_3490),
+	.Y(n_5878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999986 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[131] [8]),
+	.A2_N(n_4150),
+	.B1(n_2962),
+	.B2(n_3520),
+	.Y(n_5877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999987 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[132] [1]),
+	.A2_N(n_4254),
+	.B1(n_3457),
+	.B2(n_2985),
+	.Y(n_5876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999988 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[132] [2]),
+	.A2_N(n_4254),
+	.B1(n_3495),
+	.B2(n_2985),
+	.Y(n_5875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999989 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[132] [3]),
+	.A2_N(n_4254),
+	.B1(n_3497),
+	.B2(n_2985),
+	.Y(n_5874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999990 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[132] [4]),
+	.A2_N(n_4254),
+	.B1(n_3498),
+	.B2(n_2985),
+	.Y(n_5873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999991 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[132] [5]),
+	.A2_N(n_4254),
+	.B1(n_3503),
+	.B2(n_2985),
+	.Y(n_5872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999992 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[132] [6]),
+	.A2_N(n_4254),
+	.B1(n_3487),
+	.B2(n_2985),
+	.Y(n_5871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999993 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[132] [7]),
+	.A2_N(n_4254),
+	.B1(n_3508),
+	.B2(n_2985),
+	.Y(n_5870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999994 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[132] [8]),
+	.A2_N(n_4254),
+	.B1(n_3509),
+	.B2(n_2985),
+	.Y(n_5869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999995 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[214] [5]),
+	.A2_N(n_4217),
+	.B1(n_3482),
+	.B2(n_2984),
+	.Y(n_5868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999996 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[133] [1]),
+	.A2_N(n_4132),
+	.B1(n_3457),
+	.B2(n_2975),
+	.Y(n_5867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999997 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[133] [2]),
+	.A2_N(n_4132),
+	.B1(n_3495),
+	.B2(n_2975),
+	.Y(n_5866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999998 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[133] [3]),
+	.A2_N(n_4132),
+	.B1(n_3497),
+	.B2(n_2975),
+	.Y(n_5865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g999999 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[133] [4]),
+	.A2_N(n_4132),
+	.B1(n_3498),
+	.B2(n_2975),
+	.Y(n_5864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000000 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[133] [5]),
+	.A2_N(n_4132),
+	.B1(n_3503),
+	.B2(n_2975),
+	.Y(n_5863), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000001 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[133] [6]),
+	.A2_N(n_4132),
+	.B1(n_3487),
+	.B2(n_2975),
+	.Y(n_5862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000002 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[133] [7]),
+	.A2_N(n_4132),
+	.B1(n_3508),
+	.B2(n_2975),
+	.Y(n_5861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000003 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[133] [8]),
+	.A2_N(n_4132),
+	.B1(n_3509),
+	.B2(n_2975),
+	.Y(n_5860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000004 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[134] [1]),
+	.A2_N(n_4219),
+	.B1(n_3457),
+	.B2(n_2984),
+	.Y(n_5859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000005 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[251] [3]),
+	.A2_N(n_3895),
+	.B1(n_2954),
+	.B2(n_3464),
+	.Y(n_5858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000006 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[134] [2]),
+	.A2_N(n_4219),
+	.B1(n_3495),
+	.B2(n_2984),
+	.Y(n_5857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000007 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[134] [3]),
+	.A2_N(n_4219),
+	.B1(n_3497),
+	.B2(n_2984),
+	.Y(n_5856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000008 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[134] [4]),
+	.A2_N(n_4219),
+	.B1(n_3498),
+	.B2(n_2984),
+	.Y(n_5855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000009 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[134] [5]),
+	.A2_N(n_4219),
+	.B1(n_3503),
+	.B2(n_2984),
+	.Y(n_5854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000010 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[134] [6]),
+	.A2_N(n_4219),
+	.B1(n_3487),
+	.B2(n_2984),
+	.Y(n_5853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000011 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[134] [7]),
+	.A2_N(n_4219),
+	.B1(n_3508),
+	.B2(n_2984),
+	.Y(n_5852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000012 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[134] [8]),
+	.A2_N(n_4219),
+	.B1(n_3509),
+	.B2(n_2984),
+	.Y(n_5851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000013 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[135] [1]),
+	.A2_N(n_4114),
+	.B1(n_3457),
+	.B2(n_2962),
+	.Y(n_5850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000014 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[135] [2]),
+	.A2_N(n_4114),
+	.B1(n_3495),
+	.B2(n_2962),
+	.Y(n_5849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000015 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[135] [3]),
+	.A2_N(n_4114),
+	.B1(n_3497),
+	.B2(n_2962),
+	.Y(n_5848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000016 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[135] [4]),
+	.A2_N(n_4114),
+	.B1(n_3498),
+	.B2(n_2962),
+	.Y(n_5847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000017 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[135] [5]),
+	.A2_N(n_4114),
+	.B1(n_3503),
+	.B2(n_2962),
+	.Y(n_5846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000018 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[135] [6]),
+	.A2_N(n_4114),
+	.B1(n_3487),
+	.B2(n_2962),
+	.Y(n_5845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000019 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[135] [7]),
+	.A2_N(n_4114),
+	.B1(n_3508),
+	.B2(n_2962),
+	.Y(n_5844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000020 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[136] [1]),
+	.A2_N(n_4262),
+	.B1(n_2981),
+	.B2(n_3504),
+	.Y(n_5843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000021 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[136] [2]),
+	.A2_N(n_4262),
+	.B1(n_2981),
+	.B2(n_3491),
+	.Y(n_5842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000022 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[136] [3]),
+	.A2_N(n_4262),
+	.B1(n_2981),
+	.B2(n_3511),
+	.Y(n_5841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000023 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[136] [4]),
+	.A2_N(n_4262),
+	.B1(n_2981),
+	.B2(n_3519),
+	.Y(n_5840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000024 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[136] [5]),
+	.A2_N(n_4262),
+	.B1(n_2981),
+	.B2(n_3488),
+	.Y(n_5839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000025 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[136] [6]),
+	.A2_N(n_4262),
+	.B1(n_2981),
+	.B2(n_3489),
+	.Y(n_5838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000026 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[136] [7]),
+	.A2_N(n_4262),
+	.B1(n_2981),
+	.B2(n_3490),
+	.Y(n_5837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000027 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[136] [8]),
+	.A2_N(n_4262),
+	.B1(n_2981),
+	.B2(n_3520),
+	.Y(n_5836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000028 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[137] [1]),
+	.A2_N(n_4148),
+	.B1(n_3504),
+	.B2(n_2969),
+	.Y(n_5835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000029 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[137] [2]),
+	.A2_N(n_4148),
+	.B1(n_3491),
+	.B2(n_2969),
+	.Y(n_5834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000030 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[137] [3]),
+	.A2_N(n_4148),
+	.B1(n_3511),
+	.B2(n_2969),
+	.Y(n_5833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000031 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[137] [4]),
+	.A2_N(n_4148),
+	.B1(n_3519),
+	.B2(n_2969),
+	.Y(n_5832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000032 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[251] [5]),
+	.A2_N(n_3895),
+	.B1(n_2954),
+	.B2(n_3484),
+	.Y(n_5831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000033 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[137] [5]),
+	.A2_N(n_4148),
+	.B1(n_3488),
+	.B2(n_2969),
+	.Y(n_5830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000034 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[137] [6]),
+	.A2_N(n_4148),
+	.B1(n_3489),
+	.B2(n_2969),
+	.Y(n_5829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000035 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[137] [7]),
+	.A2_N(n_4148),
+	.B1(n_3490),
+	.B2(n_2969),
+	.Y(n_5828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000036 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[137] [8]),
+	.A2_N(n_4148),
+	.B1(n_3520),
+	.B2(n_2969),
+	.Y(n_5827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000037 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[138] [1]),
+	.A2_N(n_4206),
+	.B1(n_2956),
+	.B2(n_3504),
+	.Y(n_5826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000038 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[138] [2]),
+	.A2_N(n_4206),
+	.B1(n_2956),
+	.B2(n_3491),
+	.Y(n_5825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000039 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[138] [3]),
+	.A2_N(n_4206),
+	.B1(n_2956),
+	.B2(n_3511),
+	.Y(n_5824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000040 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[138] [4]),
+	.A2_N(n_4206),
+	.B1(n_2956),
+	.B2(n_3519),
+	.Y(n_5823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000041 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[138] [5]),
+	.A2_N(n_4206),
+	.B1(n_2956),
+	.B2(n_3488),
+	.Y(n_5822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000042 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[138] [6]),
+	.A2_N(n_4206),
+	.B1(n_2956),
+	.B2(n_3489),
+	.Y(n_5821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000043 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[138] [7]),
+	.A2_N(n_4206),
+	.B1(n_2956),
+	.B2(n_3490),
+	.Y(n_5820), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000044 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[138] [8]),
+	.A2_N(n_4206),
+	.B1(n_2956),
+	.B2(n_3520),
+	.Y(n_5819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000045 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[139] [1]),
+	.A2_N(n_4183),
+	.B1(n_2958),
+	.B2(n_3504),
+	.Y(n_5818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000046 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[139] [2]),
+	.A2_N(n_4183),
+	.B1(n_2958),
+	.B2(n_3491),
+	.Y(n_5817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000047 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[139] [3]),
+	.A2_N(n_4183),
+	.B1(n_2958),
+	.B2(n_3511),
+	.Y(n_5816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000048 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[139] [4]),
+	.A2_N(n_4183),
+	.B1(n_2958),
+	.B2(n_3519),
+	.Y(n_5815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000049 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[139] [5]),
+	.A2_N(n_4183),
+	.B1(n_2958),
+	.B2(n_3488),
+	.Y(n_5814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000050 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[139] [6]),
+	.A2_N(n_4183),
+	.B1(n_2958),
+	.B2(n_3489),
+	.Y(n_5813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000051 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[139] [7]),
+	.A2_N(n_4183),
+	.B1(n_2958),
+	.B2(n_3490),
+	.Y(n_5812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000052 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[139] [8]),
+	.A2_N(n_4183),
+	.B1(n_2958),
+	.B2(n_3520),
+	.Y(n_5811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000053 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[140] [1]),
+	.A2_N(n_4263),
+	.B1(n_3457),
+	.B2(n_2981),
+	.Y(n_5810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000054 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[251] [6]),
+	.A2_N(n_3895),
+	.B1(n_2954),
+	.B2(n_3458),
+	.Y(n_5809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000055 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[140] [2]),
+	.A2_N(n_4263),
+	.B1(n_3495),
+	.B2(n_2981),
+	.Y(n_5808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000056 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[140] [3]),
+	.A2_N(n_4263),
+	.B1(n_3497),
+	.B2(n_2981),
+	.Y(n_5807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000057 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[140] [4]),
+	.A2_N(n_4263),
+	.B1(n_3498),
+	.B2(n_2981),
+	.Y(n_5806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000058 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[140] [5]),
+	.A2_N(n_4263),
+	.B1(n_3503),
+	.B2(n_2981),
+	.Y(n_5805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000059 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[140] [6]),
+	.A2_N(n_4263),
+	.B1(n_3487),
+	.B2(n_2981),
+	.Y(n_5804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000060 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[140] [7]),
+	.A2_N(n_4263),
+	.B1(n_3508),
+	.B2(n_2981),
+	.Y(n_5803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000061 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[140] [8]),
+	.A2_N(n_4263),
+	.B1(n_3509),
+	.B2(n_2981),
+	.Y(n_5802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000062 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[141] [1]),
+	.A2_N(n_4122),
+	.B1(n_3457),
+	.B2(n_2969),
+	.Y(n_5801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000063 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[141] [2]),
+	.A2_N(n_4122),
+	.B1(n_3495),
+	.B2(n_2969),
+	.Y(n_5800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000064 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[141] [3]),
+	.A2_N(n_4122),
+	.B1(n_3497),
+	.B2(n_2969),
+	.Y(n_5799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000065 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[141] [4]),
+	.A2_N(n_4122),
+	.B1(n_3498),
+	.B2(n_2969),
+	.Y(n_5798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000066 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[141] [5]),
+	.A2_N(n_4122),
+	.B1(n_3503),
+	.B2(n_2969),
+	.Y(n_5797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000067 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[141] [6]),
+	.A2_N(n_4122),
+	.B1(n_3487),
+	.B2(n_2969),
+	.Y(n_5796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000068 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[141] [7]),
+	.A2_N(n_4122),
+	.B1(n_3508),
+	.B2(n_2969),
+	.Y(n_5795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000069 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[141] [8]),
+	.A2_N(n_4122),
+	.B1(n_3509),
+	.B2(n_2969),
+	.Y(n_5794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000070 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[142] [1]),
+	.A2_N(n_4205),
+	.B1(n_3457),
+	.B2(n_2956),
+	.Y(n_5793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000071 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[142] [2]),
+	.A2_N(n_4205),
+	.B1(n_3495),
+	.B2(n_2956),
+	.Y(n_5792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000072 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[255] [4]),
+	.A2_N(n_4175),
+	.B1(n_2954),
+	.B2(n_3477),
+	.Y(n_5791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000073 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[142] [3]),
+	.A2_N(n_4205),
+	.B1(n_3497),
+	.B2(n_2956),
+	.Y(n_5790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000074 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[142] [4]),
+	.A2_N(n_4205),
+	.B1(n_3498),
+	.B2(n_2956),
+	.Y(n_5789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000075 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[142] [5]),
+	.A2_N(n_4205),
+	.B1(n_3503),
+	.B2(n_2956),
+	.Y(n_5788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000076 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[142] [6]),
+	.A2_N(n_4205),
+	.B1(n_3487),
+	.B2(n_2956),
+	.Y(n_5787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000077 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[142] [7]),
+	.A2_N(n_4205),
+	.B1(n_3508),
+	.B2(n_2956),
+	.Y(n_5786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000078 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[142] [8]),
+	.A2_N(n_4205),
+	.B1(n_3509),
+	.B2(n_2956),
+	.Y(n_5785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000079 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[143] [2]),
+	.A2_N(n_4181),
+	.B1(n_3495),
+	.B2(n_2958),
+	.Y(n_5784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000080 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[143] [3]),
+	.A2_N(n_4181),
+	.B1(n_3497),
+	.B2(n_2958),
+	.Y(n_5783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000081 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[143] [4]),
+	.A2_N(n_4181),
+	.B1(n_3498),
+	.B2(n_2958),
+	.Y(n_5782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000082 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[143] [5]),
+	.A2_N(n_4181),
+	.B1(n_3503),
+	.B2(n_2958),
+	.Y(n_5781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000083 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[143] [6]),
+	.A2_N(n_4181),
+	.B1(n_3487),
+	.B2(n_2958),
+	.Y(n_5780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000084 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[143] [7]),
+	.A2_N(n_4181),
+	.B1(n_3508),
+	.B2(n_2958),
+	.Y(n_5779), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000085 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[143] [8]),
+	.A2_N(n_4181),
+	.B1(n_3509),
+	.B2(n_2958),
+	.Y(n_5778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000086 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[144] [1]),
+	.A2_N(n_4253),
+	.B1(n_3533),
+	.B2(n_2985),
+	.Y(n_5777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000087 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[144] [2]),
+	.A2_N(n_4253),
+	.B1(n_3470),
+	.B2(n_2985),
+	.Y(n_5776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000088 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[144] [3]),
+	.A2_N(n_4253),
+	.B1(n_3502),
+	.B2(n_2985),
+	.Y(n_5775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000089 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[144] [4]),
+	.A2_N(n_4253),
+	.B1(n_3505),
+	.B2(n_2985),
+	.Y(n_5774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000090 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[144] [5]),
+	.A2_N(n_4253),
+	.B1(n_3494),
+	.B2(n_2985),
+	.Y(n_5773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000091 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[144] [6]),
+	.A2_N(n_4253),
+	.B1(n_3483),
+	.B2(n_2985),
+	.Y(n_5772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000092 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[144] [7]),
+	.A2_N(n_4253),
+	.B1(n_3507),
+	.B2(n_2985),
+	.Y(n_5771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000093 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[144] [8]),
+	.A2_N(n_4253),
+	.B1(n_3510),
+	.B2(n_2985),
+	.Y(n_5770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000094 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[145] [1]),
+	.A2_N(n_4155),
+	.B1(n_3533),
+	.B2(n_2975),
+	.Y(n_5769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000095 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[145] [2]),
+	.A2_N(n_4155),
+	.B1(n_3470),
+	.B2(n_2975),
+	.Y(n_5768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000096 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[145] [3]),
+	.A2_N(n_4155),
+	.B1(n_3502),
+	.B2(n_2975),
+	.Y(n_5767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000097 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[145] [4]),
+	.A2_N(n_4155),
+	.B1(n_3505),
+	.B2(n_2975),
+	.Y(n_5766), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000098 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[145] [5]),
+	.A2_N(n_4155),
+	.B1(n_3494),
+	.B2(n_2975),
+	.Y(n_5765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000099 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[145] [6]),
+	.A2_N(n_4155),
+	.B1(n_3483),
+	.B2(n_2975),
+	.Y(n_5764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000100 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[145] [7]),
+	.A2_N(n_4155),
+	.B1(n_3507),
+	.B2(n_2975),
+	.Y(n_5763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000101 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[145] [8]),
+	.A2_N(n_4155),
+	.B1(n_3510),
+	.B2(n_2975),
+	.Y(n_5762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000102 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[146] [1]),
+	.A2_N(n_4223),
+	.B1(n_2984),
+	.B2(n_3533),
+	.Y(n_5761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000103 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[146] [2]),
+	.A2_N(n_4223),
+	.B1(n_2984),
+	.B2(n_3470),
+	.Y(n_5760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000104 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[146] [3]),
+	.A2_N(n_4223),
+	.B1(n_2984),
+	.B2(n_3502),
+	.Y(n_5759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000105 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[146] [4]),
+	.A2_N(n_4223),
+	.B1(n_2984),
+	.B2(n_3505),
+	.Y(n_5758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000106 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[146] [5]),
+	.A2_N(n_4223),
+	.B1(n_2984),
+	.B2(n_3494),
+	.Y(n_5757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000107 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[146] [6]),
+	.A2_N(n_4223),
+	.B1(n_2984),
+	.B2(n_3483),
+	.Y(n_5756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000108 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[146] [7]),
+	.A2_N(n_4223),
+	.B1(n_2984),
+	.B2(n_3507),
+	.Y(n_5755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000109 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[146] [8]),
+	.A2_N(n_4223),
+	.B1(n_2984),
+	.B2(n_3510),
+	.Y(n_5754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000110 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[147] [1]),
+	.A2_N(n_4128),
+	.B1(n_2962),
+	.B2(n_3533),
+	.Y(n_5753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000111 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[147] [2]),
+	.A2_N(n_4128),
+	.B1(n_2962),
+	.B2(n_3470),
+	.Y(n_5752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000112 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[147] [3]),
+	.A2_N(n_4128),
+	.B1(n_2962),
+	.B2(n_3502),
+	.Y(n_5751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000113 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[147] [4]),
+	.A2_N(n_4128),
+	.B1(n_2962),
+	.B2(n_3505),
+	.Y(n_5750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000114 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[147] [5]),
+	.A2_N(n_4128),
+	.B1(n_2962),
+	.B2(n_3494),
+	.Y(n_5749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000115 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[147] [6]),
+	.A2_N(n_4128),
+	.B1(n_2962),
+	.B2(n_3483),
+	.Y(n_5748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000116 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[147] [7]),
+	.A2_N(n_4128),
+	.B1(n_2962),
+	.B2(n_3507),
+	.Y(n_5747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000117 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[147] [8]),
+	.A2_N(n_4128),
+	.B1(n_2962),
+	.B2(n_3510),
+	.Y(n_5746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000118 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[148] [1]),
+	.A2_N(n_4252),
+	.B1(n_3475),
+	.B2(n_2985),
+	.Y(n_5745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000119 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[251] [2]),
+	.A2_N(n_3895),
+	.B1(n_2954),
+	.B2(n_3463),
+	.Y(n_5744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000120 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[148] [2]),
+	.A2_N(n_4252),
+	.B1(n_3468),
+	.B2(n_2985),
+	.Y(n_5743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000121 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[148] [3]),
+	.A2_N(n_4252),
+	.B1(n_3465),
+	.B2(n_2985),
+	.Y(n_5742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000122 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[148] [4]),
+	.A2_N(n_4252),
+	.B1(n_3466),
+	.B2(n_2985),
+	.Y(n_5741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000123 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[148] [5]),
+	.A2_N(n_4252),
+	.B1(n_3529),
+	.B2(n_2985),
+	.Y(n_5740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000124 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[148] [6]),
+	.A2_N(n_4252),
+	.B1(n_3461),
+	.B2(n_2985),
+	.Y(n_5739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000125 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[148] [7]),
+	.A2_N(n_4252),
+	.B1(n_3459),
+	.B2(n_2985),
+	.Y(n_5738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000126 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[148] [8]),
+	.A2_N(n_4252),
+	.B1(n_3474),
+	.B2(n_2985),
+	.Y(n_5737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000127 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[149] [1]),
+	.A2_N(n_3948),
+	.B1(n_3475),
+	.B2(n_2975),
+	.Y(n_5736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000128 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[149] [2]),
+	.A2_N(n_3948),
+	.B1(n_3468),
+	.B2(n_2975),
+	.Y(n_5735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000129 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[149] [3]),
+	.A2_N(n_3948),
+	.B1(n_3465),
+	.B2(n_2975),
+	.Y(n_5734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000130 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[149] [6]),
+	.A2_N(n_3948),
+	.B1(n_3461),
+	.B2(n_2975),
+	.Y(n_5733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000131 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[149] [7]),
+	.A2_N(n_3948),
+	.B1(n_3459),
+	.B2(n_2975),
+	.Y(n_5732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000132 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[149] [8]),
+	.A2_N(n_3948),
+	.B1(n_3474),
+	.B2(n_2975),
+	.Y(n_5731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000133 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[150] [3]),
+	.A2_N(n_4218),
+	.B1(n_3465),
+	.B2(n_2984),
+	.Y(n_5730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000134 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[150] [5]),
+	.A2_N(n_4218),
+	.B1(n_3529),
+	.B2(n_2984),
+	.Y(n_5729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000135 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[150] [7]),
+	.A2_N(n_4218),
+	.B1(n_3459),
+	.B2(n_2984),
+	.Y(n_5728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000136 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[150] [8]),
+	.A2_N(n_4218),
+	.B1(n_3474),
+	.B2(n_2984),
+	.Y(n_5727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000137 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[151] [1]),
+	.A2_N(n_3950),
+	.B1(n_3475),
+	.B2(n_2962),
+	.Y(n_5726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000138 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[151] [3]),
+	.A2_N(n_3950),
+	.B1(n_3465),
+	.B2(n_2962),
+	.Y(n_5725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000139 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[151] [4]),
+	.A2_N(n_3950),
+	.B1(n_3466),
+	.B2(n_2962),
+	.Y(n_5724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000140 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[151] [5]),
+	.A2_N(n_3950),
+	.B1(n_3529),
+	.B2(n_2962),
+	.Y(n_5723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000141 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[151] [7]),
+	.A2_N(n_3950),
+	.B1(n_3459),
+	.B2(n_2962),
+	.Y(n_5722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000142 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[152] [1]),
+	.A2_N(n_4239),
+	.B1(n_2981),
+	.B2(n_3533),
+	.Y(n_5721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000143 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[152] [2]),
+	.A2_N(n_4239),
+	.B1(n_2981),
+	.B2(n_3470),
+	.Y(n_5720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000144 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[152] [3]),
+	.A2_N(n_4239),
+	.B1(n_2981),
+	.B2(n_3502),
+	.Y(n_5719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000145 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[152] [4]),
+	.A2_N(n_4239),
+	.B1(n_2981),
+	.B2(n_3505),
+	.Y(n_5718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000146 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[152] [5]),
+	.A2_N(n_4239),
+	.B1(n_2981),
+	.B2(n_3494),
+	.Y(n_5717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000147 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[152] [6]),
+	.A2_N(n_4239),
+	.B1(n_2981),
+	.B2(n_3483),
+	.Y(n_5716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000148 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[152] [7]),
+	.A2_N(n_4239),
+	.B1(n_2981),
+	.B2(n_3507),
+	.Y(n_5715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000149 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[152] [8]),
+	.A2_N(n_4239),
+	.B1(n_2981),
+	.B2(n_3510),
+	.Y(n_5714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000150 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[153] [1]),
+	.A2_N(n_3942),
+	.B1(n_3533),
+	.B2(n_2969),
+	.Y(n_5713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000151 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[153] [2]),
+	.A2_N(n_3942),
+	.B1(n_3470),
+	.B2(n_2969),
+	.Y(n_5712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000152 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[153] [6]),
+	.A2_N(n_3942),
+	.B1(n_3483),
+	.B2(n_2969),
+	.Y(n_5711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000153 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[153] [7]),
+	.A2_N(n_3942),
+	.B1(n_3507),
+	.B2(n_2969),
+	.Y(n_5710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000154 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[153] [8]),
+	.A2_N(n_3942),
+	.B1(n_3510),
+	.B2(n_2969),
+	.Y(n_5709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000155 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[154] [1]),
+	.A2_N(n_4204),
+	.B1(n_2956),
+	.B2(n_3533),
+	.Y(n_5708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000156 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[245] [6]),
+	.A2_N(n_3863),
+	.B1(n_3481),
+	.B2(n_2967),
+	.Y(n_5707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000157 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[154] [2]),
+	.A2_N(n_4204),
+	.B1(n_2956),
+	.B2(n_3470),
+	.Y(n_5706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000158 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[154] [3]),
+	.A2_N(n_4204),
+	.B1(n_2956),
+	.B2(n_3502),
+	.Y(n_5705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000159 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[154] [4]),
+	.A2_N(n_4204),
+	.B1(n_2956),
+	.B2(n_3505),
+	.Y(n_5704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000160 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[154] [6]),
+	.A2_N(n_4204),
+	.B1(n_2956),
+	.B2(n_3483),
+	.Y(n_5703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000161 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[154] [8]),
+	.A2_N(n_4204),
+	.B1(n_2956),
+	.B2(n_3510),
+	.Y(n_5702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000162 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[155] [1]),
+	.A2_N(n_4172),
+	.B1(n_2958),
+	.B2(n_3533),
+	.Y(n_5701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000163 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[254] [6]),
+	.A2_N(n_4195),
+	.B1(n_3481),
+	.B2(n_2959),
+	.Y(n_5700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000164 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[155] [5]),
+	.A2_N(n_4172),
+	.B1(n_2958),
+	.B2(n_3494),
+	.Y(n_5699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000165 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[155] [6]),
+	.A2_N(n_4172),
+	.B1(n_2958),
+	.B2(n_3483),
+	.Y(n_5698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000166 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[155] [8]),
+	.A2_N(n_4172),
+	.B1(n_2958),
+	.B2(n_3510),
+	.Y(n_5697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000167 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[156] [2]),
+	.A2_N(n_4241),
+	.B1(n_3468),
+	.B2(n_2981),
+	.Y(n_5696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000168 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[156] [3]),
+	.A2_N(n_4241),
+	.B1(n_3465),
+	.B2(n_2981),
+	.Y(n_5695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000169 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[156] [4]),
+	.A2_N(n_4241),
+	.B1(n_3466),
+	.B2(n_2981),
+	.Y(n_5694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000170 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[156] [5]),
+	.A2_N(n_4241),
+	.B1(n_3529),
+	.B2(n_2981),
+	.Y(n_5693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000171 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[156] [6]),
+	.A2_N(n_4241),
+	.B1(n_3461),
+	.B2(n_2981),
+	.Y(n_5692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000172 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[156] [7]),
+	.A2_N(n_4241),
+	.B1(n_3459),
+	.B2(n_2981),
+	.Y(n_5691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000173 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[156] [8]),
+	.A2_N(n_4241),
+	.B1(n_3474),
+	.B2(n_2981),
+	.Y(n_5690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000174 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[157] [1]),
+	.A2_N(n_4224),
+	.B1(n_3475),
+	.B2(n_2969),
+	.Y(n_5689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000175 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[157] [2]),
+	.A2_N(n_4224),
+	.B1(n_3468),
+	.B2(n_2969),
+	.Y(n_5688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000176 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[157] [4]),
+	.A2_N(n_4224),
+	.B1(n_3466),
+	.B2(n_2969),
+	.Y(n_5687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000177 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[157] [5]),
+	.A2_N(n_4224),
+	.B1(n_3529),
+	.B2(n_2969),
+	.Y(n_5686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000178 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[157] [7]),
+	.A2_N(n_4224),
+	.B1(n_3459),
+	.B2(n_2969),
+	.Y(n_5685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000179 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[158] [1]),
+	.A2_N(n_4194),
+	.B1(n_3475),
+	.B2(n_2956),
+	.Y(n_5684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000180 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[158] [2]),
+	.A2_N(n_4194),
+	.B1(n_3468),
+	.B2(n_2956),
+	.Y(n_5683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000181 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[158] [3]),
+	.A2_N(n_4194),
+	.B1(n_3465),
+	.B2(n_2956),
+	.Y(n_5682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000182 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[158] [5]),
+	.A2_N(n_4194),
+	.B1(n_3529),
+	.B2(n_2956),
+	.Y(n_5681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000183 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[158] [7]),
+	.A2_N(n_4194),
+	.B1(n_3459),
+	.B2(n_2956),
+	.Y(n_5680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000184 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[159] [1]),
+	.A2_N(n_4173),
+	.B1(n_3475),
+	.B2(n_2958),
+	.Y(n_5679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000185 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[245] [7]),
+	.A2_N(n_3863),
+	.B1(n_3480),
+	.B2(n_2967),
+	.Y(n_5678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000186 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[159] [5]),
+	.A2_N(n_4173),
+	.B1(n_3529),
+	.B2(n_2958),
+	.Y(n_5677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000187 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[159] [7]),
+	.A2_N(n_4173),
+	.B1(n_3459),
+	.B2(n_2958),
+	.Y(n_5676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000188 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[160] [1]),
+	.A2_N(n_4243),
+	.B1(n_2955),
+	.B2(n_3504),
+	.Y(n_5675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000189 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[160] [2]),
+	.A2_N(n_4243),
+	.B1(n_2955),
+	.B2(n_3491),
+	.Y(n_5674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000190 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[160] [3]),
+	.A2_N(n_4243),
+	.B1(n_2955),
+	.B2(n_3511),
+	.Y(n_5673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000191 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[245] [2]),
+	.A2_N(n_3863),
+	.B1(n_3486),
+	.B2(n_2967),
+	.Y(n_5672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000192 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[160] [4]),
+	.A2_N(n_4243),
+	.B1(n_2955),
+	.B2(n_3519),
+	.Y(n_5671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000193 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[160] [5]),
+	.A2_N(n_4243),
+	.B1(n_2955),
+	.B2(n_3488),
+	.Y(n_5670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000194 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[160] [6]),
+	.A2_N(n_4243),
+	.B1(n_2955),
+	.B2(n_3489),
+	.Y(n_5669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000195 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[160] [7]),
+	.A2_N(n_4243),
+	.B1(n_2955),
+	.B2(n_3490),
+	.Y(n_5668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000196 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[160] [8]),
+	.A2_N(n_4243),
+	.B1(n_2955),
+	.B2(n_3520),
+	.Y(n_5667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000197 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[161] [1]),
+	.A2_N(n_4272),
+	.B1(n_3504),
+	.B2(n_2967),
+	.Y(n_5666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000198 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[161] [2]),
+	.A2_N(n_4272),
+	.B1(n_3491),
+	.B2(n_2967),
+	.Y(n_5665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000199 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[161] [4]),
+	.A2_N(n_4272),
+	.B1(n_3519),
+	.B2(n_2967),
+	.Y(n_5664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000200 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[161] [5]),
+	.A2_N(n_4272),
+	.B1(n_3488),
+	.B2(n_2967),
+	.Y(n_5663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000201 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[245] [3]),
+	.A2_N(n_3863),
+	.B1(n_3496),
+	.B2(n_2967),
+	.Y(n_5662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000202 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[161] [8]),
+	.A2_N(n_4272),
+	.B1(n_3520),
+	.B2(n_2967),
+	.Y(n_5661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000203 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[162] [1]),
+	.A2_N(n_4237),
+	.B1(n_2968),
+	.B2(n_3504),
+	.Y(n_5660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000204 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[235] [2]),
+	.A2_N(n_3901),
+	.B1(n_2954),
+	.B2(n_3515),
+	.Y(n_5659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000205 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[162] [3]),
+	.A2_N(n_4237),
+	.B1(n_2968),
+	.B2(n_3511),
+	.Y(n_5658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000206 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[162] [4]),
+	.A2_N(n_4237),
+	.B1(n_2968),
+	.B2(n_3519),
+	.Y(n_5657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000207 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[162] [5]),
+	.A2_N(n_4237),
+	.B1(n_2968),
+	.B2(n_3488),
+	.Y(n_5656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000208 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[162] [7]),
+	.A2_N(n_4237),
+	.B1(n_2968),
+	.B2(n_3490),
+	.Y(n_5655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000209 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[162] [8]),
+	.A2_N(n_4237),
+	.B1(n_2968),
+	.B2(n_3520),
+	.Y(n_5654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000210 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[163] [1]),
+	.A2_N(n_4271),
+	.B1(n_2963),
+	.B2(n_3504),
+	.Y(n_5653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000211 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[163] [3]),
+	.A2_N(n_4271),
+	.B1(n_2963),
+	.B2(n_3511),
+	.Y(n_5652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000212 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[163] [5]),
+	.A2_N(n_4271),
+	.B1(n_2963),
+	.B2(n_3488),
+	.Y(n_5651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000213 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[163] [7]),
+	.A2_N(n_4271),
+	.B1(n_2963),
+	.B2(n_3490),
+	.Y(n_5650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000214 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[164] [1]),
+	.A2_N(n_4245),
+	.B1(n_3457),
+	.B2(n_2955),
+	.Y(n_5649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000215 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[164] [2]),
+	.A2_N(n_4245),
+	.B1(n_3495),
+	.B2(n_2955),
+	.Y(n_5648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000216 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[164] [3]),
+	.A2_N(n_4245),
+	.B1(n_3497),
+	.B2(n_2955),
+	.Y(n_5647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000217 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[164] [4]),
+	.A2_N(n_4245),
+	.B1(n_3498),
+	.B2(n_2955),
+	.Y(n_5646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000218 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[164] [5]),
+	.A2_N(n_4245),
+	.B1(n_3503),
+	.B2(n_2955),
+	.Y(n_5645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000219 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[164] [6]),
+	.A2_N(n_4245),
+	.B1(n_3487),
+	.B2(n_2955),
+	.Y(n_5644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000220 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[164] [7]),
+	.A2_N(n_4245),
+	.B1(n_3508),
+	.B2(n_2955),
+	.Y(n_5643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000221 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[254] [1]),
+	.A2_N(n_4195),
+	.B1(n_3532),
+	.B2(n_2959),
+	.Y(n_5642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000222 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[164] [8]),
+	.A2_N(n_4245),
+	.B1(n_3509),
+	.B2(n_2955),
+	.Y(n_5641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000223 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[165] [1]),
+	.A2_N(n_4214),
+	.B1(n_3457),
+	.B2(n_2967),
+	.Y(n_5640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000224 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[165] [2]),
+	.A2_N(n_4214),
+	.B1(n_3495),
+	.B2(n_2967),
+	.Y(n_5639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000225 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[165] [3]),
+	.A2_N(n_4214),
+	.B1(n_3497),
+	.B2(n_2967),
+	.Y(n_5638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000226 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[165] [4]),
+	.A2_N(n_4214),
+	.B1(n_3498),
+	.B2(n_2967),
+	.Y(n_5637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000227 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[165] [6]),
+	.A2_N(n_4214),
+	.B1(n_3487),
+	.B2(n_2967),
+	.Y(n_5636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000228 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[166] [2]),
+	.A2_N(n_4234),
+	.B1(n_3495),
+	.B2(n_2968),
+	.Y(n_5635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000229 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[166] [3]),
+	.A2_N(n_4234),
+	.B1(n_3497),
+	.B2(n_2968),
+	.Y(n_5634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000230 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[166] [4]),
+	.A2_N(n_4234),
+	.B1(n_3498),
+	.B2(n_2968),
+	.Y(n_5633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000231 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[166] [6]),
+	.A2_N(n_4234),
+	.B1(n_3487),
+	.B2(n_2968),
+	.Y(n_5632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000232 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[166] [7]),
+	.A2_N(n_4234),
+	.B1(n_3508),
+	.B2(n_2968),
+	.Y(n_5631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000233 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[166] [8]),
+	.A2_N(n_4234),
+	.B1(n_3509),
+	.B2(n_2968),
+	.Y(n_5630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000234 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[167] [1]),
+	.A2_N(n_4127),
+	.B1(n_3457),
+	.B2(n_2963),
+	.Y(n_5629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000235 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[167] [2]),
+	.A2_N(n_4127),
+	.B1(n_3495),
+	.B2(n_2963),
+	.Y(n_5628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000236 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[167] [3]),
+	.A2_N(n_4127),
+	.B1(n_3497),
+	.B2(n_2963),
+	.Y(n_5627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000237 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[167] [4]),
+	.A2_N(n_4127),
+	.B1(n_3498),
+	.B2(n_2963),
+	.Y(n_5626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000238 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[167] [6]),
+	.A2_N(n_4127),
+	.B1(n_3487),
+	.B2(n_2963),
+	.Y(n_5625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000239 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[167] [7]),
+	.A2_N(n_4127),
+	.B1(n_3508),
+	.B2(n_2963),
+	.Y(n_5624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000240 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[167] [8]),
+	.A2_N(n_4127),
+	.B1(n_3509),
+	.B2(n_2963),
+	.Y(n_5623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000241 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[168] [2]),
+	.A2_N(n_4246),
+	.B1(n_2982),
+	.B2(n_3491),
+	.Y(n_5622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000242 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[168] [3]),
+	.A2_N(n_4246),
+	.B1(n_2982),
+	.B2(n_3511),
+	.Y(n_5621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000243 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[168] [4]),
+	.A2_N(n_4246),
+	.B1(n_2982),
+	.B2(n_3519),
+	.Y(n_5620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000244 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[168] [5]),
+	.A2_N(n_4246),
+	.B1(n_2982),
+	.B2(n_3488),
+	.Y(n_5619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000245 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[168] [7]),
+	.A2_N(n_4246),
+	.B1(n_2982),
+	.B2(n_3490),
+	.Y(n_5618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000246 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[168] [8]),
+	.A2_N(n_4246),
+	.B1(n_2982),
+	.B2(n_3520),
+	.Y(n_5617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000247 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[169] [1]),
+	.A2_N(n_4171),
+	.B1(n_3504),
+	.B2(n_2957),
+	.Y(n_5616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000248 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[169] [4]),
+	.A2_N(n_4171),
+	.B1(n_3519),
+	.B2(n_2957),
+	.Y(n_5615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000249 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[169] [5]),
+	.A2_N(n_4171),
+	.B1(n_3488),
+	.B2(n_2957),
+	.Y(n_5614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000250 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[169] [6]),
+	.A2_N(n_4171),
+	.B1(n_3489),
+	.B2(n_2957),
+	.Y(n_5613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000251 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[169] [7]),
+	.A2_N(n_4171),
+	.B1(n_3490),
+	.B2(n_2957),
+	.Y(n_5612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000252 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[169] [8]),
+	.A2_N(n_4171),
+	.B1(n_3520),
+	.B2(n_2957),
+	.Y(n_5611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000253 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[170] [1]),
+	.A2_N(n_4203),
+	.B1(n_2959),
+	.B2(n_3504),
+	.Y(n_5610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000254 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[170] [2]),
+	.A2_N(n_4203),
+	.B1(n_2959),
+	.B2(n_3491),
+	.Y(n_5609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000255 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[170] [3]),
+	.A2_N(n_4203),
+	.B1(n_2959),
+	.B2(n_3511),
+	.Y(n_5608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000256 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[170] [4]),
+	.A2_N(n_4203),
+	.B1(n_2959),
+	.B2(n_3519),
+	.Y(n_5607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000257 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[170] [5]),
+	.A2_N(n_4203),
+	.B1(n_2959),
+	.B2(n_3488),
+	.Y(n_5606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000258 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[170] [6]),
+	.A2_N(n_4203),
+	.B1(n_2959),
+	.B2(n_3489),
+	.Y(n_5605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000259 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[170] [7]),
+	.A2_N(n_4203),
+	.B1(n_2959),
+	.B2(n_3490),
+	.Y(n_5604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000260 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[170] [8]),
+	.A2_N(n_4203),
+	.B1(n_2959),
+	.B2(n_3520),
+	.Y(n_5603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000261 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[171] [2]),
+	.A2_N(n_4176),
+	.B1(n_2954),
+	.B2(n_3491),
+	.Y(n_5602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000262 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[171] [3]),
+	.A2_N(n_4176),
+	.B1(n_2954),
+	.B2(n_3511),
+	.Y(n_5601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000263 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[171] [4]),
+	.A2_N(n_4176),
+	.B1(n_2954),
+	.B2(n_3519),
+	.Y(n_5600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000264 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[171] [8]),
+	.A2_N(n_4176),
+	.B1(n_2954),
+	.B2(n_3520),
+	.Y(n_5599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000265 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[172] [1]),
+	.A2_N(n_4251),
+	.B1(n_3457),
+	.B2(n_2982),
+	.Y(n_5598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000266 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[172] [2]),
+	.A2_N(n_4251),
+	.B1(n_3495),
+	.B2(n_2982),
+	.Y(n_5597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000267 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[172] [3]),
+	.A2_N(n_4251),
+	.B1(n_3497),
+	.B2(n_2982),
+	.Y(n_5596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000268 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[172] [4]),
+	.A2_N(n_4251),
+	.B1(n_3498),
+	.B2(n_2982),
+	.Y(n_5595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000269 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[172] [5]),
+	.A2_N(n_4251),
+	.B1(n_3503),
+	.B2(n_2982),
+	.Y(n_5594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000270 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[172] [6]),
+	.A2_N(n_4251),
+	.B1(n_3487),
+	.B2(n_2982),
+	.Y(n_5593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000271 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[172] [7]),
+	.A2_N(n_4251),
+	.B1(n_3508),
+	.B2(n_2982),
+	.Y(n_5592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000272 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[172] [8]),
+	.A2_N(n_4251),
+	.B1(n_3509),
+	.B2(n_2982),
+	.Y(n_5591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000273 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[173] [1]),
+	.A2_N(n_4168),
+	.B1(n_3457),
+	.B2(n_2957),
+	.Y(n_5590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000274 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[173] [2]),
+	.A2_N(n_4168),
+	.B1(n_3495),
+	.B2(n_2957),
+	.Y(n_5589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000275 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[173] [3]),
+	.A2_N(n_4168),
+	.B1(n_3497),
+	.B2(n_2957),
+	.Y(n_5588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000276 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[173] [4]),
+	.A2_N(n_4168),
+	.B1(n_3498),
+	.B2(n_2957),
+	.Y(n_5587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000277 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[173] [5]),
+	.A2_N(n_4168),
+	.B1(n_3503),
+	.B2(n_2957),
+	.Y(n_5586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000278 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[173] [6]),
+	.A2_N(n_4168),
+	.B1(n_3487),
+	.B2(n_2957),
+	.Y(n_5585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000279 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[173] [7]),
+	.A2_N(n_4168),
+	.B1(n_3508),
+	.B2(n_2957),
+	.Y(n_5584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000280 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[174] [2]),
+	.A2_N(n_4199),
+	.B1(n_2959),
+	.B2(n_3495),
+	.Y(n_5583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000281 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[174] [3]),
+	.A2_N(n_4199),
+	.B1(n_2959),
+	.B2(n_3497),
+	.Y(n_5582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000282 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[174] [4]),
+	.A2_N(n_4199),
+	.B1(n_2959),
+	.B2(n_3498),
+	.Y(n_5581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000283 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[174] [5]),
+	.A2_N(n_4199),
+	.B1(n_2959),
+	.B2(n_3503),
+	.Y(n_5580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000284 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[174] [7]),
+	.A2_N(n_4199),
+	.B1(n_2959),
+	.B2(n_3508),
+	.Y(n_5579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000285 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[174] [8]),
+	.A2_N(n_4199),
+	.B1(n_2959),
+	.B2(n_3509),
+	.Y(n_5578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000286 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[175] [1]),
+	.A2_N(n_4179),
+	.B1(n_2954),
+	.B2(n_3457),
+	.Y(n_5577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000287 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[175] [3]),
+	.A2_N(n_4179),
+	.B1(n_2954),
+	.B2(n_3497),
+	.Y(n_5576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000288 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[175] [4]),
+	.A2_N(n_4179),
+	.B1(n_2954),
+	.B2(n_3498),
+	.Y(n_5575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000289 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[175] [5]),
+	.A2_N(n_4179),
+	.B1(n_2954),
+	.B2(n_3503),
+	.Y(n_5574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000290 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[175] [6]),
+	.A2_N(n_4179),
+	.B1(n_2954),
+	.B2(n_3487),
+	.Y(n_5573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000291 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[175] [7]),
+	.A2_N(n_4179),
+	.B1(n_2954),
+	.B2(n_3508),
+	.Y(n_5572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000292 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[176] [1]),
+	.A2_N(n_4249),
+	.B1(n_2955),
+	.B2(n_3533),
+	.Y(n_5571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000293 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[176] [2]),
+	.A2_N(n_4249),
+	.B1(n_2955),
+	.B2(n_3470),
+	.Y(n_5570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000294 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[176] [3]),
+	.A2_N(n_4249),
+	.B1(n_2955),
+	.B2(n_3502),
+	.Y(n_5569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000295 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[176] [4]),
+	.A2_N(n_4249),
+	.B1(n_2955),
+	.B2(n_3505),
+	.Y(n_5568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000296 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[176] [5]),
+	.A2_N(n_4249),
+	.B1(n_2955),
+	.B2(n_3494),
+	.Y(n_5567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000297 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[176] [6]),
+	.A2_N(n_4249),
+	.B1(n_2955),
+	.B2(n_3483),
+	.Y(n_5566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000298 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[176] [7]),
+	.A2_N(n_4249),
+	.B1(n_2955),
+	.B2(n_3507),
+	.Y(n_5565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000299 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[176] [8]),
+	.A2_N(n_4249),
+	.B1(n_2955),
+	.B2(n_3510),
+	.Y(n_5564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000300 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[177] [1]),
+	.A2_N(n_4120),
+	.B1(n_3533),
+	.B2(n_2967),
+	.Y(n_5563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000301 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[250] [8]),
+	.A2_N(n_3913),
+	.B1(n_2959),
+	.B2(n_3472),
+	.Y(n_5562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000302 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[177] [2]),
+	.A2_N(n_4120),
+	.B1(n_3470),
+	.B2(n_2967),
+	.Y(n_5561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000303 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[177] [3]),
+	.A2_N(n_4120),
+	.B1(n_3502),
+	.B2(n_2967),
+	.Y(n_5560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000304 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[177] [4]),
+	.A2_N(n_4120),
+	.B1(n_3505),
+	.B2(n_2967),
+	.Y(n_5559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000305 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[177] [6]),
+	.A2_N(n_4120),
+	.B1(n_3483),
+	.B2(n_2967),
+	.Y(n_5558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000306 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[177] [7]),
+	.A2_N(n_4120),
+	.B1(n_3507),
+	.B2(n_2967),
+	.Y(n_5557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000307 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[177] [8]),
+	.A2_N(n_4120),
+	.B1(n_3510),
+	.B2(n_2967),
+	.Y(n_5556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000308 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[178] [1]),
+	.A2_N(n_4236),
+	.B1(n_2968),
+	.B2(n_3533),
+	.Y(n_5555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000309 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[178] [3]),
+	.A2_N(n_4236),
+	.B1(n_2968),
+	.B2(n_3502),
+	.Y(n_5554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000310 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[178] [4]),
+	.A2_N(n_4236),
+	.B1(n_2968),
+	.B2(n_3505),
+	.Y(n_5553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000311 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[178] [5]),
+	.A2_N(n_4236),
+	.B1(n_2968),
+	.B2(n_3494),
+	.Y(n_5552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000312 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[178] [6]),
+	.A2_N(n_4236),
+	.B1(n_2968),
+	.B2(n_3483),
+	.Y(n_5551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000313 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[178] [7]),
+	.A2_N(n_4236),
+	.B1(n_2968),
+	.B2(n_3507),
+	.Y(n_5550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000314 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[178] [8]),
+	.A2_N(n_4236),
+	.B1(n_2968),
+	.B2(n_3510),
+	.Y(n_5549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000315 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[179] [1]),
+	.A2_N(n_4126),
+	.B1(n_2963),
+	.B2(n_3533),
+	.Y(n_5548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000316 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[179] [2]),
+	.A2_N(n_4126),
+	.B1(n_2963),
+	.B2(n_3470),
+	.Y(n_5547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000317 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[179] [3]),
+	.A2_N(n_4126),
+	.B1(n_2963),
+	.B2(n_3502),
+	.Y(n_5546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000318 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[179] [6]),
+	.A2_N(n_4126),
+	.B1(n_2963),
+	.B2(n_3483),
+	.Y(n_5545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000319 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[179] [7]),
+	.A2_N(n_4126),
+	.B1(n_2963),
+	.B2(n_3507),
+	.Y(n_5544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000320 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[179] [8]),
+	.A2_N(n_4126),
+	.B1(n_2963),
+	.B2(n_3510),
+	.Y(n_5543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000321 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[180] [1]),
+	.A2_N(n_4266),
+	.B1(n_3475),
+	.B2(n_2955),
+	.Y(n_5542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000322 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[233] [2]),
+	.A2_N(n_3910),
+	.B1(n_3515),
+	.B2(n_2957),
+	.Y(n_5541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000323 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[180] [2]),
+	.A2_N(n_4266),
+	.B1(n_3468),
+	.B2(n_2955),
+	.Y(n_5540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000324 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[180] [3]),
+	.A2_N(n_4266),
+	.B1(n_3465),
+	.B2(n_2955),
+	.Y(n_5539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000325 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[180] [4]),
+	.A2_N(n_4266),
+	.B1(n_3466),
+	.B2(n_2955),
+	.Y(n_5538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000326 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[233] [6]),
+	.A2_N(n_3910),
+	.B1(n_3476),
+	.B2(n_2957),
+	.Y(n_5537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000327 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[180] [5]),
+	.A2_N(n_4266),
+	.B1(n_3529),
+	.B2(n_2955),
+	.Y(n_5536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000328 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[180] [6]),
+	.A2_N(n_4266),
+	.B1(n_3461),
+	.B2(n_2955),
+	.Y(n_5535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000329 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[180] [7]),
+	.A2_N(n_4266),
+	.B1(n_3459),
+	.B2(n_2955),
+	.Y(n_5534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000330 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[180] [8]),
+	.A2_N(n_4266),
+	.B1(n_3474),
+	.B2(n_2955),
+	.Y(n_5533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000331 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[181] [1]),
+	.A2_N(n_4270),
+	.B1(n_3475),
+	.B2(n_2967),
+	.Y(n_5532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000332 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[181] [2]),
+	.A2_N(n_4270),
+	.B1(n_3468),
+	.B2(n_2967),
+	.Y(n_5531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000333 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[181] [3]),
+	.A2_N(n_4270),
+	.B1(n_3465),
+	.B2(n_2967),
+	.Y(n_5530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000334 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[181] [4]),
+	.A2_N(n_4270),
+	.B1(n_3466),
+	.B2(n_2967),
+	.Y(n_5529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000335 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[181] [5]),
+	.A2_N(n_4270),
+	.B1(n_3529),
+	.B2(n_2967),
+	.Y(n_5528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000336 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[181] [6]),
+	.A2_N(n_4270),
+	.B1(n_3461),
+	.B2(n_2967),
+	.Y(n_5527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000337 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[181] [7]),
+	.A2_N(n_4270),
+	.B1(n_3459),
+	.B2(n_2967),
+	.Y(n_5526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000338 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[181] [8]),
+	.A2_N(n_4270),
+	.B1(n_3474),
+	.B2(n_2967),
+	.Y(n_5525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000339 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[182] [1]),
+	.A2_N(n_4233),
+	.B1(n_3475),
+	.B2(n_2968),
+	.Y(n_5524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000340 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[182] [2]),
+	.A2_N(n_4233),
+	.B1(n_3468),
+	.B2(n_2968),
+	.Y(n_5523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000341 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[182] [3]),
+	.A2_N(n_4233),
+	.B1(n_3465),
+	.B2(n_2968),
+	.Y(n_5522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000342 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[182] [4]),
+	.A2_N(n_4233),
+	.B1(n_3466),
+	.B2(n_2968),
+	.Y(n_5521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000343 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[182] [5]),
+	.A2_N(n_4233),
+	.B1(n_3529),
+	.B2(n_2968),
+	.Y(n_5520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000344 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[182] [6]),
+	.A2_N(n_4233),
+	.B1(n_3461),
+	.B2(n_2968),
+	.Y(n_5519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000345 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[182] [7]),
+	.A2_N(n_4233),
+	.B1(n_3459),
+	.B2(n_2968),
+	.Y(n_5518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000346 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[182] [8]),
+	.A2_N(n_4233),
+	.B1(n_3474),
+	.B2(n_2968),
+	.Y(n_5517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000347 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[183] [1]),
+	.A2_N(n_4212),
+	.B1(n_3475),
+	.B2(n_2963),
+	.Y(n_5516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000348 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[183] [2]),
+	.A2_N(n_4212),
+	.B1(n_3468),
+	.B2(n_2963),
+	.Y(n_5515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000349 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[183] [3]),
+	.A2_N(n_4212),
+	.B1(n_3465),
+	.B2(n_2963),
+	.Y(n_5514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000350 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[183] [4]),
+	.A2_N(n_4212),
+	.B1(n_3466),
+	.B2(n_2963),
+	.Y(n_5513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000351 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[183] [5]),
+	.A2_N(n_4212),
+	.B1(n_3529),
+	.B2(n_2963),
+	.Y(n_5512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000352 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[183] [6]),
+	.A2_N(n_4212),
+	.B1(n_3461),
+	.B2(n_2963),
+	.Y(n_5511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000353 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[183] [7]),
+	.A2_N(n_4212),
+	.B1(n_3459),
+	.B2(n_2963),
+	.Y(n_5510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000354 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[183] [8]),
+	.A2_N(n_4212),
+	.B1(n_3474),
+	.B2(n_2963),
+	.Y(n_5509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000355 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[184] [1]),
+	.A2_N(n_4261),
+	.B1(n_2982),
+	.B2(n_3533),
+	.Y(n_5508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000356 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[184] [2]),
+	.A2_N(n_4261),
+	.B1(n_2982),
+	.B2(n_3470),
+	.Y(n_5507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000357 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[184] [3]),
+	.A2_N(n_4261),
+	.B1(n_2982),
+	.B2(n_3502),
+	.Y(n_5506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000358 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[184] [4]),
+	.A2_N(n_4261),
+	.B1(n_2982),
+	.B2(n_3505),
+	.Y(n_5505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000359 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[184] [5]),
+	.A2_N(n_4261),
+	.B1(n_2982),
+	.B2(n_3494),
+	.Y(n_5504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000360 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[184] [6]),
+	.A2_N(n_4261),
+	.B1(n_2982),
+	.B2(n_3483),
+	.Y(n_5503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000361 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[184] [7]),
+	.A2_N(n_4261),
+	.B1(n_2982),
+	.B2(n_3507),
+	.Y(n_5502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000362 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[184] [8]),
+	.A2_N(n_4261),
+	.B1(n_2982),
+	.B2(n_3510),
+	.Y(n_5501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000363 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[185] [1]),
+	.A2_N(n_4118),
+	.B1(n_3533),
+	.B2(n_2957),
+	.Y(n_5500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000364 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[185] [2]),
+	.A2_N(n_4118),
+	.B1(n_3470),
+	.B2(n_2957),
+	.Y(n_5499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000365 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[185] [3]),
+	.A2_N(n_4118),
+	.B1(n_3502),
+	.B2(n_2957),
+	.Y(n_5498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000366 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[185] [4]),
+	.A2_N(n_4118),
+	.B1(n_3505),
+	.B2(n_2957),
+	.Y(n_5497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000367 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[185] [5]),
+	.A2_N(n_4118),
+	.B1(n_3494),
+	.B2(n_2957),
+	.Y(n_5496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000368 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[185] [8]),
+	.A2_N(n_4118),
+	.B1(n_3510),
+	.B2(n_2957),
+	.Y(n_5495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000369 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[245] [5]),
+	.A2_N(n_3863),
+	.B1(n_3482),
+	.B2(n_2967),
+	.Y(n_5494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000370 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[186] [2]),
+	.A2_N(n_4202),
+	.B1(n_2959),
+	.B2(n_3470),
+	.Y(n_5493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000371 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[186] [4]),
+	.A2_N(n_4202),
+	.B1(n_2959),
+	.B2(n_3505),
+	.Y(n_5492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000372 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[186] [6]),
+	.A2_N(n_4202),
+	.B1(n_2959),
+	.B2(n_3483),
+	.Y(n_5491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000373 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[186] [8]),
+	.A2_N(n_4202),
+	.B1(n_2959),
+	.B2(n_3510),
+	.Y(n_5490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000374 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[187] [2]),
+	.A2_N(n_4184),
+	.B1(n_2954),
+	.B2(n_3470),
+	.Y(n_5489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000375 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[187] [4]),
+	.A2_N(n_4184),
+	.B1(n_2954),
+	.B2(n_3505),
+	.Y(n_5488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000376 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[187] [5]),
+	.A2_N(n_4184),
+	.B1(n_2954),
+	.B2(n_3494),
+	.Y(n_5487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000377 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[187] [6]),
+	.A2_N(n_4184),
+	.B1(n_2954),
+	.B2(n_3483),
+	.Y(n_5486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000378 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[187] [8]),
+	.A2_N(n_4184),
+	.B1(n_2954),
+	.B2(n_3510),
+	.Y(n_5485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000379 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[188] [2]),
+	.A2_N(n_4250),
+	.B1(n_3468),
+	.B2(n_2982),
+	.Y(n_5484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000380 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[188] [3]),
+	.A2_N(n_4250),
+	.B1(n_3465),
+	.B2(n_2982),
+	.Y(n_5483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000381 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[188] [4]),
+	.A2_N(n_4250),
+	.B1(n_3466),
+	.B2(n_2982),
+	.Y(n_5482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000382 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[188] [5]),
+	.A2_N(n_4250),
+	.B1(n_3529),
+	.B2(n_2982),
+	.Y(n_5481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000383 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[188] [6]),
+	.A2_N(n_4250),
+	.B1(n_3461),
+	.B2(n_2982),
+	.Y(n_5480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000384 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[188] [7]),
+	.A2_N(n_4250),
+	.B1(n_3459),
+	.B2(n_2982),
+	.Y(n_5479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000385 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[188] [8]),
+	.A2_N(n_4250),
+	.B1(n_3474),
+	.B2(n_2982),
+	.Y(n_5478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000386 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[189] [1]),
+	.A2_N(n_4151),
+	.B1(n_3475),
+	.B2(n_2957),
+	.Y(n_5477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000387 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[189] [2]),
+	.A2_N(n_4151),
+	.B1(n_3468),
+	.B2(n_2957),
+	.Y(n_5476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000388 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[40] [1]),
+	.A2_N(n_4138),
+	.B1(n_2977),
+	.B2(n_3504),
+	.Y(n_5475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000389 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[189] [3]),
+	.A2_N(n_4151),
+	.B1(n_3465),
+	.B2(n_2957),
+	.Y(n_5474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000390 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[189] [4]),
+	.A2_N(n_4151),
+	.B1(n_3466),
+	.B2(n_2957),
+	.Y(n_5473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000391 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[189] [5]),
+	.A2_N(n_4151),
+	.B1(n_3529),
+	.B2(n_2957),
+	.Y(n_5472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000392 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[189] [7]),
+	.A2_N(n_4151),
+	.B1(n_3459),
+	.B2(n_2957),
+	.Y(n_5471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000393 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[189] [8]),
+	.A2_N(n_4151),
+	.B1(n_3474),
+	.B2(n_2957),
+	.Y(n_5470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000394 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[190] [1]),
+	.A2_N(n_4200),
+	.B1(n_3475),
+	.B2(n_2959),
+	.Y(n_5469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000395 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[190] [3]),
+	.A2_N(n_4200),
+	.B1(n_3465),
+	.B2(n_2959),
+	.Y(n_5468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000396 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[190] [5]),
+	.A2_N(n_4200),
+	.B1(n_3529),
+	.B2(n_2959),
+	.Y(n_5467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000397 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[190] [6]),
+	.A2_N(n_4200),
+	.B1(n_3461),
+	.B2(n_2959),
+	.Y(n_5466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000398 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[190] [7]),
+	.A2_N(n_4200),
+	.B1(n_3459),
+	.B2(n_2959),
+	.Y(n_5465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000399 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[190] [8]),
+	.A2_N(n_4200),
+	.B1(n_3474),
+	.B2(n_2959),
+	.Y(n_5464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000400 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[191] [1]),
+	.A2_N(n_4185),
+	.B1(n_2954),
+	.B2(n_3475),
+	.Y(n_5463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000401 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[191] [3]),
+	.A2_N(n_4185),
+	.B1(n_2954),
+	.B2(n_3465),
+	.Y(n_5462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000402 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[191] [5]),
+	.A2_N(n_4185),
+	.B1(n_2954),
+	.B2(n_3529),
+	.Y(n_5461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000403 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[191] [7]),
+	.A2_N(n_4185),
+	.B1(n_2954),
+	.B2(n_3459),
+	.Y(n_5460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000404 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[192] [2]),
+	.A2_N(n_4123),
+	.B1(n_3515),
+	.B2(n_2985),
+	.Y(n_5459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000405 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[192] [4]),
+	.A2_N(n_4123),
+	.B1(n_3517),
+	.B2(n_2985),
+	.Y(n_5458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000406 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[192] [5]),
+	.A2_N(n_4123),
+	.B1(n_3469),
+	.B2(n_2985),
+	.Y(n_5457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000407 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[192] [8]),
+	.A2_N(n_4123),
+	.B1(n_3512),
+	.B2(n_2985),
+	.Y(n_5456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000408 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[193] [2]),
+	.A2_N(n_3943),
+	.B1(n_3515),
+	.B2(n_2975),
+	.Y(n_5455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000409 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[193] [3]),
+	.A2_N(n_3943),
+	.B1(n_3518),
+	.B2(n_2975),
+	.Y(n_5454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000410 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[193] [4]),
+	.A2_N(n_3943),
+	.B1(n_3517),
+	.B2(n_2975),
+	.Y(n_5453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000411 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[193] [5]),
+	.A2_N(n_3943),
+	.B1(n_3469),
+	.B2(n_2975),
+	.Y(n_5452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000412 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[193] [6]),
+	.A2_N(n_3943),
+	.B1(n_3476),
+	.B2(n_2975),
+	.Y(n_5451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000413 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[193] [8]),
+	.A2_N(n_3943),
+	.B1(n_3512),
+	.B2(n_2975),
+	.Y(n_5450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000414 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[194] [2]),
+	.A2_N(n_3909),
+	.B1(n_2984),
+	.B2(n_3515),
+	.Y(n_5449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000415 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[194] [3]),
+	.A2_N(n_3909),
+	.B1(n_2984),
+	.B2(n_3518),
+	.Y(n_5448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000416 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[194] [4]),
+	.A2_N(n_3909),
+	.B1(n_2984),
+	.B2(n_3517),
+	.Y(n_5447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000417 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[194] [5]),
+	.A2_N(n_3909),
+	.B1(n_2984),
+	.B2(n_3469),
+	.Y(n_5446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000418 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[194] [6]),
+	.A2_N(n_3909),
+	.B1(n_2984),
+	.B2(n_3476),
+	.Y(n_5445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000419 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[194] [7]),
+	.A2_N(n_3909),
+	.B1(n_2984),
+	.B2(n_3513),
+	.Y(n_5444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000420 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[195] [2]),
+	.A2_N(n_3905),
+	.B1(n_2962),
+	.B2(n_3515),
+	.Y(n_5443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000421 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[195] [3]),
+	.A2_N(n_3905),
+	.B1(n_2962),
+	.B2(n_3518),
+	.Y(n_5442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000422 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[195] [4]),
+	.A2_N(n_3905),
+	.B1(n_2962),
+	.B2(n_3517),
+	.Y(n_5441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000423 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[195] [5]),
+	.A2_N(n_3905),
+	.B1(n_2962),
+	.B2(n_3469),
+	.Y(n_5440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000424 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[195] [6]),
+	.A2_N(n_3905),
+	.B1(n_2962),
+	.B2(n_3476),
+	.Y(n_5439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000425 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[195] [7]),
+	.A2_N(n_3905),
+	.B1(n_2962),
+	.B2(n_3513),
+	.Y(n_5438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000426 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[195] [8]),
+	.A2_N(n_3905),
+	.B1(n_2962),
+	.B2(n_3512),
+	.Y(n_5437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000427 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[196] [1]),
+	.A2_N(n_4210),
+	.B1(n_3521),
+	.B2(n_2985),
+	.Y(n_5436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000428 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[196] [2]),
+	.A2_N(n_4210),
+	.B1(n_3527),
+	.B2(n_2985),
+	.Y(n_5435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000429 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[196] [3]),
+	.A2_N(n_4210),
+	.B1(n_3522),
+	.B2(n_2985),
+	.Y(n_5434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000430 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[234] [6]),
+	.A2_N(n_3902),
+	.B1(n_2959),
+	.B2(n_3476),
+	.Y(n_5433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000431 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[196] [4]),
+	.A2_N(n_4210),
+	.B1(n_3526),
+	.B2(n_2985),
+	.Y(n_5432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000432 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[196] [5]),
+	.A2_N(n_4210),
+	.B1(n_3524),
+	.B2(n_2985),
+	.Y(n_5431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000433 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[196] [7]),
+	.A2_N(n_4210),
+	.B1(n_3531),
+	.B2(n_2985),
+	.Y(n_5430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000434 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[196] [8]),
+	.A2_N(n_4210),
+	.B1(n_3530),
+	.B2(n_2985),
+	.Y(n_5429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000435 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[197] [1]),
+	.A2_N(n_3888),
+	.B1(n_3521),
+	.B2(n_2975),
+	.Y(n_5428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000436 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[197] [2]),
+	.A2_N(n_3888),
+	.B1(n_3527),
+	.B2(n_2975),
+	.Y(n_5427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000437 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[197] [3]),
+	.A2_N(n_3888),
+	.B1(n_3522),
+	.B2(n_2975),
+	.Y(n_5426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000438 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[197] [5]),
+	.A2_N(n_3888),
+	.B1(n_3524),
+	.B2(n_2975),
+	.Y(n_5425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000439 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[197] [7]),
+	.A2_N(n_3888),
+	.B1(n_3531),
+	.B2(n_2975),
+	.Y(n_5424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000440 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[197] [8]),
+	.A2_N(n_3888),
+	.B1(n_3530),
+	.B2(n_2975),
+	.Y(n_5423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000441 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[255] [3]),
+	.A2_N(n_4175),
+	.B1(n_2954),
+	.B2(n_3496),
+	.Y(n_5422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000442 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[198] [2]),
+	.A2_N(n_4159),
+	.B1(n_3527),
+	.B2(n_2984),
+	.Y(n_5421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000443 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[198] [3]),
+	.A2_N(n_4159),
+	.B1(n_3522),
+	.B2(n_2984),
+	.Y(n_5420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000444 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[198] [4]),
+	.A2_N(n_4159),
+	.B1(n_3526),
+	.B2(n_2984),
+	.Y(n_5419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000445 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[198] [5]),
+	.A2_N(n_4159),
+	.B1(n_3524),
+	.B2(n_2984),
+	.Y(n_5418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000446 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[198] [6]),
+	.A2_N(n_4159),
+	.B1(n_3528),
+	.B2(n_2984),
+	.Y(n_5417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000447 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[198] [7]),
+	.A2_N(n_4159),
+	.B1(n_3531),
+	.B2(n_2984),
+	.Y(n_5416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000448 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[254] [4]),
+	.A2_N(n_4195),
+	.B1(n_3477),
+	.B2(n_2959),
+	.Y(n_5415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000449 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[198] [8]),
+	.A2_N(n_4159),
+	.B1(n_3530),
+	.B2(n_2984),
+	.Y(n_5414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000450 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[199] [1]),
+	.A2_N(n_3892),
+	.B1(n_3521),
+	.B2(n_2962),
+	.Y(n_5413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000451 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[199] [2]),
+	.A2_N(n_3892),
+	.B1(n_3527),
+	.B2(n_2962),
+	.Y(n_5412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000452 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[199] [3]),
+	.A2_N(n_3892),
+	.B1(n_3522),
+	.B2(n_2962),
+	.Y(n_5411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000453 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[199] [4]),
+	.A2_N(n_3892),
+	.B1(n_3526),
+	.B2(n_2962),
+	.Y(n_5410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000454 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[199] [5]),
+	.A2_N(n_3892),
+	.B1(n_3524),
+	.B2(n_2962),
+	.Y(n_5409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000455 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[199] [6]),
+	.A2_N(n_3892),
+	.B1(n_3528),
+	.B2(n_2962),
+	.Y(n_5408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000456 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[199] [7]),
+	.A2_N(n_3892),
+	.B1(n_3531),
+	.B2(n_2962),
+	.Y(n_5407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000457 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[199] [8]),
+	.A2_N(n_3892),
+	.B1(n_3530),
+	.B2(n_2962),
+	.Y(n_5406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000458 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[200] [2]),
+	.A2_N(n_4124),
+	.B1(n_2981),
+	.B2(n_3515),
+	.Y(n_5405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000459 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[200] [4]),
+	.A2_N(n_4124),
+	.B1(n_2981),
+	.B2(n_3517),
+	.Y(n_5404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000460 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[200] [6]),
+	.A2_N(n_4124),
+	.B1(n_2981),
+	.B2(n_3476),
+	.Y(n_5403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000461 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[200] [8]),
+	.A2_N(n_4124),
+	.B1(n_2981),
+	.B2(n_3512),
+	.Y(n_5402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000462 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[201] [1]),
+	.A2_N(n_3911),
+	.B1(n_3514),
+	.B2(n_2969),
+	.Y(n_5401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000463 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[201] [4]),
+	.A2_N(n_3911),
+	.B1(n_3517),
+	.B2(n_2969),
+	.Y(n_5400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000464 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[201] [6]),
+	.A2_N(n_3911),
+	.B1(n_3476),
+	.B2(n_2969),
+	.Y(n_5399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000465 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[109] [2]),
+	.A2_N(n_3924),
+	.B1(n_3527),
+	.B2(n_2966),
+	.Y(n_5398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000466 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[201] [8]),
+	.A2_N(n_3911),
+	.B1(n_3512),
+	.B2(n_2969),
+	.Y(n_5397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000467 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[202] [2]),
+	.A2_N(n_3904),
+	.B1(n_2956),
+	.B2(n_3515),
+	.Y(n_5396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000468 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[202] [3]),
+	.A2_N(n_3904),
+	.B1(n_2956),
+	.B2(n_3518),
+	.Y(n_5395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000469 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[202] [4]),
+	.A2_N(n_3904),
+	.B1(n_2956),
+	.B2(n_3517),
+	.Y(n_5394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000470 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[202] [5]),
+	.A2_N(n_3904),
+	.B1(n_2956),
+	.B2(n_3469),
+	.Y(n_5393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000471 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[202] [6]),
+	.A2_N(n_3904),
+	.B1(n_2956),
+	.B2(n_3476),
+	.Y(n_5392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000472 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[202] [7]),
+	.A2_N(n_3904),
+	.B1(n_2956),
+	.B2(n_3513),
+	.Y(n_5391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000473 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[202] [8]),
+	.A2_N(n_3904),
+	.B1(n_2956),
+	.B2(n_3512),
+	.Y(n_5390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000474 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[203] [1]),
+	.A2_N(n_3903),
+	.B1(n_2958),
+	.B2(n_3514),
+	.Y(n_5389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000475 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[203] [2]),
+	.A2_N(n_3903),
+	.B1(n_2958),
+	.B2(n_3515),
+	.Y(n_5388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000476 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[203] [3]),
+	.A2_N(n_3903),
+	.B1(n_2958),
+	.B2(n_3518),
+	.Y(n_5387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000477 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[203] [5]),
+	.A2_N(n_3903),
+	.B1(n_2958),
+	.B2(n_3469),
+	.Y(n_5386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000478 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[203] [6]),
+	.A2_N(n_3903),
+	.B1(n_2958),
+	.B2(n_3476),
+	.Y(n_5385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000479 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[203] [7]),
+	.A2_N(n_3903),
+	.B1(n_2958),
+	.B2(n_3513),
+	.Y(n_5384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000480 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[203] [8]),
+	.A2_N(n_3903),
+	.B1(n_2958),
+	.B2(n_3512),
+	.Y(n_5383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000481 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[204] [3]),
+	.A2_N(n_4208),
+	.B1(n_3522),
+	.B2(n_2981),
+	.Y(n_5382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000482 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[204] [4]),
+	.A2_N(n_4208),
+	.B1(n_3526),
+	.B2(n_2981),
+	.Y(n_5381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000483 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[204] [5]),
+	.A2_N(n_4208),
+	.B1(n_3524),
+	.B2(n_2981),
+	.Y(n_5380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000484 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[204] [6]),
+	.A2_N(n_4208),
+	.B1(n_3528),
+	.B2(n_2981),
+	.Y(n_5379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000485 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[250] [6]),
+	.A2_N(n_3913),
+	.B1(n_2959),
+	.B2(n_3458),
+	.Y(n_5378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000486 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[204] [7]),
+	.A2_N(n_4208),
+	.B1(n_3531),
+	.B2(n_2981),
+	.Y(n_5377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000487 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[204] [8]),
+	.A2_N(n_4208),
+	.B1(n_3530),
+	.B2(n_2981),
+	.Y(n_5376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000488 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[205] [1]),
+	.A2_N(n_3889),
+	.B1(n_3521),
+	.B2(n_2969),
+	.Y(n_5375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000489 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[205] [3]),
+	.A2_N(n_3889),
+	.B1(n_3522),
+	.B2(n_2969),
+	.Y(n_5374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000490 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[205] [5]),
+	.A2_N(n_3889),
+	.B1(n_3524),
+	.B2(n_2969),
+	.Y(n_5373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000491 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[205] [7]),
+	.A2_N(n_3889),
+	.B1(n_3531),
+	.B2(n_2969),
+	.Y(n_5372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000492 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[206] [1]),
+	.A2_N(n_3927),
+	.B1(n_3521),
+	.B2(n_2956),
+	.Y(n_5371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000493 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[206] [2]),
+	.A2_N(n_3927),
+	.B1(n_3527),
+	.B2(n_2956),
+	.Y(n_5370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000494 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[206] [3]),
+	.A2_N(n_3927),
+	.B1(n_3522),
+	.B2(n_2956),
+	.Y(n_5369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000495 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[206] [4]),
+	.A2_N(n_3927),
+	.B1(n_3526),
+	.B2(n_2956),
+	.Y(n_5368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000496 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[206] [5]),
+	.A2_N(n_3927),
+	.B1(n_3524),
+	.B2(n_2956),
+	.Y(n_5367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000497 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[206] [6]),
+	.A2_N(n_3927),
+	.B1(n_3528),
+	.B2(n_2956),
+	.Y(n_5366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000498 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[206] [7]),
+	.A2_N(n_3927),
+	.B1(n_3531),
+	.B2(n_2956),
+	.Y(n_5365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000499 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[206] [8]),
+	.A2_N(n_3927),
+	.B1(n_3530),
+	.B2(n_2956),
+	.Y(n_5364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000500 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[207] [1]),
+	.A2_N(n_3914),
+	.B1(n_3521),
+	.B2(n_2958),
+	.Y(n_5363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000501 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[253] [6]),
+	.A2_N(n_4153),
+	.B1(n_3481),
+	.B2(n_2957),
+	.Y(n_5362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000502 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[207] [2]),
+	.A2_N(n_3914),
+	.B1(n_3527),
+	.B2(n_2958),
+	.Y(n_5361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000503 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[207] [3]),
+	.A2_N(n_3914),
+	.B1(n_3522),
+	.B2(n_2958),
+	.Y(n_5360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000504 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[207] [4]),
+	.A2_N(n_3914),
+	.B1(n_3526),
+	.B2(n_2958),
+	.Y(n_5359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000505 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[207] [5]),
+	.A2_N(n_3914),
+	.B1(n_3524),
+	.B2(n_2958),
+	.Y(n_5358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000506 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[234] [1]),
+	.A2_N(n_3902),
+	.B1(n_2959),
+	.B2(n_3514),
+	.Y(n_5357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000507 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[207] [6]),
+	.A2_N(n_3914),
+	.B1(n_3528),
+	.B2(n_2958),
+	.Y(n_5356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000508 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[207] [8]),
+	.A2_N(n_3914),
+	.B1(n_3530),
+	.B2(n_2958),
+	.Y(n_5355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000509 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[208] [2]),
+	.A2_N(n_4190),
+	.B1(n_3463),
+	.B2(n_2985),
+	.Y(n_5354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000510 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[208] [4]),
+	.A2_N(n_4190),
+	.B1(n_3500),
+	.B2(n_2985),
+	.Y(n_5353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000511 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[208] [6]),
+	.A2_N(n_4190),
+	.B1(n_3458),
+	.B2(n_2985),
+	.Y(n_5352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000512 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[208] [8]),
+	.A2_N(n_4190),
+	.B1(n_3472),
+	.B2(n_2985),
+	.Y(n_5351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000513 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[209] [1]),
+	.A2_N(n_3875),
+	.B1(n_3462),
+	.B2(n_2975),
+	.Y(n_5350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000514 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[209] [2]),
+	.A2_N(n_3875),
+	.B1(n_3463),
+	.B2(n_2975),
+	.Y(n_5349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000515 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[209] [3]),
+	.A2_N(n_3875),
+	.B1(n_3464),
+	.B2(n_2975),
+	.Y(n_5348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000516 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[209] [4]),
+	.A2_N(n_3875),
+	.B1(n_3500),
+	.B2(n_2975),
+	.Y(n_5347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000517 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[209] [5]),
+	.A2_N(n_3875),
+	.B1(n_3484),
+	.B2(n_2975),
+	.Y(n_5346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000518 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[209] [6]),
+	.A2_N(n_3875),
+	.B1(n_3458),
+	.B2(n_2975),
+	.Y(n_5345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000519 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[209] [7]),
+	.A2_N(n_3875),
+	.B1(n_3493),
+	.B2(n_2975),
+	.Y(n_5344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000520 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[209] [8]),
+	.A2_N(n_3875),
+	.B1(n_3472),
+	.B2(n_2975),
+	.Y(n_5343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000521 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[210] [1]),
+	.A2_N(n_3944),
+	.B1(n_2984),
+	.B2(n_3462),
+	.Y(n_5342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000522 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[250] [4]),
+	.A2_N(n_3913),
+	.B1(n_2959),
+	.B2(n_3500),
+	.Y(n_5341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000523 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[210] [4]),
+	.A2_N(n_3944),
+	.B1(n_2984),
+	.B2(n_3500),
+	.Y(n_5340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000524 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[210] [5]),
+	.A2_N(n_3944),
+	.B1(n_2984),
+	.B2(n_3484),
+	.Y(n_5339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000525 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[210] [6]),
+	.A2_N(n_3944),
+	.B1(n_2984),
+	.B2(n_3458),
+	.Y(n_5338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000526 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[210] [7]),
+	.A2_N(n_3944),
+	.B1(n_2984),
+	.B2(n_3493),
+	.Y(n_5337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000527 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[211] [1]),
+	.A2_N(n_3878),
+	.B1(n_2962),
+	.B2(n_3462),
+	.Y(n_5336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000528 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[211] [2]),
+	.A2_N(n_3878),
+	.B1(n_2962),
+	.B2(n_3463),
+	.Y(n_5335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000529 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[211] [3]),
+	.A2_N(n_3878),
+	.B1(n_2962),
+	.B2(n_3464),
+	.Y(n_5334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000530 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[211] [4]),
+	.A2_N(n_3878),
+	.B1(n_2962),
+	.B2(n_3500),
+	.Y(n_5333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000531 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[211] [5]),
+	.A2_N(n_3878),
+	.B1(n_2962),
+	.B2(n_3484),
+	.Y(n_5332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000532 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[211] [6]),
+	.A2_N(n_3878),
+	.B1(n_2962),
+	.B2(n_3458),
+	.Y(n_5331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000533 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[211] [7]),
+	.A2_N(n_3878),
+	.B1(n_2962),
+	.B2(n_3493),
+	.Y(n_5330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000534 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[212] [1]),
+	.A2_N(n_4265),
+	.B1(n_3532),
+	.B2(n_2985),
+	.Y(n_5329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000535 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[212] [2]),
+	.A2_N(n_4265),
+	.B1(n_3486),
+	.B2(n_2985),
+	.Y(n_5328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000536 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[212] [3]),
+	.A2_N(n_4265),
+	.B1(n_3496),
+	.B2(n_2985),
+	.Y(n_5327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000537 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[212] [4]),
+	.A2_N(n_4265),
+	.B1(n_3477),
+	.B2(n_2985),
+	.Y(n_5326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000538 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[212] [5]),
+	.A2_N(n_4265),
+	.B1(n_3482),
+	.B2(n_2985),
+	.Y(n_5325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000539 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[212] [6]),
+	.A2_N(n_4265),
+	.B1(n_3481),
+	.B2(n_2985),
+	.Y(n_5324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000540 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[212] [7]),
+	.A2_N(n_4265),
+	.B1(n_3480),
+	.B2(n_2985),
+	.Y(n_5323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000541 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[212] [8]),
+	.A2_N(n_4265),
+	.B1(n_3479),
+	.B2(n_2985),
+	.Y(n_5322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000542 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[213] [1]),
+	.A2_N(n_4157),
+	.B1(n_3532),
+	.B2(n_2975),
+	.Y(n_5321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000543 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[213] [3]),
+	.A2_N(n_4157),
+	.B1(n_3496),
+	.B2(n_2975),
+	.Y(n_5320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000544 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[213] [4]),
+	.A2_N(n_4157),
+	.B1(n_3477),
+	.B2(n_2975),
+	.Y(n_5319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000545 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[213] [8]),
+	.A2_N(n_4157),
+	.B1(n_3479),
+	.B2(n_2975),
+	.Y(n_5318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000546 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[214] [2]),
+	.A2_N(n_4217),
+	.B1(n_3486),
+	.B2(n_2984),
+	.Y(n_5317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000547 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[214] [4]),
+	.A2_N(n_4217),
+	.B1(n_3477),
+	.B2(n_2984),
+	.Y(n_5316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000548 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[233] [8]),
+	.A2_N(n_3910),
+	.B1(n_3512),
+	.B2(n_2957),
+	.Y(n_5315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000549 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[214] [6]),
+	.A2_N(n_4217),
+	.B1(n_3481),
+	.B2(n_2984),
+	.Y(n_5314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000550 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[214] [8]),
+	.A2_N(n_4217),
+	.B1(n_3479),
+	.B2(n_2984),
+	.Y(n_5313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000551 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[215] [4]),
+	.A2_N(n_4156),
+	.B1(n_3477),
+	.B2(n_2962),
+	.Y(n_5312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000552 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[215] [8]),
+	.A2_N(n_4156),
+	.B1(n_3479),
+	.B2(n_2962),
+	.Y(n_5311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000553 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[216] [2]),
+	.A2_N(n_4191),
+	.B1(n_2981),
+	.B2(n_3463),
+	.Y(n_5310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000554 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[216] [3]),
+	.A2_N(n_4191),
+	.B1(n_2981),
+	.B2(n_3464),
+	.Y(n_5309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000555 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[216] [4]),
+	.A2_N(n_4191),
+	.B1(n_2981),
+	.B2(n_3500),
+	.Y(n_5308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000556 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[216] [5]),
+	.A2_N(n_4191),
+	.B1(n_2981),
+	.B2(n_3484),
+	.Y(n_5307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000557 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[216] [6]),
+	.A2_N(n_4191),
+	.B1(n_2981),
+	.B2(n_3458),
+	.Y(n_5306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000558 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[246] [1]),
+	.A2_N(n_4232),
+	.B1(n_3532),
+	.B2(n_2968),
+	.Y(n_5305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000559 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[216] [7]),
+	.A2_N(n_4191),
+	.B1(n_2981),
+	.B2(n_3493),
+	.Y(n_5304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000560 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[216] [8]),
+	.A2_N(n_4191),
+	.B1(n_2981),
+	.B2(n_3472),
+	.Y(n_5303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000561 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[217] [1]),
+	.A2_N(n_3881),
+	.B1(n_3462),
+	.B2(n_2969),
+	.Y(n_5302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000562 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[217] [2]),
+	.A2_N(n_3881),
+	.B1(n_3463),
+	.B2(n_2969),
+	.Y(n_5301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000563 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[217] [3]),
+	.A2_N(n_3881),
+	.B1(n_3464),
+	.B2(n_2969),
+	.Y(n_5300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000564 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[217] [4]),
+	.A2_N(n_3881),
+	.B1(n_3500),
+	.B2(n_2969),
+	.Y(n_5299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000565 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[217] [5]),
+	.A2_N(n_3881),
+	.B1(n_3484),
+	.B2(n_2969),
+	.Y(n_5298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000566 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[217] [6]),
+	.A2_N(n_3881),
+	.B1(n_3458),
+	.B2(n_2969),
+	.Y(n_5297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000567 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[217] [7]),
+	.A2_N(n_3881),
+	.B1(n_3493),
+	.B2(n_2969),
+	.Y(n_5296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000568 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[217] [8]),
+	.A2_N(n_3881),
+	.B1(n_3472),
+	.B2(n_2969),
+	.Y(n_5295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000569 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[218] [3]),
+	.A2_N(n_3912),
+	.B1(n_2956),
+	.B2(n_3464),
+	.Y(n_5294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000570 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[218] [4]),
+	.A2_N(n_3912),
+	.B1(n_2956),
+	.B2(n_3500),
+	.Y(n_5293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000571 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[218] [5]),
+	.A2_N(n_3912),
+	.B1(n_2956),
+	.B2(n_3484),
+	.Y(n_5292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000572 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[218] [7]),
+	.A2_N(n_3912),
+	.B1(n_2956),
+	.B2(n_3493),
+	.Y(n_5291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000573 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[219] [1]),
+	.A2_N(n_3896),
+	.B1(n_2958),
+	.B2(n_3462),
+	.Y(n_5290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000574 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[219] [3]),
+	.A2_N(n_3896),
+	.B1(n_2958),
+	.B2(n_3464),
+	.Y(n_5289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000575 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[219] [5]),
+	.A2_N(n_3896),
+	.B1(n_2958),
+	.B2(n_3484),
+	.Y(n_5288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000576 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[219] [7]),
+	.A2_N(n_3896),
+	.B1(n_2958),
+	.B2(n_3493),
+	.Y(n_5287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000577 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[219] [8]),
+	.A2_N(n_3896),
+	.B1(n_2958),
+	.B2(n_3472),
+	.Y(n_5286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000578 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[220] [1]),
+	.A2_N(n_4264),
+	.B1(n_3532),
+	.B2(n_2981),
+	.Y(n_5285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000579 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[220] [2]),
+	.A2_N(n_4264),
+	.B1(n_3486),
+	.B2(n_2981),
+	.Y(n_5284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000580 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[220] [3]),
+	.A2_N(n_4264),
+	.B1(n_3496),
+	.B2(n_2981),
+	.Y(n_5283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000581 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[220] [4]),
+	.A2_N(n_4264),
+	.B1(n_3477),
+	.B2(n_2981),
+	.Y(n_5282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000582 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[220] [5]),
+	.A2_N(n_4264),
+	.B1(n_3482),
+	.B2(n_2981),
+	.Y(n_5281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000583 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[220] [6]),
+	.A2_N(n_4264),
+	.B1(n_3481),
+	.B2(n_2981),
+	.Y(n_5280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000584 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[80] [1]),
+	.A2_N(n_4134),
+	.B1(n_3462),
+	.B2(n_2971),
+	.Y(n_5279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000585 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[220] [7]),
+	.A2_N(n_4264),
+	.B1(n_3480),
+	.B2(n_2981),
+	.Y(n_5278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000586 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[220] [8]),
+	.A2_N(n_4264),
+	.B1(n_3479),
+	.B2(n_2981),
+	.Y(n_5277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000587 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[221] [1]),
+	.A2_N(n_3951),
+	.B1(n_3532),
+	.B2(n_2969),
+	.Y(n_5276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000588 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[221] [2]),
+	.A2_N(n_3951),
+	.B1(n_3486),
+	.B2(n_2969),
+	.Y(n_5275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000589 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[221] [4]),
+	.A2_N(n_3951),
+	.B1(n_3477),
+	.B2(n_2969),
+	.Y(n_5274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000590 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[221] [6]),
+	.A2_N(n_3951),
+	.B1(n_3481),
+	.B2(n_2969),
+	.Y(n_5273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000591 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[221] [7]),
+	.A2_N(n_3951),
+	.B1(n_3480),
+	.B2(n_2969),
+	.Y(n_5272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000592 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[221] [8]),
+	.A2_N(n_3951),
+	.B1(n_3479),
+	.B2(n_2969),
+	.Y(n_5271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000593 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[222] [1]),
+	.A2_N(n_4201),
+	.B1(n_3532),
+	.B2(n_2956),
+	.Y(n_5270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000594 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[222] [2]),
+	.A2_N(n_4201),
+	.B1(n_3486),
+	.B2(n_2956),
+	.Y(n_5269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000595 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[222] [3]),
+	.A2_N(n_4201),
+	.B1(n_3496),
+	.B2(n_2956),
+	.Y(n_5268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000596 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[253] [4]),
+	.A2_N(n_4153),
+	.B1(n_3477),
+	.B2(n_2957),
+	.Y(n_5267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000597 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[222] [4]),
+	.A2_N(n_4201),
+	.B1(n_3477),
+	.B2(n_2956),
+	.Y(n_5266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000598 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[222] [5]),
+	.A2_N(n_4201),
+	.B1(n_3482),
+	.B2(n_2956),
+	.Y(n_5265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000599 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[222] [6]),
+	.A2_N(n_4201),
+	.B1(n_3481),
+	.B2(n_2956),
+	.Y(n_5264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000600 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[222] [7]),
+	.A2_N(n_4201),
+	.B1(n_3480),
+	.B2(n_2956),
+	.Y(n_5263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000601 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[222] [8]),
+	.A2_N(n_4201),
+	.B1(n_3479),
+	.B2(n_2956),
+	.Y(n_5262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000602 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[223] [1]),
+	.A2_N(n_4180),
+	.B1(n_3532),
+	.B2(n_2958),
+	.Y(n_5261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000603 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[223] [2]),
+	.A2_N(n_4180),
+	.B1(n_3486),
+	.B2(n_2958),
+	.Y(n_5260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000604 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[223] [3]),
+	.A2_N(n_4180),
+	.B1(n_3496),
+	.B2(n_2958),
+	.Y(n_5259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000605 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[223] [4]),
+	.A2_N(n_4180),
+	.B1(n_3477),
+	.B2(n_2958),
+	.Y(n_5258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000606 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[223] [5]),
+	.A2_N(n_4180),
+	.B1(n_3482),
+	.B2(n_2958),
+	.Y(n_5257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000607 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[223] [6]),
+	.A2_N(n_4180),
+	.B1(n_3481),
+	.B2(n_2958),
+	.Y(n_5256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000608 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[223] [7]),
+	.A2_N(n_4180),
+	.B1(n_3480),
+	.B2(n_2958),
+	.Y(n_5255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000609 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[223] [8]),
+	.A2_N(n_4180),
+	.B1(n_3479),
+	.B2(n_2958),
+	.Y(n_5254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000610 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[224] [1]),
+	.A2_N(n_4154),
+	.B1(n_2955),
+	.B2(n_3514),
+	.Y(n_5253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000611 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[224] [2]),
+	.A2_N(n_4154),
+	.B1(n_2955),
+	.B2(n_3515),
+	.Y(n_5252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000612 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[224] [3]),
+	.A2_N(n_4154),
+	.B1(n_2955),
+	.B2(n_3518),
+	.Y(n_5251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000613 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[224] [4]),
+	.A2_N(n_4154),
+	.B1(n_2955),
+	.B2(n_3517),
+	.Y(n_5250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000614 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[224] [5]),
+	.A2_N(n_4154),
+	.B1(n_2955),
+	.B2(n_3469),
+	.Y(n_5249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000615 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[224] [6]),
+	.A2_N(n_4154),
+	.B1(n_2955),
+	.B2(n_3476),
+	.Y(n_5248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000616 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[224] [7]),
+	.A2_N(n_4154),
+	.B1(n_2955),
+	.B2(n_3513),
+	.Y(n_5247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000617 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[224] [8]),
+	.A2_N(n_4154),
+	.B1(n_2955),
+	.B2(n_3512),
+	.Y(n_5246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000618 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[225] [1]),
+	.A2_N(n_4166),
+	.B1(n_3514),
+	.B2(n_2967),
+	.Y(n_5245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000619 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[225] [3]),
+	.A2_N(n_4166),
+	.B1(n_3518),
+	.B2(n_2967),
+	.Y(n_5244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000620 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[225] [4]),
+	.A2_N(n_4166),
+	.B1(n_3517),
+	.B2(n_2967),
+	.Y(n_5243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000621 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[225] [5]),
+	.A2_N(n_4166),
+	.B1(n_3469),
+	.B2(n_2967),
+	.Y(n_5242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000622 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[225] [7]),
+	.A2_N(n_4166),
+	.B1(n_3513),
+	.B2(n_2967),
+	.Y(n_5241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000623 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[225] [8]),
+	.A2_N(n_4166),
+	.B1(n_3512),
+	.B2(n_2967),
+	.Y(n_5240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000624 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[226] [1]),
+	.A2_N(n_3940),
+	.B1(n_2968),
+	.B2(n_3514),
+	.Y(n_5239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000625 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[226] [2]),
+	.A2_N(n_3940),
+	.B1(n_2968),
+	.B2(n_3515),
+	.Y(n_5238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000626 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[226] [3]),
+	.A2_N(n_3940),
+	.B1(n_2968),
+	.B2(n_3518),
+	.Y(n_5237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000627 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[226] [4]),
+	.A2_N(n_3940),
+	.B1(n_2968),
+	.B2(n_3517),
+	.Y(n_5236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000628 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[226] [5]),
+	.A2_N(n_3940),
+	.B1(n_2968),
+	.B2(n_3469),
+	.Y(n_5235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000629 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[226] [6]),
+	.A2_N(n_3940),
+	.B1(n_2968),
+	.B2(n_3476),
+	.Y(n_5234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000630 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[226] [7]),
+	.A2_N(n_3940),
+	.B1(n_2968),
+	.B2(n_3513),
+	.Y(n_5233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000631 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[227] [1]),
+	.A2_N(n_3936),
+	.B1(n_2963),
+	.B2(n_3514),
+	.Y(n_5232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000632 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[227] [2]),
+	.A2_N(n_3936),
+	.B1(n_2963),
+	.B2(n_3515),
+	.Y(n_5231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000633 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[227] [3]),
+	.A2_N(n_3936),
+	.B1(n_2963),
+	.B2(n_3518),
+	.Y(n_5230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000634 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[227] [5]),
+	.A2_N(n_3936),
+	.B1(n_2963),
+	.B2(n_3469),
+	.Y(n_5229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000635 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[228] [1]),
+	.A2_N(n_4211),
+	.B1(n_3521),
+	.B2(n_2955),
+	.Y(n_5228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000636 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[228] [2]),
+	.A2_N(n_4211),
+	.B1(n_3527),
+	.B2(n_2955),
+	.Y(n_5227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000637 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[228] [3]),
+	.A2_N(n_4211),
+	.B1(n_3522),
+	.B2(n_2955),
+	.Y(n_5226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000638 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[228] [4]),
+	.A2_N(n_4211),
+	.B1(n_3526),
+	.B2(n_2955),
+	.Y(n_5225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000639 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[228] [5]),
+	.A2_N(n_4211),
+	.B1(n_3524),
+	.B2(n_2955),
+	.Y(n_5224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000640 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[228] [6]),
+	.A2_N(n_4211),
+	.B1(n_3528),
+	.B2(n_2955),
+	.Y(n_5223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000641 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[228] [7]),
+	.A2_N(n_4211),
+	.B1(n_3531),
+	.B2(n_2955),
+	.Y(n_5222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000642 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[228] [8]),
+	.A2_N(n_4211),
+	.B1(n_3530),
+	.B2(n_2955),
+	.Y(n_5221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000643 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[229] [1]),
+	.A2_N(n_3900),
+	.B1(n_3521),
+	.B2(n_2967),
+	.Y(n_5220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000644 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[229] [4]),
+	.A2_N(n_3900),
+	.B1(n_3526),
+	.B2(n_2967),
+	.Y(n_5219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000645 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[229] [6]),
+	.A2_N(n_3900),
+	.B1(n_3528),
+	.B2(n_2967),
+	.Y(n_5218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000646 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[229] [7]),
+	.A2_N(n_3900),
+	.B1(n_3531),
+	.B2(n_2967),
+	.Y(n_5217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000647 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[229] [8]),
+	.A2_N(n_3900),
+	.B1(n_3530),
+	.B2(n_2967),
+	.Y(n_5216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000648 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[230] [1]),
+	.A2_N(n_4188),
+	.B1(n_3521),
+	.B2(n_2968),
+	.Y(n_5215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000649 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[230] [2]),
+	.A2_N(n_4188),
+	.B1(n_3527),
+	.B2(n_2968),
+	.Y(n_5214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000650 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[230] [3]),
+	.A2_N(n_4188),
+	.B1(n_3522),
+	.B2(n_2968),
+	.Y(n_5213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000651 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[230] [4]),
+	.A2_N(n_4188),
+	.B1(n_3526),
+	.B2(n_2968),
+	.Y(n_5212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000652 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[230] [5]),
+	.A2_N(n_4188),
+	.B1(n_3524),
+	.B2(n_2968),
+	.Y(n_5211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000653 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[230] [6]),
+	.A2_N(n_4188),
+	.B1(n_3528),
+	.B2(n_2968),
+	.Y(n_5210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000654 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[189] [6]),
+	.A2_N(n_4151),
+	.B1(n_3461),
+	.B2(n_2957),
+	.Y(n_5209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000655 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[230] [8]),
+	.A2_N(n_4188),
+	.B1(n_3530),
+	.B2(n_2968),
+	.Y(n_5208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000656 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[6] [1]),
+	.A2_N(n_3906),
+	.B1(n_3457),
+	.B2(n_2972),
+	.Y(n_5207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000657 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[231] [4]),
+	.A2_N(n_3891),
+	.B1(n_3526),
+	.B2(n_2963),
+	.Y(n_5206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000658 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[231] [6]),
+	.A2_N(n_3891),
+	.B1(n_3528),
+	.B2(n_2963),
+	.Y(n_5205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000659 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[231] [7]),
+	.A2_N(n_3891),
+	.B1(n_3531),
+	.B2(n_2963),
+	.Y(n_5204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000660 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[231] [8]),
+	.A2_N(n_3891),
+	.B1(n_3530),
+	.B2(n_2963),
+	.Y(n_5203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000661 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[73] [2]),
+	.A2_N(n_4161),
+	.B1(n_3515),
+	.B2(n_2964),
+	.Y(n_5202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000662 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[232] [2]),
+	.A2_N(n_4169),
+	.B1(n_2982),
+	.B2(n_3515),
+	.Y(n_5201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000663 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[232] [3]),
+	.A2_N(n_4169),
+	.B1(n_2982),
+	.B2(n_3518),
+	.Y(n_5200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000664 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[232] [4]),
+	.A2_N(n_4169),
+	.B1(n_2982),
+	.B2(n_3517),
+	.Y(n_5199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000665 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[232] [5]),
+	.A2_N(n_4169),
+	.B1(n_2982),
+	.B2(n_3469),
+	.Y(n_5198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000666 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[232] [6]),
+	.A2_N(n_4169),
+	.B1(n_2982),
+	.B2(n_3476),
+	.Y(n_5197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000667 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[232] [7]),
+	.A2_N(n_4169),
+	.B1(n_2982),
+	.B2(n_3513),
+	.Y(n_5196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000668 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[232] [8]),
+	.A2_N(n_4169),
+	.B1(n_2982),
+	.B2(n_3512),
+	.Y(n_5195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000669 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[233] [1]),
+	.A2_N(n_3910),
+	.B1(n_3514),
+	.B2(n_2957),
+	.Y(n_5194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000670 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[233] [3]),
+	.A2_N(n_3910),
+	.B1(n_3518),
+	.B2(n_2957),
+	.Y(n_5193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000671 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[233] [7]),
+	.A2_N(n_3910),
+	.B1(n_3513),
+	.B2(n_2957),
+	.Y(n_5192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000672 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[5] [8]),
+	.A2_N(n_3877),
+	.B1(n_3509),
+	.B2(n_2973),
+	.Y(n_5191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000673 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[234] [3]),
+	.A2_N(n_3902),
+	.B1(n_2959),
+	.B2(n_3518),
+	.Y(n_5190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000674 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[234] [5]),
+	.A2_N(n_3902),
+	.B1(n_2959),
+	.B2(n_3469),
+	.Y(n_5189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000675 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[214] [7]),
+	.A2_N(n_4217),
+	.B1(n_3480),
+	.B2(n_2984),
+	.Y(n_5188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000676 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[234] [7]),
+	.A2_N(n_3902),
+	.B1(n_2959),
+	.B2(n_3513),
+	.Y(n_5187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000677 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[235] [1]),
+	.A2_N(n_3901),
+	.B1(n_2954),
+	.B2(n_3514),
+	.Y(n_5186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000678 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[190] [2]),
+	.A2_N(n_4200),
+	.B1(n_3468),
+	.B2(n_2959),
+	.Y(n_5185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000679 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[215] [1]),
+	.A2_N(n_4156),
+	.B1(n_3532),
+	.B2(n_2962),
+	.Y(n_5184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000680 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[235] [7]),
+	.A2_N(n_3901),
+	.B1(n_2954),
+	.B2(n_3513),
+	.Y(n_5183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000681 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[235] [8]),
+	.A2_N(n_3901),
+	.B1(n_2954),
+	.B2(n_3512),
+	.Y(n_5182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000682 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[236] [2]),
+	.A2_N(n_4209),
+	.B1(n_3527),
+	.B2(n_2982),
+	.Y(n_5181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000683 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[236] [3]),
+	.A2_N(n_4209),
+	.B1(n_3522),
+	.B2(n_2982),
+	.Y(n_5180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000684 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[236] [4]),
+	.A2_N(n_4209),
+	.B1(n_3526),
+	.B2(n_2982),
+	.Y(n_5179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000685 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[236] [5]),
+	.A2_N(n_4209),
+	.B1(n_3524),
+	.B2(n_2982),
+	.Y(n_5178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000686 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[236] [6]),
+	.A2_N(n_4209),
+	.B1(n_3528),
+	.B2(n_2982),
+	.Y(n_5177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000687 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[236] [7]),
+	.A2_N(n_4209),
+	.B1(n_3531),
+	.B2(n_2982),
+	.Y(n_5176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000688 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[236] [8]),
+	.A2_N(n_4209),
+	.B1(n_3530),
+	.B2(n_2982),
+	.Y(n_5175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000689 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[237] [1]),
+	.A2_N(n_3890),
+	.B1(n_3521),
+	.B2(n_2957),
+	.Y(n_5174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000690 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[237] [2]),
+	.A2_N(n_3890),
+	.B1(n_3527),
+	.B2(n_2957),
+	.Y(n_5173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000691 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[5] [5]),
+	.A2_N(n_3877),
+	.B1(n_3503),
+	.B2(n_2973),
+	.Y(n_5172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000692 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[237] [6]),
+	.A2_N(n_3890),
+	.B1(n_3528),
+	.B2(n_2957),
+	.Y(n_5171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000693 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[237] [8]),
+	.A2_N(n_3890),
+	.B1(n_3530),
+	.B2(n_2957),
+	.Y(n_5170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000694 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[238] [2]),
+	.A2_N(n_3926),
+	.B1(n_2959),
+	.B2(n_3527),
+	.Y(n_5169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000695 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[238] [4]),
+	.A2_N(n_3926),
+	.B1(n_2959),
+	.B2(n_3526),
+	.Y(n_5168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000696 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[238] [5]),
+	.A2_N(n_3926),
+	.B1(n_2959),
+	.B2(n_3524),
+	.Y(n_5167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000697 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[238] [6]),
+	.A2_N(n_3926),
+	.B1(n_2959),
+	.B2(n_3528),
+	.Y(n_5166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000698 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[238] [7]),
+	.A2_N(n_3926),
+	.B1(n_2959),
+	.B2(n_3531),
+	.Y(n_5165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000699 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[238] [8]),
+	.A2_N(n_3926),
+	.B1(n_2959),
+	.B2(n_3530),
+	.Y(n_5164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000700 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[239] [6]),
+	.A2_N(n_3915),
+	.B1(n_2954),
+	.B2(n_3528),
+	.Y(n_5163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000701 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[240] [2]),
+	.A2_N(n_4192),
+	.B1(n_2955),
+	.B2(n_3463),
+	.Y(n_5162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000702 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[240] [3]),
+	.A2_N(n_4192),
+	.B1(n_2955),
+	.B2(n_3464),
+	.Y(n_5161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000703 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[240] [4]),
+	.A2_N(n_4192),
+	.B1(n_2955),
+	.B2(n_3500),
+	.Y(n_5160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000704 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[240] [5]),
+	.A2_N(n_4192),
+	.B1(n_2955),
+	.B2(n_3484),
+	.Y(n_5159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000705 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[240] [6]),
+	.A2_N(n_4192),
+	.B1(n_2955),
+	.B2(n_3458),
+	.Y(n_5158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000706 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[240] [7]),
+	.A2_N(n_4192),
+	.B1(n_2955),
+	.B2(n_3493),
+	.Y(n_5157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000707 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[240] [8]),
+	.A2_N(n_4192),
+	.B1(n_2955),
+	.B2(n_3472),
+	.Y(n_5156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000708 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[241] [1]),
+	.A2_N(n_3899),
+	.B1(n_3462),
+	.B2(n_2967),
+	.Y(n_5155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000709 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[241] [5]),
+	.A2_N(n_3899),
+	.B1(n_3484),
+	.B2(n_2967),
+	.Y(n_5154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000710 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[242] [1]),
+	.A2_N(n_4167),
+	.B1(n_2968),
+	.B2(n_3462),
+	.Y(n_5153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000711 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[242] [3]),
+	.A2_N(n_4167),
+	.B1(n_2968),
+	.B2(n_3464),
+	.Y(n_5152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000712 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[15] [2]),
+	.A2_N(n_3868),
+	.B1(n_3495),
+	.B2(n_2979),
+	.Y(n_5151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000713 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[242] [5]),
+	.A2_N(n_4167),
+	.B1(n_2968),
+	.B2(n_3484),
+	.Y(n_5150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000714 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[242] [7]),
+	.A2_N(n_4167),
+	.B1(n_2968),
+	.B2(n_3493),
+	.Y(n_5149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000715 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[243] [1]),
+	.A2_N(n_3876),
+	.B1(n_2963),
+	.B2(n_3462),
+	.Y(n_5148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000716 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[243] [5]),
+	.A2_N(n_3876),
+	.B1(n_2963),
+	.B2(n_3484),
+	.Y(n_5147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000717 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[244] [1]),
+	.A2_N(n_4256),
+	.B1(n_3532),
+	.B2(n_2955),
+	.Y(n_5146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000718 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[244] [2]),
+	.A2_N(n_4256),
+	.B1(n_3486),
+	.B2(n_2955),
+	.Y(n_5145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000719 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[13] [4]),
+	.A2_N(n_3862),
+	.B1(n_3498),
+	.B2(n_2964),
+	.Y(n_5144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000720 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[244] [3]),
+	.A2_N(n_4256),
+	.B1(n_3496),
+	.B2(n_2955),
+	.Y(n_5143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000721 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[244] [4]),
+	.A2_N(n_4256),
+	.B1(n_3477),
+	.B2(n_2955),
+	.Y(n_5142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000722 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[72] [1]),
+	.A2_N(n_4135),
+	.B1(n_2978),
+	.B2(n_3514),
+	.Y(n_5141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000723 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[244] [5]),
+	.A2_N(n_4256),
+	.B1(n_3482),
+	.B2(n_2955),
+	.Y(n_5140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000724 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[244] [6]),
+	.A2_N(n_4256),
+	.B1(n_3481),
+	.B2(n_2955),
+	.Y(n_5139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000725 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[5] [1]),
+	.A2_N(n_3877),
+	.B1(n_3457),
+	.B2(n_2973),
+	.Y(n_5138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000726 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[244] [7]),
+	.A2_N(n_4256),
+	.B1(n_3480),
+	.B2(n_2955),
+	.Y(n_5137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000727 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[244] [8]),
+	.A2_N(n_4256),
+	.B1(n_3479),
+	.B2(n_2955),
+	.Y(n_5136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000728 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[245] [1]),
+	.A2_N(n_3863),
+	.B1(n_3532),
+	.B2(n_2967),
+	.Y(n_5135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000729 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[214] [1]),
+	.A2_N(n_4217),
+	.B1(n_3532),
+	.B2(n_2984),
+	.Y(n_5134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000730 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[245] [4]),
+	.A2_N(n_3863),
+	.B1(n_3477),
+	.B2(n_2967),
+	.Y(n_5133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000731 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[245] [8]),
+	.A2_N(n_3863),
+	.B1(n_3479),
+	.B2(n_2967),
+	.Y(n_5132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000732 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[246] [2]),
+	.A2_N(n_4232),
+	.B1(n_3486),
+	.B2(n_2968),
+	.Y(n_5131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000733 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[246] [4]),
+	.A2_N(n_4232),
+	.B1(n_3477),
+	.B2(n_2968),
+	.Y(n_5130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000734 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[71] [8]),
+	.A2_N(n_3923),
+	.B1(n_3530),
+	.B2(n_2980),
+	.Y(n_5129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000735 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[246] [6]),
+	.A2_N(n_4232),
+	.B1(n_3481),
+	.B2(n_2968),
+	.Y(n_5128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000736 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[246] [8]),
+	.A2_N(n_4232),
+	.B1(n_3479),
+	.B2(n_2968),
+	.Y(n_5127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000737 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[4] [8]),
+	.A2_N(n_4119),
+	.B1(n_3509),
+	.B2(n_2971),
+	.Y(n_5126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000738 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[247] [4]),
+	.A2_N(n_4131),
+	.B1(n_3477),
+	.B2(n_2963),
+	.Y(n_5125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000739 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[71] [7]),
+	.A2_N(n_3923),
+	.B1(n_3531),
+	.B2(n_2980),
+	.Y(n_5124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000740 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[248] [2]),
+	.A2_N(n_4193),
+	.B1(n_2982),
+	.B2(n_3463),
+	.Y(n_5123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000741 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[248] [3]),
+	.A2_N(n_4193),
+	.B1(n_2982),
+	.B2(n_3464),
+	.Y(n_5122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000742 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[248] [4]),
+	.A2_N(n_4193),
+	.B1(n_2982),
+	.B2(n_3500),
+	.Y(n_5121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000743 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[4] [6]),
+	.A2_N(n_4119),
+	.B1(n_3487),
+	.B2(n_2971),
+	.Y(n_5120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000744 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[248] [5]),
+	.A2_N(n_4193),
+	.B1(n_2982),
+	.B2(n_3484),
+	.Y(n_5119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000745 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[248] [6]),
+	.A2_N(n_4193),
+	.B1(n_2982),
+	.B2(n_3458),
+	.Y(n_5118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000746 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[248] [7]),
+	.A2_N(n_4193),
+	.B1(n_2982),
+	.B2(n_3493),
+	.Y(n_5117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000747 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[71] [6]),
+	.A2_N(n_3923),
+	.B1(n_3528),
+	.B2(n_2980),
+	.Y(n_5116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000748 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[248] [8]),
+	.A2_N(n_4193),
+	.B1(n_2982),
+	.B2(n_3472),
+	.Y(n_5115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000749 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[249] [1]),
+	.A2_N(n_3880),
+	.B1(n_3462),
+	.B2(n_2957),
+	.Y(n_5114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000750 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[249] [3]),
+	.A2_N(n_3880),
+	.B1(n_3464),
+	.B2(n_2957),
+	.Y(n_5113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000751 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[71] [4]),
+	.A2_N(n_3923),
+	.B1(n_3526),
+	.B2(n_2980),
+	.Y(n_5112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000752 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[22] [2]),
+	.A2_N(n_4222),
+	.B1(n_3468),
+	.B2(n_2972),
+	.Y(n_5111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000753 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[250] [3]),
+	.A2_N(n_3913),
+	.B1(n_2959),
+	.B2(n_3464),
+	.Y(n_5110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000754 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[191] [4]),
+	.A2_N(n_4185),
+	.B1(n_2954),
+	.B2(n_3466),
+	.Y(n_5109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000755 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[251] [1]),
+	.A2_N(n_3895),
+	.B1(n_2954),
+	.B2(n_3462),
+	.Y(n_5108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000756 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[252] [1]),
+	.A2_N(n_4242),
+	.B1(n_3532),
+	.B2(n_2982),
+	.Y(n_5107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000757 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[252] [2]),
+	.A2_N(n_4242),
+	.B1(n_3486),
+	.B2(n_2982),
+	.Y(n_5106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000758 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[252] [3]),
+	.A2_N(n_4242),
+	.B1(n_3496),
+	.B2(n_2982),
+	.Y(n_5105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000759 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[252] [4]),
+	.A2_N(n_4242),
+	.B1(n_3477),
+	.B2(n_2982),
+	.Y(n_5104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000760 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[252] [6]),
+	.A2_N(n_4242),
+	.B1(n_3481),
+	.B2(n_2982),
+	.Y(n_5103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000761 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[252] [7]),
+	.A2_N(n_4242),
+	.B1(n_3480),
+	.B2(n_2982),
+	.Y(n_5102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000762 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[252] [8]),
+	.A2_N(n_4242),
+	.B1(n_3479),
+	.B2(n_2982),
+	.Y(n_5101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000763 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[79] [8]),
+	.A2_N(n_3917),
+	.B1(n_3530),
+	.B2(n_2979),
+	.Y(n_5100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000764 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[254] [2]),
+	.A2_N(n_4195),
+	.B1(n_3486),
+	.B2(n_2959),
+	.Y(n_5099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000765 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[143] [1]),
+	.A2_N(n_4181),
+	.B1(n_3457),
+	.B2(n_2958),
+	.Y(n_5098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000766 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[78] [8]),
+	.A2_N(n_3916),
+	.B1(n_3530),
+	.B2(n_2974),
+	.Y(n_5097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000767 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[16] [5]),
+	.A2_N(n_4260),
+	.B1(n_3494),
+	.B2(n_2971),
+	.Y(n_5096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000768 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[243] [8]),
+	.A2_N(n_3876),
+	.B1(n_2963),
+	.B2(n_3472),
+	.Y(n_5095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000769 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[83] [2]),
+	.A2_N(n_3864),
+	.B1(n_2980),
+	.B2(n_3463),
+	.Y(n_5094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000770 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[198] [1]),
+	.A2_N(n_4159),
+	.B1(n_3521),
+	.B2(n_2984),
+	.Y(n_5093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000771 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[226] [8]),
+	.A2_N(n_3940),
+	.B1(n_2968),
+	.B2(n_3512),
+	.Y(n_5092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000772 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[161] [6]),
+	.A2_N(n_4272),
+	.B1(n_3489),
+	.B2(n_2967),
+	.Y(n_5091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000773 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[71] [3]),
+	.A2_N(n_3923),
+	.B1(n_3522),
+	.B2(n_2980),
+	.Y(n_5090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000774 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[197] [6]),
+	.A2_N(n_3888),
+	.B1(n_3528),
+	.B2(n_2975),
+	.Y(n_5089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000775 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[76] [1]),
+	.A2_N(n_4121),
+	.B1(n_3521),
+	.B2(n_2978),
+	.Y(n_5088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000776 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[22] [8]),
+	.A2_N(n_4222),
+	.B1(n_3474),
+	.B2(n_2972),
+	.Y(n_5087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000777 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[22] [4]),
+	.A2_N(n_4222),
+	.B1(n_3466),
+	.B2(n_2972),
+	.Y(n_5086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000778 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[24] [7]),
+	.A2_N(n_4240),
+	.B1(n_2978),
+	.B2(n_3507),
+	.Y(n_5085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000779 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[39] [5]),
+	.A2_N(n_3874),
+	.B1(n_3503),
+	.B2(n_2983),
+	.Y(n_5084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000780 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[39] [4]),
+	.A2_N(n_3874),
+	.B1(n_3498),
+	.B2(n_2983),
+	.Y(n_5083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000781 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[39] [2]),
+	.A2_N(n_3874),
+	.B1(n_3495),
+	.B2(n_2983),
+	.Y(n_5082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000782 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[51] [6]),
+	.A2_N(n_4117),
+	.B1(n_2983),
+	.B2(n_3483),
+	.Y(n_5081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000783 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[51] [5]),
+	.A2_N(n_4117),
+	.B1(n_2983),
+	.B2(n_3494),
+	.Y(n_5080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000784 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[38] [5]),
+	.A2_N(n_3939),
+	.B1(n_3503),
+	.B2(n_2960),
+	.Y(n_5079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000785 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[51] [3]),
+	.A2_N(n_4117),
+	.B1(n_2983),
+	.B2(n_3502),
+	.Y(n_5078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000786 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[37] [7]),
+	.A2_N(n_3897),
+	.B1(n_3508),
+	.B2(n_2961),
+	.Y(n_5077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000787 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[37] [8]),
+	.A2_N(n_3897),
+	.B1(n_3509),
+	.B2(n_2961),
+	.Y(n_5076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000788 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[37] [5]),
+	.A2_N(n_3897),
+	.B1(n_3503),
+	.B2(n_2961),
+	.Y(n_5075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000789 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[22] [3]),
+	.A2_N(n_4222),
+	.B1(n_3465),
+	.B2(n_2972),
+	.Y(n_5074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000790 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[37] [3]),
+	.A2_N(n_3897),
+	.B1(n_3497),
+	.B2(n_2961),
+	.Y(n_5073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000791 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[37] [4]),
+	.A2_N(n_3897),
+	.B1(n_3498),
+	.B2(n_2961),
+	.Y(n_5072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000792 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[50] [7]),
+	.A2_N(n_4235),
+	.B1(n_2960),
+	.B2(n_3507),
+	.Y(n_5071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000793 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[175] [8]),
+	.A2_N(n_4179),
+	.B1(n_2954),
+	.B2(n_3509),
+	.Y(n_5070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000794 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[37] [2]),
+	.A2_N(n_3897),
+	.B1(n_3495),
+	.B2(n_2961),
+	.Y(n_5069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000795 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[15] [7]),
+	.A2_N(n_3868),
+	.B1(n_3508),
+	.B2(n_2979),
+	.Y(n_5068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000796 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[35] [6]),
+	.A2_N(n_3873),
+	.B1(n_2983),
+	.B2(n_3489),
+	.Y(n_5067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000797 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[15] [6]),
+	.A2_N(n_3868),
+	.B1(n_3487),
+	.B2(n_2979),
+	.Y(n_5066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000798 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[36] [1]),
+	.A2_N(n_4139),
+	.B1(n_3457),
+	.B2(n_2970),
+	.Y(n_5065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000799 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[50] [1]),
+	.A2_N(n_4235),
+	.B1(n_2960),
+	.B2(n_3533),
+	.Y(n_5064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000800 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[35] [8]),
+	.A2_N(n_3873),
+	.B1(n_2983),
+	.B2(n_3520),
+	.Y(n_5063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000801 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[175] [2]),
+	.A2_N(n_4179),
+	.B1(n_2954),
+	.B2(n_3495),
+	.Y(n_5062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000802 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[35] [7]),
+	.A2_N(n_3873),
+	.B1(n_2983),
+	.B2(n_3490),
+	.Y(n_5061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000803 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[35] [5]),
+	.A2_N(n_3873),
+	.B1(n_2983),
+	.B2(n_3488),
+	.Y(n_5060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000804 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[35] [3]),
+	.A2_N(n_3873),
+	.B1(n_2983),
+	.B2(n_3511),
+	.Y(n_5059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000805 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[49] [7]),
+	.A2_N(n_4116),
+	.B1(n_3507),
+	.B2(n_2961),
+	.Y(n_5058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000806 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[35] [4]),
+	.A2_N(n_3873),
+	.B1(n_2983),
+	.B2(n_3519),
+	.Y(n_5057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000807 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[15] [4]),
+	.A2_N(n_3868),
+	.B1(n_3498),
+	.B2(n_2979),
+	.Y(n_5056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000808 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[49] [4]),
+	.A2_N(n_4116),
+	.B1(n_3505),
+	.B2(n_2961),
+	.Y(n_5055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000809 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[2] [6]),
+	.A2_N(n_3908),
+	.B1(n_2972),
+	.B2(n_3489),
+	.Y(n_5054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000810 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[49] [5]),
+	.A2_N(n_4116),
+	.B1(n_3494),
+	.B2(n_2961),
+	.Y(n_5053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000811 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[35] [1]),
+	.A2_N(n_3873),
+	.B1(n_2983),
+	.B2(n_3504),
+	.Y(n_5052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000812 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[174] [6]),
+	.A2_N(n_4199),
+	.B1(n_2959),
+	.B2(n_3487),
+	.Y(n_5051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000813 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[34] [6]),
+	.A2_N(n_3941),
+	.B1(n_2960),
+	.B2(n_3489),
+	.Y(n_5050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000814 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[49] [2]),
+	.A2_N(n_4116),
+	.B1(n_3470),
+	.B2(n_2961),
+	.Y(n_5049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000815 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[49] [3]),
+	.A2_N(n_4116),
+	.B1(n_3502),
+	.B2(n_2961),
+	.Y(n_5048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000816 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[34] [5]),
+	.A2_N(n_3941),
+	.B1(n_2960),
+	.B2(n_3488),
+	.Y(n_5047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000817 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[34] [4]),
+	.A2_N(n_3941),
+	.B1(n_2960),
+	.B2(n_3519),
+	.Y(n_5046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000818 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[34] [3]),
+	.A2_N(n_3941),
+	.B1(n_2960),
+	.B2(n_3511),
+	.Y(n_5045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000819 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[174] [1]),
+	.A2_N(n_4199),
+	.B1(n_2959),
+	.B2(n_3457),
+	.Y(n_5044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000820 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[173] [8]),
+	.A2_N(n_4168),
+	.B1(n_3509),
+	.B2(n_2957),
+	.Y(n_5043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000821 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[48] [7]),
+	.A2_N(n_4247),
+	.B1(n_2970),
+	.B2(n_3507),
+	.Y(n_5042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000822 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[32] [8]),
+	.A2_N(n_4140),
+	.B1(n_2970),
+	.B2(n_3520),
+	.Y(n_5041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000823 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[31] [8]),
+	.A2_N(n_4178),
+	.B1(n_3474),
+	.B2(n_2979),
+	.Y(n_5040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000824 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[120] [1]),
+	.A2_N(n_4129),
+	.B1(n_2977),
+	.B2(n_3462),
+	.Y(n_5039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000825 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[30] [8]),
+	.A2_N(n_4198),
+	.B1(n_3474),
+	.B2(n_2974),
+	.Y(n_5038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000826 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[31] [1]),
+	.A2_N(n_4178),
+	.B1(n_3475),
+	.B2(n_2979),
+	.Y(n_5037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000827 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[30] [6]),
+	.A2_N(n_4198),
+	.B1(n_3461),
+	.B2(n_2974),
+	.Y(n_5036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000828 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[119] [7]),
+	.A2_N(n_3931),
+	.B1(n_3480),
+	.B2(n_2983),
+	.Y(n_5035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000829 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[30] [2]),
+	.A2_N(n_4198),
+	.B1(n_3468),
+	.B2(n_2974),
+	.Y(n_5034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000830 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[30] [3]),
+	.A2_N(n_4198),
+	.B1(n_3465),
+	.B2(n_2974),
+	.Y(n_5033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000831 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[119] [5]),
+	.A2_N(n_3931),
+	.B1(n_3482),
+	.B2(n_2983),
+	.Y(n_5032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000832 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[119] [3]),
+	.A2_N(n_3931),
+	.B1(n_3496),
+	.B2(n_2983),
+	.Y(n_5031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000833 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[28] [7]),
+	.A2_N(n_4248),
+	.B1(n_3459),
+	.B2(n_2978),
+	.Y(n_5030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000834 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[29] [2]),
+	.A2_N(n_4115),
+	.B1(n_3468),
+	.B2(n_2964),
+	.Y(n_5029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000835 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[118] [7]),
+	.A2_N(n_4225),
+	.B1(n_3480),
+	.B2(n_2960),
+	.Y(n_5028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000836 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[28] [4]),
+	.A2_N(n_4248),
+	.B1(n_3466),
+	.B2(n_2978),
+	.Y(n_5027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000837 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[28] [3]),
+	.A2_N(n_4248),
+	.B1(n_3465),
+	.B2(n_2978),
+	.Y(n_5026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000838 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[27] [7]),
+	.A2_N(n_4177),
+	.B1(n_2979),
+	.B2(n_3507),
+	.Y(n_5025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000839 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[27] [3]),
+	.A2_N(n_4177),
+	.B1(n_2979),
+	.B2(n_3502),
+	.Y(n_5024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000840 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[117] [8]),
+	.A2_N(n_3930),
+	.B1(n_3479),
+	.B2(n_2961),
+	.Y(n_5023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000841 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[27] [1]),
+	.A2_N(n_4177),
+	.B1(n_2979),
+	.B2(n_3533),
+	.Y(n_5022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000842 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[26] [8]),
+	.A2_N(n_4197),
+	.B1(n_2974),
+	.B2(n_3510),
+	.Y(n_5021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000843 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[26] [7]),
+	.A2_N(n_4197),
+	.B1(n_2974),
+	.B2(n_3507),
+	.Y(n_5020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000844 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[117] [6]),
+	.A2_N(n_3930),
+	.B1(n_3481),
+	.B2(n_2961),
+	.Y(n_5019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000845 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[26] [4]),
+	.A2_N(n_4197),
+	.B1(n_2974),
+	.B2(n_3505),
+	.Y(n_5018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000846 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[117] [3]),
+	.A2_N(n_3930),
+	.B1(n_3496),
+	.B2(n_2961),
+	.Y(n_5017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000847 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[26] [3]),
+	.A2_N(n_4197),
+	.B1(n_2974),
+	.B2(n_3502),
+	.Y(n_5016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000848 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[26] [2]),
+	.A2_N(n_4197),
+	.B1(n_2974),
+	.B2(n_3470),
+	.Y(n_5015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000849 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[24] [8]),
+	.A2_N(n_4240),
+	.B1(n_2978),
+	.B2(n_3510),
+	.Y(n_5014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000850 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[48] [1]),
+	.A2_N(n_4247),
+	.B1(n_2970),
+	.B2(n_3533),
+	.Y(n_5013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000851 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[1] [3]),
+	.A2_N(n_3879),
+	.B1(n_3511),
+	.B2(n_2973),
+	.Y(n_5012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000852 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[1] [1]),
+	.A2_N(n_3879),
+	.B1(n_3504),
+	.B2(n_2973),
+	.Y(n_5011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000853 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[255] [5]),
+	.A2_N(n_4175),
+	.B1(n_2954),
+	.B2(n_3482),
+	.Y(n_5010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000854 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[47] [7]),
+	.A2_N(n_3869),
+	.B1(n_2976),
+	.B2(n_3508),
+	.Y(n_5009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000855 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[47] [6]),
+	.A2_N(n_3869),
+	.B1(n_2976),
+	.B2(n_3487),
+	.Y(n_5008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000856 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[232] [1]),
+	.A2_N(n_4169),
+	.B1(n_2982),
+	.B2(n_3514),
+	.Y(n_5007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000857 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[0] [8]),
+	.A2_N(n_4147),
+	.B1(n_3520),
+	.B2(n_2971),
+	.Y(n_5006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000858 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[7] [8]),
+	.A2_N(n_3954),
+	.B1(n_3509),
+	.B2(n_2980),
+	.Y(n_5005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000859 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[47] [2]),
+	.A2_N(n_3869),
+	.B1(n_2976),
+	.B2(n_3495),
+	.Y(n_5004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000860 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[171] [7]),
+	.A2_N(n_4176),
+	.B1(n_2954),
+	.B2(n_3490),
+	.Y(n_5003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000861 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[46] [7]),
+	.A2_N(n_3884),
+	.B1(n_2965),
+	.B2(n_3508),
+	.Y(n_5002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000862 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[46] [4]),
+	.A2_N(n_3884),
+	.B1(n_2965),
+	.B2(n_3498),
+	.Y(n_5001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000863 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[171] [6]),
+	.A2_N(n_4176),
+	.B1(n_2954),
+	.B2(n_3489),
+	.Y(n_5000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000864 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[171] [5]),
+	.A2_N(n_4176),
+	.B1(n_2954),
+	.B2(n_3488),
+	.Y(n_4999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000865 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[45] [6]),
+	.A2_N(n_3859),
+	.B1(n_3487),
+	.B2(n_2966),
+	.Y(n_4998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000866 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[45] [8]),
+	.A2_N(n_3859),
+	.B1(n_3509),
+	.B2(n_2966),
+	.Y(n_4997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000867 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[171] [1]),
+	.A2_N(n_4176),
+	.B1(n_2954),
+	.B2(n_3504),
+	.Y(n_4996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000868 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[45] [7]),
+	.A2_N(n_3859),
+	.B1(n_3508),
+	.B2(n_2966),
+	.Y(n_4995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000869 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[45] [2]),
+	.A2_N(n_3859),
+	.B1(n_3495),
+	.B2(n_2966),
+	.Y(n_4994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000870 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[45] [5]),
+	.A2_N(n_3859),
+	.B1(n_3503),
+	.B2(n_2966),
+	.Y(n_4993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000871 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[45] [4]),
+	.A2_N(n_3859),
+	.B1(n_3498),
+	.B2(n_2966),
+	.Y(n_4992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000872 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[45] [3]),
+	.A2_N(n_3859),
+	.B1(n_3497),
+	.B2(n_2966),
+	.Y(n_4991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000873 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[115] [8]),
+	.A2_N(n_3893),
+	.B1(n_2983),
+	.B2(n_3472),
+	.Y(n_4990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000874 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[116] [3]),
+	.A2_N(n_4229),
+	.B1(n_3496),
+	.B2(n_2970),
+	.Y(n_4989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000875 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[116] [1]),
+	.A2_N(n_4229),
+	.B1(n_3532),
+	.B2(n_2970),
+	.Y(n_4988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000876 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[115] [4]),
+	.A2_N(n_3893),
+	.B1(n_2983),
+	.B2(n_3500),
+	.Y(n_4987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000877 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[115] [6]),
+	.A2_N(n_3893),
+	.B1(n_2983),
+	.B2(n_3458),
+	.Y(n_4986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000878 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[114] [4]),
+	.A2_N(n_3938),
+	.B1(n_2960),
+	.B2(n_3500),
+	.Y(n_4985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000879 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[113] [4]),
+	.A2_N(n_3928),
+	.B1(n_3500),
+	.B2(n_2961),
+	.Y(n_4984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000880 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[115] [2]),
+	.A2_N(n_3893),
+	.B1(n_2983),
+	.B2(n_3463),
+	.Y(n_4983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000881 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[14] [8]),
+	.A2_N(n_3883),
+	.B1(n_3509),
+	.B2(n_2974),
+	.Y(n_4982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000882 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[114] [8]),
+	.A2_N(n_3938),
+	.B1(n_2960),
+	.B2(n_3472),
+	.Y(n_4981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000883 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[114] [2]),
+	.A2_N(n_3938),
+	.B1(n_2960),
+	.B2(n_3463),
+	.Y(n_4980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000884 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[75] [7]),
+	.A2_N(n_4141),
+	.B1(n_2979),
+	.B2(n_3513),
+	.Y(n_4979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000885 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[113] [7]),
+	.A2_N(n_3928),
+	.B1(n_3493),
+	.B2(n_2961),
+	.Y(n_4978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1000886 (
+	.A1(n_4102),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[9]),
+	.B1(n_4110),
+	.B2(soc_top_u_pwm_pwm_core_DC_2[9]),
+	.Y(n_4977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000887 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[113] [6]),
+	.A2_N(n_3928),
+	.B1(n_3458),
+	.B2(n_2961),
+	.Y(n_4976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000888 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[113] [3]),
+	.A2_N(n_3928),
+	.B1(n_3464),
+	.B2(n_2961),
+	.Y(n_4975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000889 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[169] [3]),
+	.A2_N(n_4171),
+	.B1(n_3511),
+	.B2(n_2957),
+	.Y(n_4974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000890 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[169] [2]),
+	.A2_N(n_4171),
+	.B1(n_3491),
+	.B2(n_2957),
+	.Y(n_4973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000891 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[55] [4]),
+	.A2_N(n_4274),
+	.B1(n_3466),
+	.B2(n_2983),
+	.Y(n_4972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000892 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[60] [1]),
+	.A2_N(n_4257),
+	.B1(n_3475),
+	.B2(n_2977),
+	.Y(n_4971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000893 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[168] [6]),
+	.A2_N(n_4246),
+	.B1(n_2982),
+	.B2(n_3489),
+	.Y(n_4970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000894 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[55] [8]),
+	.A2_N(n_4274),
+	.B1(n_3474),
+	.B2(n_2983),
+	.Y(n_4969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000895 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[205] [6]),
+	.A2_N(n_3889),
+	.B1(n_3528),
+	.B2(n_2969),
+	.Y(n_4968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000896 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[55] [7]),
+	.A2_N(n_4274),
+	.B1(n_3459),
+	.B2(n_2983),
+	.Y(n_4967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000897 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[55] [6]),
+	.A2_N(n_4274),
+	.B1(n_3461),
+	.B2(n_2983),
+	.Y(n_4966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000898 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[205] [8]),
+	.A2_N(n_3889),
+	.B1(n_3530),
+	.B2(n_2969),
+	.Y(n_4965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000899 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[168] [1]),
+	.A2_N(n_4246),
+	.B1(n_2982),
+	.B2(n_3504),
+	.Y(n_4964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000900 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[58] [7]),
+	.A2_N(n_4207),
+	.B1(n_2965),
+	.B2(n_3507),
+	.Y(n_4963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000901 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[207] [7]),
+	.A2_N(n_3914),
+	.B1(n_3531),
+	.B2(n_2958),
+	.Y(n_4962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000902 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[167] [5]),
+	.A2_N(n_4127),
+	.B1(n_3503),
+	.B2(n_2963),
+	.Y(n_4961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000903 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[243] [6]),
+	.A2_N(n_3876),
+	.B1(n_2963),
+	.B2(n_3458),
+	.Y(n_4960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000904 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[166] [5]),
+	.A2_N(n_4234),
+	.B1(n_3503),
+	.B2(n_2968),
+	.Y(n_4959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000905 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[166] [1]),
+	.A2_N(n_4234),
+	.B1(n_3457),
+	.B2(n_2968),
+	.Y(n_4958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000906 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[193] [7]),
+	.A2_N(n_3943),
+	.B1(n_3513),
+	.B2(n_2975),
+	.Y(n_4957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000907 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[113] [2]),
+	.A2_N(n_3928),
+	.B1(n_3463),
+	.B2(n_2961),
+	.Y(n_4956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1000908 (
+	.A1(n_4102),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[4]),
+	.B1(n_4110),
+	.B2(soc_top_u_pwm_pwm_core_DC_2[4]),
+	.Y(n_4955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000909 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[243] [3]),
+	.A2_N(n_3876),
+	.B1(n_2963),
+	.B2(n_3464),
+	.Y(n_4954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000910 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[243] [4]),
+	.A2_N(n_3876),
+	.B1(n_2963),
+	.B2(n_3500),
+	.Y(n_4953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000911 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[111] [8]),
+	.A2_N(n_3921),
+	.B1(n_2976),
+	.B2(n_3530),
+	.Y(n_4952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000912 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[127] [7]),
+	.A2_N(n_4163),
+	.B1(n_3480),
+	.B2(n_2976),
+	.Y(n_4951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000913 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[111] [7]),
+	.A2_N(n_3921),
+	.B1(n_2976),
+	.B2(n_3531),
+	.Y(n_4950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000914 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[205] [4]),
+	.A2_N(n_3889),
+	.B1(n_3526),
+	.B2(n_2969),
+	.Y(n_4949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000915 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[111] [5]),
+	.A2_N(n_3921),
+	.B1(n_2976),
+	.B2(n_3524),
+	.Y(n_4948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000916 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[111] [3]),
+	.A2_N(n_3921),
+	.B1(n_2976),
+	.B2(n_3522),
+	.Y(n_4947), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000917 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[243] [2]),
+	.A2_N(n_3876),
+	.B1(n_2963),
+	.B2(n_3463),
+	.Y(n_4946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000918 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[110] [7]),
+	.A2_N(n_3918),
+	.B1(n_2965),
+	.B2(n_3531),
+	.Y(n_4945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000919 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[205] [2]),
+	.A2_N(n_3889),
+	.B1(n_3527),
+	.B2(n_2969),
+	.Y(n_4944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000920 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[242] [8]),
+	.A2_N(n_4167),
+	.B1(n_2968),
+	.B2(n_3472),
+	.Y(n_4943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000921 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[109] [6]),
+	.A2_N(n_3924),
+	.B1(n_3528),
+	.B2(n_2966),
+	.Y(n_4942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000922 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[110] [1]),
+	.A2_N(n_3918),
+	.B1(n_2965),
+	.B2(n_3521),
+	.Y(n_4941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000923 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[165] [7]),
+	.A2_N(n_4214),
+	.B1(n_3508),
+	.B2(n_2967),
+	.Y(n_4940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000924 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[93] [6]),
+	.A2_N(n_3934),
+	.B1(n_3481),
+	.B2(n_2964),
+	.Y(n_4939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000925 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[109] [5]),
+	.A2_N(n_3924),
+	.B1(n_3524),
+	.B2(n_2966),
+	.Y(n_4938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000926 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[58] [1]),
+	.A2_N(n_4207),
+	.B1(n_2965),
+	.B2(n_3533),
+	.Y(n_4937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000927 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[165] [8]),
+	.A2_N(n_4214),
+	.B1(n_3509),
+	.B2(n_2967),
+	.Y(n_4936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000928 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[93] [5]),
+	.A2_N(n_3934),
+	.B1(n_3482),
+	.B2(n_2964),
+	.Y(n_4935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000929 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[57] [8]),
+	.A2_N(n_4149),
+	.B1(n_3510),
+	.B2(n_2966),
+	.Y(n_4934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000930 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[93] [3]),
+	.A2_N(n_3934),
+	.B1(n_3496),
+	.B2(n_2964),
+	.Y(n_4933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000931 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[165] [5]),
+	.A2_N(n_4214),
+	.B1(n_3503),
+	.B2(n_2967),
+	.Y(n_4932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000932 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[57] [5]),
+	.A2_N(n_4149),
+	.B1(n_3494),
+	.B2(n_2966),
+	.Y(n_4931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000933 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[57] [3]),
+	.A2_N(n_4149),
+	.B1(n_3502),
+	.B2(n_2966),
+	.Y(n_4930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000934 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[93] [2]),
+	.A2_N(n_3934),
+	.B1(n_3486),
+	.B2(n_2964),
+	.Y(n_4929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000935 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[61] [8]),
+	.A2_N(n_4268),
+	.B1(n_3474),
+	.B2(n_2966),
+	.Y(n_4928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000936 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[89] [6]),
+	.A2_N(n_3866),
+	.B1(n_3458),
+	.B2(n_2964),
+	.Y(n_4927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000937 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[61] [4]),
+	.A2_N(n_4268),
+	.B1(n_3466),
+	.B2(n_2966),
+	.Y(n_4926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000938 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[89] [4]),
+	.A2_N(n_3866),
+	.B1(n_3500),
+	.B2(n_2964),
+	.Y(n_4925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000939 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[89] [5]),
+	.A2_N(n_3866),
+	.B1(n_3484),
+	.B2(n_2964),
+	.Y(n_4924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000940 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[163] [8]),
+	.A2_N(n_4271),
+	.B1(n_2963),
+	.B2(n_3520),
+	.Y(n_4923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000941 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[53] [2]),
+	.A2_N(n_4267),
+	.B1(n_3468),
+	.B2(n_2961),
+	.Y(n_4922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000942 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[55] [2]),
+	.A2_N(n_4274),
+	.B1(n_3468),
+	.B2(n_2983),
+	.Y(n_4921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000943 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[163] [6]),
+	.A2_N(n_4271),
+	.B1(n_2963),
+	.B2(n_3489),
+	.Y(n_4920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000944 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[52] [6]),
+	.A2_N(n_4258),
+	.B1(n_3461),
+	.B2(n_2970),
+	.Y(n_4919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000945 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[163] [4]),
+	.A2_N(n_4271),
+	.B1(n_2963),
+	.B2(n_3519),
+	.Y(n_4918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000946 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[163] [2]),
+	.A2_N(n_4271),
+	.B1(n_2963),
+	.B2(n_3491),
+	.Y(n_4917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000947 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[162] [6]),
+	.A2_N(n_4237),
+	.B1(n_2968),
+	.B2(n_3489),
+	.Y(n_4916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000948 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[162] [2]),
+	.A2_N(n_4237),
+	.B1(n_2968),
+	.B2(n_3491),
+	.Y(n_4915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000949 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[125] [2]),
+	.A2_N(n_3935),
+	.B1(n_3486),
+	.B2(n_2966),
+	.Y(n_4914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000950 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[125] [5]),
+	.A2_N(n_3935),
+	.B1(n_3482),
+	.B2(n_2966),
+	.Y(n_4913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000951 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[108] [5]),
+	.A2_N(n_4137),
+	.B1(n_3524),
+	.B2(n_2977),
+	.Y(n_4912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000952 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[107] [8]),
+	.A2_N(n_4273),
+	.B1(n_2976),
+	.B2(n_3512),
+	.Y(n_4911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000953 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[107] [6]),
+	.A2_N(n_4273),
+	.B1(n_2976),
+	.B2(n_3476),
+	.Y(n_4910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000954 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[107] [5]),
+	.A2_N(n_4273),
+	.B1(n_2976),
+	.B2(n_3469),
+	.Y(n_4909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000955 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[107] [2]),
+	.A2_N(n_4273),
+	.B1(n_2976),
+	.B2(n_3515),
+	.Y(n_4908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000956 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[106] [6]),
+	.A2_N(n_4238),
+	.B1(n_2965),
+	.B2(n_3476),
+	.Y(n_4907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000957 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[106] [4]),
+	.A2_N(n_4238),
+	.B1(n_2965),
+	.B2(n_3517),
+	.Y(n_4906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000958 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[159] [4]),
+	.A2_N(n_4173),
+	.B1(n_3466),
+	.B2(n_2958),
+	.Y(n_4905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000959 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[105] [6]),
+	.A2_N(n_4160),
+	.B1(n_3476),
+	.B2(n_2966),
+	.Y(n_4904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000960 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[105] [5]),
+	.A2_N(n_4160),
+	.B1(n_3469),
+	.B2(n_2966),
+	.Y(n_4903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000961 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[71] [2]),
+	.A2_N(n_3923),
+	.B1(n_3527),
+	.B2(n_2980),
+	.Y(n_4902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000962 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[63] [6]),
+	.A2_N(n_4174),
+	.B1(n_3461),
+	.B2(n_2976),
+	.Y(n_4901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000963 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[161] [7]),
+	.A2_N(n_4272),
+	.B1(n_3490),
+	.B2(n_2967),
+	.Y(n_4900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000964 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[161] [3]),
+	.A2_N(n_4272),
+	.B1(n_3511),
+	.B2(n_2967),
+	.Y(n_4899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000965 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[63] [4]),
+	.A2_N(n_4174),
+	.B1(n_3466),
+	.B2(n_2976),
+	.Y(n_4898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000966 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[63] [3]),
+	.A2_N(n_4174),
+	.B1(n_3465),
+	.B2(n_2976),
+	.Y(n_4897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000967 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[63] [2]),
+	.A2_N(n_4174),
+	.B1(n_3468),
+	.B2(n_2976),
+	.Y(n_4896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000968 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[44] [1]),
+	.A2_N(n_4125),
+	.B1(n_3457),
+	.B2(n_2977),
+	.Y(n_4895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000969 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[159] [8]),
+	.A2_N(n_4173),
+	.B1(n_3474),
+	.B2(n_2958),
+	.Y(n_4894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000970 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[159] [6]),
+	.A2_N(n_4173),
+	.B1(n_3461),
+	.B2(n_2958),
+	.Y(n_4893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000971 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[54] [3]),
+	.A2_N(n_4231),
+	.B1(n_3465),
+	.B2(n_2960),
+	.Y(n_4892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000972 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[187] [1]),
+	.A2_N(n_4184),
+	.B1(n_2954),
+	.B2(n_3533),
+	.Y(n_4891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000973 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[105] [4]),
+	.A2_N(n_4160),
+	.B1(n_3517),
+	.B2(n_2966),
+	.Y(n_4890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000974 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[105] [2]),
+	.A2_N(n_4160),
+	.B1(n_3515),
+	.B2(n_2966),
+	.Y(n_4889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000975 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[103] [7]),
+	.A2_N(n_3945),
+	.B1(n_3531),
+	.B2(n_2983),
+	.Y(n_4888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000976 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[104] [6]),
+	.A2_N(n_4136),
+	.B1(n_2977),
+	.B2(n_3476),
+	.Y(n_4887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000977 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[201] [2]),
+	.A2_N(n_3911),
+	.B1(n_3515),
+	.B2(n_2969),
+	.Y(n_4886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000978 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[104] [1]),
+	.A2_N(n_4136),
+	.B1(n_2977),
+	.B2(n_3514),
+	.Y(n_4885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000979 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[159] [3]),
+	.A2_N(n_4173),
+	.B1(n_3465),
+	.B2(n_2958),
+	.Y(n_4884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000980 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[102] [7]),
+	.A2_N(n_3937),
+	.B1(n_3531),
+	.B2(n_2960),
+	.Y(n_4883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000981 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[128] [7]),
+	.A2_N(n_4255),
+	.B1(n_3490),
+	.B2(n_2985),
+	.Y(n_4882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000982 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[102] [1]),
+	.A2_N(n_3937),
+	.B1(n_3521),
+	.B2(n_2960),
+	.Y(n_4881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000983 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[43] [4]),
+	.A2_N(n_3867),
+	.B1(n_2976),
+	.B2(n_3519),
+	.Y(n_4880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000984 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[159] [2]),
+	.A2_N(n_4173),
+	.B1(n_3468),
+	.B2(n_2958),
+	.Y(n_4879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000985 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[43] [3]),
+	.A2_N(n_3867),
+	.B1(n_2976),
+	.B2(n_3511),
+	.Y(n_4878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000986 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[158] [8]),
+	.A2_N(n_4194),
+	.B1(n_3474),
+	.B2(n_2956),
+	.Y(n_4877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000987 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[158] [6]),
+	.A2_N(n_4194),
+	.B1(n_3461),
+	.B2(n_2956),
+	.Y(n_4876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000988 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[158] [4]),
+	.A2_N(n_4194),
+	.B1(n_3466),
+	.B2(n_2956),
+	.Y(n_4875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000989 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[53] [5]),
+	.A2_N(n_4267),
+	.B1(n_3529),
+	.B2(n_2961),
+	.Y(n_4874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000990 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[197] [4]),
+	.A2_N(n_3888),
+	.B1(n_3526),
+	.B2(n_2975),
+	.Y(n_4873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000991 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[157] [8]),
+	.A2_N(n_4224),
+	.B1(n_3474),
+	.B2(n_2969),
+	.Y(n_4872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000992 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[53] [8]),
+	.A2_N(n_4267),
+	.B1(n_3474),
+	.B2(n_2961),
+	.Y(n_4871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000993 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[157] [6]),
+	.A2_N(n_4224),
+	.B1(n_3461),
+	.B2(n_2969),
+	.Y(n_4870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000994 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[53] [6]),
+	.A2_N(n_4267),
+	.B1(n_3461),
+	.B2(n_2961),
+	.Y(n_4869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000995 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[53] [4]),
+	.A2_N(n_4267),
+	.B1(n_3466),
+	.B2(n_2961),
+	.Y(n_4868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000996 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[42] [6]),
+	.A2_N(n_3882),
+	.B1(n_2965),
+	.B2(n_3489),
+	.Y(n_4867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000997 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[157] [3]),
+	.A2_N(n_4224),
+	.B1(n_3465),
+	.B2(n_2969),
+	.Y(n_4866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000998 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[42] [5]),
+	.A2_N(n_3882),
+	.B1(n_2965),
+	.B2(n_3488),
+	.Y(n_4865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1000999 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[42] [4]),
+	.A2_N(n_3882),
+	.B1(n_2965),
+	.B2(n_3519),
+	.Y(n_4864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001000 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[42] [2]),
+	.A2_N(n_3882),
+	.B1(n_2965),
+	.B2(n_3491),
+	.Y(n_4863), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001001 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[125] [6]),
+	.A2_N(n_3935),
+	.B1(n_3481),
+	.B2(n_2966),
+	.Y(n_4862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001002 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[156] [1]),
+	.A2_N(n_4241),
+	.B1(n_3475),
+	.B2(n_2981),
+	.Y(n_4861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001003 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[155] [7]),
+	.A2_N(n_4172),
+	.B1(n_2958),
+	.B2(n_3507),
+	.Y(n_4860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001004 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[101] [5]),
+	.A2_N(n_4186),
+	.B1(n_3524),
+	.B2(n_2961),
+	.Y(n_4859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001005 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[101] [3]),
+	.A2_N(n_4186),
+	.B1(n_3522),
+	.B2(n_2961),
+	.Y(n_4858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001006 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[99] [8]),
+	.A2_N(n_4187),
+	.B1(n_2983),
+	.B2(n_3512),
+	.Y(n_4857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001007 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[78] [2]),
+	.A2_N(n_3916),
+	.B1(n_3527),
+	.B2(n_2974),
+	.Y(n_4856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001008 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[155] [4]),
+	.A2_N(n_4172),
+	.B1(n_2958),
+	.B2(n_3505),
+	.Y(n_4855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001009 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[99] [7]),
+	.A2_N(n_4187),
+	.B1(n_2983),
+	.B2(n_3513),
+	.Y(n_4854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001010 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[218] [8]),
+	.A2_N(n_3912),
+	.B1(n_2956),
+	.B2(n_3472),
+	.Y(n_4853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001011 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[99] [4]),
+	.A2_N(n_4187),
+	.B1(n_2983),
+	.B2(n_3517),
+	.Y(n_4852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001012 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[99] [2]),
+	.A2_N(n_4187),
+	.B1(n_2983),
+	.B2(n_3515),
+	.Y(n_4851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001013 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[98] [8]),
+	.A2_N(n_4269),
+	.B1(n_2960),
+	.B2(n_3512),
+	.Y(n_4850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001014 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[98] [6]),
+	.A2_N(n_4269),
+	.B1(n_2960),
+	.B2(n_3476),
+	.Y(n_4849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001015 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[98] [4]),
+	.A2_N(n_4269),
+	.B1(n_2960),
+	.B2(n_3517),
+	.Y(n_4848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001016 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[97] [8]),
+	.A2_N(n_4215),
+	.B1(n_3512),
+	.B2(n_2961),
+	.Y(n_4847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001017 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[192] [3]),
+	.A2_N(n_4123),
+	.B1(n_3518),
+	.B2(n_2985),
+	.Y(n_4846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001018 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[155] [3]),
+	.A2_N(n_4172),
+	.B1(n_2958),
+	.B2(n_3502),
+	.Y(n_4845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001019 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[70] [4]),
+	.A2_N(n_3922),
+	.B1(n_3526),
+	.B2(n_2972),
+	.Y(n_4844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001020 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[155] [2]),
+	.A2_N(n_4172),
+	.B1(n_2958),
+	.B2(n_3470),
+	.Y(n_4843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001021 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[70] [3]),
+	.A2_N(n_3922),
+	.B1(n_3522),
+	.B2(n_2972),
+	.Y(n_4842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001022 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[70] [1]),
+	.A2_N(n_3922),
+	.B1(n_3521),
+	.B2(n_2972),
+	.Y(n_4841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001023 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[70] [2]),
+	.A2_N(n_3922),
+	.B1(n_3527),
+	.B2(n_2972),
+	.Y(n_4840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001024 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[154] [7]),
+	.A2_N(n_4204),
+	.B1(n_2956),
+	.B2(n_3507),
+	.Y(n_4839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001025 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[69] [8]),
+	.A2_N(n_3946),
+	.B1(n_3530),
+	.B2(n_2973),
+	.Y(n_4838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001026 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[154] [5]),
+	.A2_N(n_4204),
+	.B1(n_2956),
+	.B2(n_3494),
+	.Y(n_4837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001027 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[69] [4]),
+	.A2_N(n_3946),
+	.B1(n_3526),
+	.B2(n_2973),
+	.Y(n_4836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001028 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[239] [3]),
+	.A2_N(n_3915),
+	.B1(n_2954),
+	.B2(n_3522),
+	.Y(n_4835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001029 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[255] [6]),
+	.A2_N(n_4175),
+	.B1(n_2954),
+	.B2(n_3481),
+	.Y(n_4834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001030 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[68] [8]),
+	.A2_N(n_3929),
+	.B1(n_3530),
+	.B2(n_2971),
+	.Y(n_4833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001031 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[153] [5]),
+	.A2_N(n_3942),
+	.B1(n_3494),
+	.B2(n_2969),
+	.Y(n_4832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001032 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[153] [4]),
+	.A2_N(n_3942),
+	.B1(n_3505),
+	.B2(n_2969),
+	.Y(n_4831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001033 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[153] [3]),
+	.A2_N(n_3942),
+	.B1(n_3502),
+	.B2(n_2969),
+	.Y(n_4830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001034 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[68] [5]),
+	.A2_N(n_3929),
+	.B1(n_3524),
+	.B2(n_2971),
+	.Y(n_4829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001035 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[68] [4]),
+	.A2_N(n_3929),
+	.B1(n_3526),
+	.B2(n_2971),
+	.Y(n_4828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001036 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[68] [2]),
+	.A2_N(n_3929),
+	.B1(n_3527),
+	.B2(n_2971),
+	.Y(n_4827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001037 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[67] [4]),
+	.A2_N(n_4158),
+	.B1(n_2980),
+	.B2(n_3517),
+	.Y(n_4826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001038 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[67] [8]),
+	.A2_N(n_4158),
+	.B1(n_2980),
+	.B2(n_3512),
+	.Y(n_4825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001039 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[67] [7]),
+	.A2_N(n_4158),
+	.B1(n_2980),
+	.B2(n_3513),
+	.Y(n_4824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001040 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[254] [5]),
+	.A2_N(n_4195),
+	.B1(n_3482),
+	.B2(n_2959),
+	.Y(n_4823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001041 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[13] [8]),
+	.A2_N(n_3862),
+	.B1(n_3509),
+	.B2(n_2964),
+	.Y(n_4822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001042 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[151] [8]),
+	.A2_N(n_3950),
+	.B1(n_3474),
+	.B2(n_2962),
+	.Y(n_4821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001043 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[67] [6]),
+	.A2_N(n_4158),
+	.B1(n_2980),
+	.B2(n_3476),
+	.Y(n_4820), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001044 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[239] [7]),
+	.A2_N(n_3915),
+	.B1(n_2954),
+	.B2(n_3531),
+	.Y(n_4819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001045 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[77] [3]),
+	.A2_N(n_3925),
+	.B1(n_3522),
+	.B2(n_2964),
+	.Y(n_4818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001046 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[151] [6]),
+	.A2_N(n_3950),
+	.B1(n_3461),
+	.B2(n_2962),
+	.Y(n_4817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001047 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[127] [5]),
+	.A2_N(n_4163),
+	.B1(n_3482),
+	.B2(n_2976),
+	.Y(n_4816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001048 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[255] [7]),
+	.A2_N(n_4175),
+	.B1(n_2954),
+	.B2(n_3480),
+	.Y(n_4815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001049 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[252] [5]),
+	.A2_N(n_4242),
+	.B1(n_3482),
+	.B2(n_2982),
+	.Y(n_4814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001050 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[96] [1]),
+	.A2_N(n_3952),
+	.B1(n_2970),
+	.B2(n_3514),
+	.Y(n_4813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001051 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[95] [7]),
+	.A2_N(n_4162),
+	.B1(n_3480),
+	.B2(n_2979),
+	.Y(n_4812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001052 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[239] [1]),
+	.A2_N(n_3915),
+	.B1(n_2954),
+	.B2(n_3521),
+	.Y(n_4811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001053 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[95] [5]),
+	.A2_N(n_4162),
+	.B1(n_3482),
+	.B2(n_2979),
+	.Y(n_4810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001054 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[95] [1]),
+	.A2_N(n_4162),
+	.B1(n_3532),
+	.B2(n_2979),
+	.Y(n_4809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001055 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[94] [7]),
+	.A2_N(n_4165),
+	.B1(n_3480),
+	.B2(n_2974),
+	.Y(n_4808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001056 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[94] [8]),
+	.A2_N(n_4165),
+	.B1(n_3479),
+	.B2(n_2974),
+	.Y(n_4807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001057 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[94] [6]),
+	.A2_N(n_4165),
+	.B1(n_3481),
+	.B2(n_2974),
+	.Y(n_4806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001058 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[94] [3]),
+	.A2_N(n_4165),
+	.B1(n_3496),
+	.B2(n_2974),
+	.Y(n_4805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001059 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[239] [8]),
+	.A2_N(n_3915),
+	.B1(n_2954),
+	.B2(n_3530),
+	.Y(n_4804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001060 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[201] [5]),
+	.A2_N(n_3911),
+	.B1(n_3469),
+	.B2(n_2969),
+	.Y(n_4803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001061 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[63] [8]),
+	.A2_N(n_4174),
+	.B1(n_3474),
+	.B2(n_2976),
+	.Y(n_4802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001062 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[218] [1]),
+	.A2_N(n_3912),
+	.B1(n_2956),
+	.B2(n_3462),
+	.Y(n_4801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001063 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[239] [2]),
+	.A2_N(n_3915),
+	.B1(n_2954),
+	.B2(n_3527),
+	.Y(n_4800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001064 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[151] [2]),
+	.A2_N(n_3950),
+	.B1(n_3468),
+	.B2(n_2962),
+	.Y(n_4799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001065 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[77] [5]),
+	.A2_N(n_3925),
+	.B1(n_3524),
+	.B2(n_2964),
+	.Y(n_4798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001066 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[66] [4]),
+	.A2_N(n_3949),
+	.B1(n_2972),
+	.B2(n_3517),
+	.Y(n_4797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001067 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[150] [6]),
+	.A2_N(n_4218),
+	.B1(n_3461),
+	.B2(n_2984),
+	.Y(n_4796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001068 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[66] [3]),
+	.A2_N(n_3949),
+	.B1(n_2972),
+	.B2(n_3518),
+	.Y(n_4795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001069 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[150] [4]),
+	.A2_N(n_4218),
+	.B1(n_3466),
+	.B2(n_2984),
+	.Y(n_4794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001070 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[66] [1]),
+	.A2_N(n_3949),
+	.B1(n_2972),
+	.B2(n_3514),
+	.Y(n_4793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001071 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[65] [8]),
+	.A2_N(n_4189),
+	.B1(n_3512),
+	.B2(n_2973),
+	.Y(n_4792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001072 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[150] [1]),
+	.A2_N(n_4218),
+	.B1(n_3475),
+	.B2(n_2984),
+	.Y(n_4791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001073 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[150] [2]),
+	.A2_N(n_4218),
+	.B1(n_3468),
+	.B2(n_2984),
+	.Y(n_4790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001074 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[65] [7]),
+	.A2_N(n_4189),
+	.B1(n_3513),
+	.B2(n_2973),
+	.Y(n_4789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001075 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[65] [6]),
+	.A2_N(n_4189),
+	.B1(n_3476),
+	.B2(n_2973),
+	.Y(n_4788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001076 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[149] [5]),
+	.A2_N(n_3948),
+	.B1(n_3529),
+	.B2(n_2975),
+	.Y(n_4787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001077 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[149] [4]),
+	.A2_N(n_3948),
+	.B1(n_3466),
+	.B2(n_2975),
+	.Y(n_4786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001078 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[64] [2]),
+	.A2_N(n_3947),
+	.B1(n_3515),
+	.B2(n_2971),
+	.Y(n_4785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001079 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[64] [1]),
+	.A2_N(n_3947),
+	.B1(n_3514),
+	.B2(n_2971),
+	.Y(n_4784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001080 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[65] [2]),
+	.A2_N(n_4189),
+	.B1(n_3515),
+	.B2(n_2973),
+	.Y(n_4783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001081 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_buffer[64] [8]),
+	.A2_N(n_3947),
+	.B1(n_3512),
+	.B2(n_2971),
+	.Y(n_4782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1001082 (
+	.A0(n_3815),
+	.A1(n_29699),
+	.S(n_1524),
+	.X(n_4781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1001083 (
+	.A(n_70394),
+	.B(n_3017),
+	.X(n_4780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1001084 (
+	.A(soc_top_u_top_u_core_fp_frm_fpnew[0]),
+	.B(n_3957),
+	.Y(n_6019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1001086 (
+	.A(n_3567),
+	.Y(n_4778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1001088 (
+	.A(n_69067),
+	.Y(n_4730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1001089 (
+	.A(n_4727),
+	.Y(n_4726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1001090 (
+	.A(n_69097),
+	.Y(n_4722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1001091 (
+	.A(n_69027),
+	.Y(n_4717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1001092 (
+	.A(n_69118),
+	.Y(n_4714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1001094 (
+	.A(n_69138),
+	.Y(n_4707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1001095 (
+	.A(n_69148),
+	.Y(n_4705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1001096 (
+	.A(n_69158),
+	.Y(n_4702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1001097 (
+	.A(n_68987),
+	.Y(n_4699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1001098 (
+	.A(n_4695),
+	.Y(n_4694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1001099 (
+	.A(n_4693),
+	.Y(n_4692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1001100 (
+	.A(n_4690),
+	.Y(n_4689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1001101 (
+	.A(n_4687),
+	.Y(n_4688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1001102 (
+	.A(n_4686),
+	.Y(n_4685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1001103 (
+	.A(n_4684),
+	.Y(n_4683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1001104 (
+	.A(n_4682),
+	.Y(n_4681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1001105 (
+	.A(n_4678),
+	.Y(n_4677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1001106 (
+	.A(n_4673),
+	.Y(n_4672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1001107 (
+	.A(n_4671),
+	.Y(n_4670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1001108 (
+	.A(n_4668),
+	.Y(n_4667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g1001109 (
+	.A(n_324),
+	.B(n_1328),
+	.COUT(n_4663),
+	.SUM(n_4664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g1001110 (
+	.A(\soc_top_timer0_gen_harts[0].u_core_tick_count [2]),
+	.B(n_2869),
+	.COUT(n_4661),
+	.SUM(n_4662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g1001111 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [3]),
+	.B(n_1393),
+	.COUT(n_4659),
+	.SUM(n_4660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001112 (
+	.A(n_3835),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Div_enable_S ),
+	.Y(n_4658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g1001113 (
+	.A(n_3999),
+	.B(n_3989),
+	.X(n_4657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g1001114 (
+	.A(n_4099),
+	.B(n_3328),
+	.X(n_4656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g1001115 (
+	.A(n_3325),
+	.B(n_4099),
+	.X(n_4655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g1001116 (
+	.A(n_3350),
+	.B(n_4098),
+	.X(n_4654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001117 (
+	.A(n_3817),
+	.B(n_87131),
+	.Y(n_4653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001118 (
+	.A(n_4096),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [30]),
+	.Y(n_4652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001119 (
+	.A(n_4091),
+	.B(\soc_top_intr_controller_reg2hw[ie0][0][q] ),
+	.Y(n_4651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1001120 (
+	.A(soc_top_u_uart_u_uart_core_tx_fifo_reset),
+	.B_N(n_4084),
+	.Y(n_4650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1001122 (
+	.A(n_3988),
+	.B(soc_top_u_spi_host_spi_host_last_bit),
+	.Y(n_4648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1001123 (
+	.A(n_4281),
+	.B_N(n_70352),
+	.Y(n_4647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001124 (
+	.A(n_4105),
+	.B(soc_top_u_pwm_pwm_core_period[1]),
+	.Y(n_4646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001125 (
+	.A(n_4105),
+	.B(soc_top_u_pwm_pwm_core_period[0]),
+	.Y(n_4645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1001126 (
+	.A(soc_top_u_uart_u_uart_core_rx_fifo_clr),
+	.B_N(n_4086),
+	.Y(n_4644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1001127 (
+	.A(soc_top_u_uart_u_uart_core_rx_fifo_clr),
+	.B(n_3707),
+	.Y(n_4643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001138 (
+	.A(n_3851),
+	.B(n_1248),
+	.Y(n_4777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001139 (
+	.A(n_3851),
+	.B(n_2156),
+	.Y(n_4776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001142 (
+	.A(n_3851),
+	.B(n_1505),
+	.Y(n_4775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001143 (
+	.A(n_3852),
+	.B(n_1511),
+	.Y(n_4774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001144 (
+	.A(n_4111),
+	.B(n_2150),
+	.Y(n_4773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001146 (
+	.A(n_4111),
+	.B(n_2152),
+	.Y(n_4772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001147 (
+	.A(n_3852),
+	.B(n_2150),
+	.Y(n_4771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001148 (
+	.A(n_3852),
+	.B(n_2152),
+	.Y(n_4770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001149 (
+	.A(n_4111),
+	.B(n_1248),
+	.Y(n_4769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001150 (
+	.A(n_4111),
+	.B(n_2156),
+	.Y(n_4768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001151 (
+	.A(n_4111),
+	.B(n_1505),
+	.Y(n_4767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001152 (
+	.A(n_3852),
+	.B(n_2156),
+	.Y(n_4766), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001154 (
+	.A(n_3851),
+	.B(n_2163),
+	.Y(n_4765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001155 (
+	.A(n_3852),
+	.B(n_2163),
+	.Y(n_4764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001156 (
+	.A(n_3851),
+	.B(n_2168),
+	.Y(n_4763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001157 (
+	.A(n_3851),
+	.B(n_2171),
+	.Y(n_4762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001158 (
+	.A(n_3852),
+	.B(n_2168),
+	.Y(n_4761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001159 (
+	.A(n_3852),
+	.B(n_2171),
+	.Y(n_4760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1001161 (
+	.A(n_2217),
+	.B(n_3858),
+	.Y(n_4759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1001165 (
+	.A(n_2217),
+	.B(n_3857),
+	.Y(n_4758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1001166 (
+	.A(n_597),
+	.B(n_4277),
+	.Y(n_4757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1001168 (
+	.A(n_2222),
+	.B(n_3857),
+	.Y(n_4756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1001169 (
+	.A(n_2226),
+	.B(n_3858),
+	.Y(n_4755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1001170 (
+	.A(n_2225),
+	.B(n_3857),
+	.Y(n_4754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1001171 (
+	.A(n_2224),
+	.B(n_3858),
+	.Y(n_4753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1001174 (
+	.A_N(n_4290),
+	.B(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Y(n_4642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1001176 (
+	.A(n_2219),
+	.B(n_3858),
+	.Y(n_4752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1001177 (
+	.A(n_2224),
+	.B(n_3857),
+	.Y(n_4751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1001180 (
+	.A(n_2219),
+	.B(n_3857),
+	.Y(n_4750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1001182 (
+	.A(n_2226),
+	.B(n_3857),
+	.Y(n_4749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1001233 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [12]),
+	.B(n_4284),
+	.Y(n_4748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1001234 (
+	.A(n_2222),
+	.B(n_3858),
+	.Y(n_4747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1001290 (
+	.A(n_29782),
+	.B(n_3972),
+	.Y(n_4746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1001291 (
+	.A(n_676),
+	.B(n_4286),
+	.Y(n_4745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1001292 (
+	.A(n_2225),
+	.B(n_3858),
+	.Y(n_4744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1001293 (
+	.A(n_29577),
+	.B(n_3920),
+	.Y(n_4743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1001294 (
+	.A_N(n_4283),
+	.B(soc_top_u_pwm_pwm_core_counter_p2[6]),
+	.Y(n_4742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1001296 (
+	.A(n_3854),
+	.B_N(n_1534),
+	.Y(n_4741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001297 (
+	.A(soc_top_u_top_u_core_id_in_ready),
+	.B(n_4277),
+	.Y(n_4740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001298 (
+	.A(n_4293),
+	.B(soc_top_u_top_u_core_multdiv_operator_ex[0]),
+	.Y(n_4739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001299 (
+	.A(n_4280),
+	.B(n_1331),
+	.Y(n_4738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1001300 (
+	.A_N(n_4282),
+	.B(soc_top_u_pwm_pwm_core_counter_p1[6]),
+	.Y(n_4737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1001303 (
+	.A(n_571),
+	.B(n_3963),
+	.Y(n_4735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1001307 (
+	.A(n_3327),
+	.B(n_4099),
+	.Y(n_4734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1001335 (
+	.A(n_36335),
+	.B(n_4099),
+	.Y(n_4733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001351 (
+	.A(n_3793),
+	.B(n_3093),
+	.Y(n_4727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001353 (
+	.A(n_3774),
+	.B(n_3118),
+	.Y(n_4724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001362 (
+	.A(n_3965),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_rdata_outstanding_q[0]),
+	.Y(n_4712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001373 (
+	.A(n_4291),
+	.B(soc_top_u_top_u_core_multdiv_operator_ex[1]),
+	.Y(n_4698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001374 (
+	.A(n_70352),
+	.B(n_4281),
+	.Y(n_4697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1001375 (
+	.A(n_29336),
+	.B(n_3958),
+	.Y(n_4696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1001376 (
+	.A(n_29329),
+	.B(n_3818),
+	.Y(n_4695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001377 (
+	.A(n_35360),
+	.B(n_10),
+	.Y(n_4693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1001378 (
+	.A(n_2293),
+	.B(n_3854),
+	.Y(n_4691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1001379 (
+	.A(soc_top_u_uart_u_uart_core_tx_fifo_clear),
+	.B(n_3858),
+	.Y(n_4690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1001380 (
+	.A(n_1),
+	.B(n_4112),
+	.Y(n_4687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1001381 (
+	.A(FE_DBTN120_n_84419),
+	.B(n_4112),
+	.Y(n_4686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001382 (
+	.A(n_3852),
+	.B(n_84419),
+	.Y(n_4684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001383 (
+	.A(n_3852),
+	.B(n_75549),
+	.Y(n_4682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g1001384 (
+	.A_N(n_29446),
+	.B(n_3919),
+	.X(n_4680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g1001385 (
+	.A(n_1384),
+	.B(n_3920),
+	.X(n_4679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001386 (
+	.A(n_3851),
+	.B(n_75549),
+	.Y(n_4678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g1001387 (
+	.A(n_1340),
+	.B(n_3920),
+	.X(n_4676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g1001388 (
+	.A_N(n_2280),
+	.B(n_3919),
+	.X(n_4675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g1001389 (
+	.A(n_1283),
+	.B(n_3920),
+	.X(n_4674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001390 (
+	.A(n_3851),
+	.B(n_84419),
+	.Y(n_4673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g1001391 (
+	.A_N(soc_top_u_top_u_core_cs_registers_i_n_6282),
+	.B(n_3959),
+	.X(n_4671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g1001392 (
+	.A_N(n_3958),
+	.B(n_3006),
+	.X(n_4669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1001393 (
+	.A(n_3854),
+	.B_N(n_2291),
+	.X(n_4668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g1001394 (
+	.A(n_4293),
+	.B(n_30),
+	.X(n_4666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1001396 (
+	.A(n_571),
+	.B_N(n_4292),
+	.X(n_4665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1001397 (
+	.A(n_84303),
+	.Y(n_4641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1001398 (
+	.A(n_4355),
+	.Y(n_4640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1001399 (
+	.A(n_4354),
+	.Y(n_4639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1001400 (
+	.A(n_4353),
+	.Y(n_4638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1001401 (
+	.A(n_4352),
+	.Y(n_4637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1001402 (
+	.A(n_4351),
+	.Y(n_4636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1001403 (
+	.A(n_4306),
+	.Y(n_4635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1001404 (
+	.A(n_4305),
+	.Y(n_4634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1001405 (
+	.A(n_4304),
+	.Y(n_4633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1001406 (
+	.A(n_4597),
+	.Y(n_4598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1001408 (
+	.A(n_70188),
+	.Y(n_4591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1001409 (
+	.A(n_4587),
+	.Y(n_4588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1001410 (
+	.A(n_4583),
+	.Y(n_4582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1001411 (
+	.A(n_4581),
+	.Y(n_4580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1001412 (
+	.A(n_4579),
+	.Y(n_4578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1001413 (
+	.A(n_4577),
+	.Y(n_4576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1001414 (
+	.A(n_4575),
+	.Y(n_4574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1001415 (
+	.A(n_4572),
+	.Y(n_4573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1001416 (
+	.A(n_4570),
+	.Y(n_4569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1001417 (
+	.A(n_4568),
+	.Y(n_4567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1001418 (
+	.A(n_4564),
+	.Y(n_4563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1001419 (
+	.A(n_4562),
+	.Y(n_4561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1001420 (
+	.A(n_4558),
+	.Y(n_4557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1001421 (
+	.A(n_4555),
+	.Y(n_4554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1001422 (
+	.A(n_4552),
+	.Y(n_4551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1001423 (
+	.A(n_35327),
+	.Y(n_4546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1001424 (
+	.A(n_35328),
+	.Y(n_4544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1001456 (
+	.A(n_4509),
+	.Y(n_4510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001459 (
+	.A1(soc_top_u_top_u_core_pc_id[24]),
+	.A2(n_2994),
+	.B1(soc_top_u_top_u_core_pc_if[24]),
+	.B2(n_2996),
+	.C1(n_2997),
+	.C2(soc_top_u_top_u_core_pc_wb[24]),
+	.Y(n_4508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1001460 (
+	.A(soc_top_u_uart_u_uart_core_rx_buffer_size[7]),
+	.B(n_3585),
+	.X(n_4507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1001461 (
+	.A(soc_top_u_uart_u_uart_core_rx_buffer_size[6]),
+	.B(n_3392),
+	.Y(n_4506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1001462 (
+	.A(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [2]),
+	.B(n_3394),
+	.Y(n_4505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1001463 (
+	.A1(n_3298),
+	.A2(\soc_top_timer0_reg2hw[cfg0][prescale][q] [11]),
+	.B1(n_2129),
+	.B2(\soc_top_timer_to_xbar[d_data] [11]),
+	.C1(n_2554),
+	.X(n_4504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1001464 (
+	.A1(n_3298),
+	.A2(\soc_top_timer0_reg2hw[cfg0][prescale][q] [10]),
+	.B1(n_2129),
+	.B2(\soc_top_timer_to_xbar[d_data] [10]),
+	.C1(n_2554),
+	.X(n_4503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1001465 (
+	.A1(n_3298),
+	.A2(\soc_top_timer0_reg2hw[cfg0][prescale][q] [9]),
+	.B1(n_2129),
+	.B2(\soc_top_timer_to_xbar[d_data] [9]),
+	.C1(n_2554),
+	.X(n_4502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1001466 (
+	.A1(n_3298),
+	.A2(\soc_top_timer0_reg2hw[cfg0][prescale][q] [8]),
+	.B1(n_2129),
+	.B2(\soc_top_timer_to_xbar[d_data] [8]),
+	.C1(n_2554),
+	.X(n_4501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1001467 (
+	.A1(n_3298),
+	.A2(\soc_top_timer0_reg2hw[cfg0][prescale][q] [7]),
+	.B1(n_2129),
+	.B2(\soc_top_timer_to_xbar[d_data] [7]),
+	.C1(n_2554),
+	.X(n_4500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1001468 (
+	.A1(n_3298),
+	.A2(\soc_top_timer0_reg2hw[cfg0][prescale][q] [6]),
+	.B1(n_2129),
+	.B2(\soc_top_timer_to_xbar[d_data] [6]),
+	.C1(n_2554),
+	.X(n_4499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1001469 (
+	.A1(n_3298),
+	.A2(\soc_top_timer0_reg2hw[cfg0][prescale][q] [5]),
+	.B1(n_2129),
+	.B2(\soc_top_timer_to_xbar[d_data] [5]),
+	.C1(n_2554),
+	.X(n_4498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1001470 (
+	.A1(n_3298),
+	.A2(\soc_top_timer0_reg2hw[cfg0][prescale][q] [4]),
+	.B1(n_2129),
+	.B2(\soc_top_timer_to_xbar[d_data] [4]),
+	.C1(n_2554),
+	.X(n_4497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1001471 (
+	.A1(n_3298),
+	.A2(\soc_top_timer0_reg2hw[cfg0][prescale][q] [3]),
+	.B1(n_2129),
+	.B2(\soc_top_timer_to_xbar[d_data] [3]),
+	.C1(n_2554),
+	.X(n_4496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1001472 (
+	.A1(n_3298),
+	.A2(\soc_top_timer0_reg2hw[cfg0][prescale][q] [2]),
+	.B1(n_2129),
+	.B2(\soc_top_timer_to_xbar[d_data] [2]),
+	.C1(n_2554),
+	.X(n_4495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1001473 (
+	.A1(n_35364),
+	.A2(n_3344),
+	.B1(n_3716),
+	.X(n_4494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1001474 (
+	.A1(n_3298),
+	.A2(\soc_top_timer0_reg2hw[cfg0][prescale][q] [1]),
+	.B1(n_2129),
+	.B2(\soc_top_timer_to_xbar[d_data] [1]),
+	.C1(n_2554),
+	.X(n_4493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001475 (
+	.A1(n_2125),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [28]),
+	.B1(n_3292),
+	.B2(\soc_top_GPIO_reg2hw[intr_enable][q] [28]),
+	.C1(n_2987),
+	.Y(n_4492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001476 (
+	.A1(n_2125),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [24]),
+	.B1(n_3292),
+	.B2(\soc_top_GPIO_reg2hw[intr_enable][q] [24]),
+	.C1(n_2987),
+	.Y(n_4491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1001477 (
+	.A1(n_3537),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [48]),
+	.B1(n_1232),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [16]),
+	.Y(n_4490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1001478 (
+	.A1(n_3537),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [37]),
+	.B1(n_3535),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [37]),
+	.Y(n_4489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001479 (
+	.A1(n_2125),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [31]),
+	.B1(n_3292),
+	.B2(\soc_top_GPIO_reg2hw[intr_enable][q] [31]),
+	.C1(n_2987),
+	.Y(n_4488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001480 (
+	.A1(n_2125),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [30]),
+	.B1(n_3292),
+	.B2(\soc_top_GPIO_reg2hw[intr_enable][q] [30]),
+	.C1(n_2987),
+	.Y(n_4487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001481 (
+	.A1(n_2125),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [27]),
+	.B1(n_3292),
+	.B2(\soc_top_GPIO_reg2hw[intr_enable][q] [27]),
+	.C1(n_2987),
+	.Y(n_4486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001482 (
+	.A1(n_2125),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [26]),
+	.B1(n_3292),
+	.B2(\soc_top_GPIO_reg2hw[intr_enable][q] [26]),
+	.C1(n_2987),
+	.Y(n_4485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001483 (
+	.A1(n_2125),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [23]),
+	.B1(n_3292),
+	.B2(\soc_top_GPIO_reg2hw[intr_enable][q] [23]),
+	.C1(n_2987),
+	.Y(n_4484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001484 (
+	.A1(n_2125),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [22]),
+	.B1(n_3292),
+	.B2(\soc_top_GPIO_reg2hw[intr_enable][q] [22]),
+	.C1(n_2987),
+	.Y(n_4483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001485 (
+	.A1(n_2125),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [17]),
+	.B1(n_3292),
+	.B2(\soc_top_GPIO_reg2hw[intr_enable][q] [17]),
+	.C1(n_2987),
+	.Y(n_4482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1001486 (
+	.A1(n_3537),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [51]),
+	.B1(n_3535),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [51]),
+	.Y(n_4481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1001487 (
+	.A1(n_3537),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [45]),
+	.B1(n_3535),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [45]),
+	.Y(n_4480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001488 (
+	.A1(n_2125),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [16]),
+	.B1(n_3292),
+	.B2(\soc_top_GPIO_reg2hw[intr_enable][q] [16]),
+	.C1(n_2987),
+	.Y(n_4479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001489 (
+	.A(n_76236),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.Y(n_4478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1001490 (
+	.A1(n_1348),
+	.A2(n_3181),
+	.B1(soc_top_u_top_u_core_alu_operator_ex[2]),
+	.X(n_4477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001491 (
+	.A1(n_2125),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [19]),
+	.B1(n_3292),
+	.B2(\soc_top_GPIO_reg2hw[intr_enable][q] [19]),
+	.C1(n_2987),
+	.Y(n_4476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001492 (
+	.A1(n_2125),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [20]),
+	.B1(n_3292),
+	.B2(\soc_top_GPIO_reg2hw[intr_enable][q] [20]),
+	.C1(n_2987),
+	.Y(n_4475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001493 (
+	.A1(n_2125),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [21]),
+	.B1(n_3292),
+	.B2(\soc_top_GPIO_reg2hw[intr_enable][q] [21]),
+	.C1(n_2987),
+	.Y(n_4474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001494 (
+	.A(n_3832),
+	.B(n_1557),
+	.Y(n_4473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1001495 (
+	.A1(n_3292),
+	.A2(\soc_top_GPIO_reg2hw[intr_enable][q] [18]),
+	.B1(n_2988),
+	.B2(\soc_top_GPIO_reg2hw[intr_state][q] [18]),
+	.X(n_4472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g1001496 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [5]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [4]),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [2]),
+	.D(n_2472),
+	.X(n_4471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001497 (
+	.A1_N(n_1950),
+	.A2_N(n_3274),
+	.B1(n_1564),
+	.B2(soc_top_u_pwm_pwm_core_DC_1[6]),
+	.Y(n_4470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001498 (
+	.A1(n_2125),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [29]),
+	.B1(n_3292),
+	.B2(\soc_top_GPIO_reg2hw[intr_enable][q] [29]),
+	.C1(n_2987),
+	.Y(n_4469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g1001499 (
+	.A1(soc_top_u_top_u_core_multdiv_operator_ex[0]),
+	.A2(n_2688),
+	.B1(soc_top_u_top_u_core_multdiv_operator_ex[1]),
+	.C1(n_2694),
+	.Y(n_4468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001500 (
+	.A1(n_2125),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [25]),
+	.B1(n_3292),
+	.B2(\soc_top_GPIO_reg2hw[intr_enable][q] [25]),
+	.C1(n_2987),
+	.Y(n_4467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1001501 (
+	.A1(n_3298),
+	.A2(\soc_top_timer0_reg2hw[cfg0][step][q] [7]),
+	.B1(n_2129),
+	.B2(\soc_top_timer_to_xbar[d_data] [23]),
+	.C1(n_2554),
+	.X(n_4466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1001502 (
+	.A1(n_3298),
+	.A2(\soc_top_timer0_reg2hw[cfg0][step][q] [6]),
+	.B1(n_2129),
+	.B2(\soc_top_timer_to_xbar[d_data] [22]),
+	.C1(n_2554),
+	.X(n_4465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1001503 (
+	.A1(n_3298),
+	.A2(\soc_top_timer0_reg2hw[cfg0][step][q] [5]),
+	.B1(n_2129),
+	.B2(\soc_top_timer_to_xbar[d_data] [21]),
+	.C1(n_2554),
+	.X(n_4464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1001504 (
+	.A1(n_3298),
+	.A2(\soc_top_timer0_reg2hw[cfg0][step][q] [4]),
+	.B1(n_2129),
+	.B2(\soc_top_timer_to_xbar[d_data] [20]),
+	.C1(n_2554),
+	.X(n_4463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g1001505 (
+	.A(n_2270),
+	.B(n_2384),
+	.C(n_29300),
+	.D(n_1278),
+	.Y(n_4462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1001506 (
+	.A1(n_3298),
+	.A2(\soc_top_timer0_reg2hw[cfg0][step][q] [3]),
+	.B1(n_2129),
+	.B2(\soc_top_timer_to_xbar[d_data] [19]),
+	.C1(n_2554),
+	.X(n_4461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1001507 (
+	.A1(n_3586),
+	.A2(n_29770),
+	.B1_N(n_2215),
+	.Y(n_4460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1001508 (
+	.A1(n_3306),
+	.A2(soc_top_GPIO_cio_gpio_en_q[30]),
+	.B1(n_2987),
+	.X(n_4459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1001509 (
+	.A1(n_3306),
+	.A2(soc_top_GPIO_cio_gpio_en_q[23]),
+	.B1(n_2987),
+	.X(n_4458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1001510 (
+	.A1(n_3306),
+	.A2(soc_top_GPIO_cio_gpio_en_q[16]),
+	.B1(n_2987),
+	.X(n_4457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1001511 (
+	.A1(n_3306),
+	.A2(soc_top_GPIO_cio_gpio_en_q[17]),
+	.B1(n_2987),
+	.X(n_4456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1001512 (
+	.A1(n_3306),
+	.A2(soc_top_GPIO_cio_gpio_en_q[19]),
+	.B1(n_2987),
+	.X(n_4455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1001513 (
+	.A1(n_3306),
+	.A2(soc_top_GPIO_cio_gpio_en_q[24]),
+	.B1(n_2987),
+	.X(n_4454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1001514 (
+	.A1(n_3306),
+	.A2(soc_top_GPIO_cio_gpio_en_q[28]),
+	.B1(n_2987),
+	.X(n_4453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1001515 (
+	.A1(n_3306),
+	.A2(soc_top_GPIO_cio_gpio_en_q[29]),
+	.B1(n_2987),
+	.X(n_4452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1001516 (
+	.A1(n_3306),
+	.A2(soc_top_GPIO_cio_gpio_en_q[31]),
+	.B1(n_2987),
+	.X(n_4451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1001517 (
+	.A1(n_3306),
+	.A2(soc_top_GPIO_cio_gpio_en_q[27]),
+	.B1(n_2987),
+	.X(n_4450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1001518 (
+	.A1(n_3306),
+	.A2(soc_top_GPIO_cio_gpio_en_q[18]),
+	.B1(n_2987),
+	.X(n_4449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1001519 (
+	.A1(n_3306),
+	.A2(soc_top_GPIO_cio_gpio_en_q[26]),
+	.B1(n_2987),
+	.X(n_4448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1001520 (
+	.A1(n_3306),
+	.A2(soc_top_GPIO_cio_gpio_en_q[25]),
+	.B1(n_2987),
+	.X(n_4447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1001521 (
+	.A1(n_3306),
+	.A2(soc_top_GPIO_cio_gpio_en_q[22]),
+	.B1(n_2987),
+	.X(n_4446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1001522 (
+	.A1(n_3306),
+	.A2(soc_top_GPIO_cio_gpio_en_q[21]),
+	.B1(n_2987),
+	.X(n_4445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1001523 (
+	.A1(n_3306),
+	.A2(soc_top_GPIO_cio_gpio_en_q[20]),
+	.B1(n_2987),
+	.X(n_4444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41o_1 g1001524 (
+	.A1(n_1612),
+	.A2(n_1512),
+	.A3(n_1278),
+	.A4(n_2278),
+	.B1(n_3370),
+	.X(n_4443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001525 (
+	.A1(n_2991),
+	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [28]),
+	.B1(n_2995),
+	.B2(soc_top_GPIO_u_reg_data_in_qs[28]),
+	.C1(n_3688),
+	.Y(n_4442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001526 (
+	.A1(n_2991),
+	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [26]),
+	.B1(n_2995),
+	.B2(soc_top_GPIO_u_reg_data_in_qs[26]),
+	.C1(n_3681),
+	.Y(n_4441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001527 (
+	.A1(n_2989),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [26]),
+	.B1(n_2556),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [26]),
+	.C1(n_3704),
+	.Y(n_4440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1001528 (
+	.A1(n_1565),
+	.A2(n_3320),
+	.B1(soc_top_u_uart_u_uart_core_write_fifo_waddr[4]),
+	.Y(n_4439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001529 (
+	.A1(n_2993),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [25]),
+	.B1(n_2995),
+	.B2(soc_top_GPIO_u_reg_data_in_qs[25]),
+	.C1(n_3701),
+	.Y(n_4438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1001530 (
+	.A1(n_3298),
+	.A2(\soc_top_timer0_reg2hw[cfg0][step][q] [2]),
+	.B1(n_2129),
+	.B2(\soc_top_timer_to_xbar[d_data] [18]),
+	.C1(n_2554),
+	.X(n_4437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1001531 (
+	.A1(n_3298),
+	.A2(\soc_top_timer0_reg2hw[cfg0][step][q] [1]),
+	.B1(n_2129),
+	.B2(\soc_top_timer_to_xbar[d_data] [17]),
+	.C1(n_2554),
+	.X(n_4436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1001532 (
+	.A1(n_3298),
+	.A2(\soc_top_timer0_reg2hw[cfg0][step][q] [0]),
+	.B1(n_2129),
+	.B2(\soc_top_timer_to_xbar[d_data] [16]),
+	.C1(n_2554),
+	.X(n_4435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1001533 (
+	.A1(n_2209),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[1] [23]),
+	.B1(n_2184),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [24]),
+	.C1(n_3827),
+	.X(n_4434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1001534 (
+	.A1(n_2194),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[1] [17]),
+	.B1(n_2199),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [18]),
+	.C1(n_3825),
+	.X(n_4433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001535 (
+	.A1(n_2989),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [22]),
+	.B1(n_2556),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [22]),
+	.C1(n_3602),
+	.Y(n_4432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001536 (
+	.A1(n_2989),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [14]),
+	.B1(n_2991),
+	.B2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [14]),
+	.C1(n_3651),
+	.Y(n_4431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001537 (
+	.A1(n_2991),
+	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [22]),
+	.B1(n_2995),
+	.B2(soc_top_GPIO_u_reg_data_in_qs[22]),
+	.C1(n_3665),
+	.Y(n_4430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001538 (
+	.A1(n_2993),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [31]),
+	.B1(n_2991),
+	.B2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [31]),
+	.C1(n_3677),
+	.Y(n_4429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g1001540 (
+	.A1(n_1540),
+	.A2(n_3150),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Inf_a_S ),
+	.B2(n_2728),
+	.Y(n_4427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001541 (
+	.A1_N(\soc_top_xbar_to_lsu[d_error] ),
+	.A2_N(n_3046),
+	.B1(n_3405),
+	.B2(n_29793),
+	.Y(n_4426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1001543 (
+	.A1(n_3314),
+	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[1]),
+	.B1(n_2594),
+	.B2(n_29918),
+	.C1(n_3282),
+	.X(n_4424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001544 (
+	.A1(n_2989),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [13]),
+	.B1(n_2991),
+	.B2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [13]),
+	.C1(n_3661),
+	.Y(n_4423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001545 (
+	.A1(n_2991),
+	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [29]),
+	.B1(n_2995),
+	.B2(soc_top_GPIO_u_reg_data_in_qs[29]),
+	.C1(n_3628),
+	.Y(n_4422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001546 (
+	.A1(n_2993),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [16]),
+	.B1(n_2995),
+	.B2(soc_top_GPIO_u_reg_data_in_qs[16]),
+	.C1(n_3663),
+	.Y(n_4421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001547 (
+	.A1(n_2989),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [2]),
+	.B1(n_2991),
+	.B2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [2]),
+	.C1(n_3646),
+	.Y(n_4420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001548 (
+	.A1(n_2989),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [17]),
+	.B1(n_2556),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [17]),
+	.C1(n_3683),
+	.Y(n_4419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g1001549 (
+	.A1(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.A2(n_571),
+	.A3(n_3352),
+	.B1(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[1] [11]),
+	.Y(n_4418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001550 (
+	.A1(n_2989),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [20]),
+	.B1(n_2556),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [20]),
+	.C1(n_3599),
+	.Y(n_4417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g1001551 (
+	.A1(n_571),
+	.A2(n_2697),
+	.A3(n_3568),
+	.B1(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[2] [11]),
+	.Y(n_4416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g1001552 (
+	.A(n_1353),
+	.B(n_1291),
+	.C(n_3854),
+	.X(n_4415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001553 (
+	.A1(n_2991),
+	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [19]),
+	.B1(n_2995),
+	.B2(soc_top_GPIO_u_reg_data_in_qs[19]),
+	.C1(n_3686),
+	.Y(n_4414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 g1001554 (
+	.A1(n_1374),
+	.A2(n_3078),
+	.B1(n_3077),
+	.B2(n_1943),
+	.C1(n_3402),
+	.X(n_4413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001555 (
+	.A1(n_2991),
+	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [30]),
+	.B1(n_2995),
+	.B2(soc_top_GPIO_u_reg_data_in_qs[30]),
+	.C1(n_3679),
+	.Y(n_4412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001556 (
+	.A1(n_2989),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [15]),
+	.B1(n_2991),
+	.B2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [15]),
+	.C1(n_3696),
+	.Y(n_4411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001557 (
+	.A1(n_2989),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [19]),
+	.B1(n_2556),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [19]),
+	.C1(n_3670),
+	.Y(n_4410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1001558 (
+	.A1(n_3346),
+	.A2(n_36334),
+	.B1(n_35364),
+	.Y(n_4409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1001559 (
+	.A(n_2423),
+	.B(n_36442),
+	.C(n_39922),
+	.Y(n_4408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001560 (
+	.A1(n_2989),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [29]),
+	.B1(n_2556),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [29]),
+	.C1(n_3703),
+	.Y(n_4407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001561 (
+	.A1(n_2989),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [27]),
+	.B1(n_2556),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [27]),
+	.C1(n_3700),
+	.Y(n_4406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001562 (
+	.A1(n_2989),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [0]),
+	.B1(n_2991),
+	.B2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [0]),
+	.C1(n_3847),
+	.Y(n_4405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001563 (
+	.A1(n_2989),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [3]),
+	.B1(n_2991),
+	.B2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [3]),
+	.C1(n_3671),
+	.Y(n_4404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001564 (
+	.A1(n_2989),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [4]),
+	.B1(n_2991),
+	.B2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [4]),
+	.C1(n_3687),
+	.Y(n_4403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001565 (
+	.A1(n_2989),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [5]),
+	.B1(n_2991),
+	.B2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [5]),
+	.C1(n_3680),
+	.Y(n_4402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001566 (
+	.A1(n_2989),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [8]),
+	.B1(n_2991),
+	.B2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [8]),
+	.C1(n_3612),
+	.Y(n_4401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001567 (
+	.A1(n_2989),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [9]),
+	.B1(n_2991),
+	.B2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [9]),
+	.C1(n_3614),
+	.Y(n_4400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001568 (
+	.A1(n_2989),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [12]),
+	.B1(n_2991),
+	.B2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [12]),
+	.C1(n_3636),
+	.Y(n_4399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001569 (
+	.A1(n_2989),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [16]),
+	.B1(n_2556),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [16]),
+	.C1(n_3601),
+	.Y(n_4398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001570 (
+	.A1(n_2991),
+	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [17]),
+	.B1(n_2995),
+	.B2(soc_top_GPIO_u_reg_data_in_qs[17]),
+	.C1(n_3641),
+	.Y(n_4397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001571 (
+	.A1(n_2989),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [21]),
+	.B1(n_2556),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [21]),
+	.C1(n_3666),
+	.Y(n_4396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001572 (
+	.A1(n_2991),
+	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [21]),
+	.B1(n_2995),
+	.B2(soc_top_GPIO_u_reg_data_in_qs[21]),
+	.C1(n_3678),
+	.Y(n_4395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001573 (
+	.A1(n_2989),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [23]),
+	.B1(n_2556),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [23]),
+	.C1(n_3664),
+	.Y(n_4394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001574 (
+	.A1(n_2991),
+	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [24]),
+	.B1(n_2995),
+	.B2(soc_top_GPIO_u_reg_data_in_qs[24]),
+	.C1(n_3667),
+	.Y(n_4393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001575 (
+	.A1(n_2989),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [25]),
+	.B1(n_2556),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [25]),
+	.C1(n_3673),
+	.Y(n_4392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001576 (
+	.A1(n_2991),
+	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [27]),
+	.B1(n_2995),
+	.B2(soc_top_GPIO_u_reg_data_in_qs[27]),
+	.C1(n_3669),
+	.Y(n_4391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001577 (
+	.A1(n_2989),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [28]),
+	.B1(n_2556),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [28]),
+	.C1(n_3674),
+	.Y(n_4390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001578 (
+	.A1(n_2993),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [20]),
+	.B1(n_2995),
+	.B2(soc_top_GPIO_u_reg_data_in_qs[20]),
+	.C1(n_3647),
+	.Y(n_4389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001579 (
+	.A1(n_2989),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [11]),
+	.B1(n_2991),
+	.B2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [11]),
+	.C1(n_3637),
+	.Y(n_4388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001580 (
+	.A1(n_2989),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [31]),
+	.B1(n_2556),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [31]),
+	.C1(n_3642),
+	.Y(n_4387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001581 (
+	.A1(n_2989),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [10]),
+	.B1(n_2991),
+	.B2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [10]),
+	.C1(n_3617),
+	.Y(n_4386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g1001582 (
+	.A1(n_571),
+	.A2(n_3016),
+	.A3(n_1502),
+	.B1(n_3802),
+	.Y(n_4385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001583 (
+	.A1(n_2989),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [1]),
+	.B1(n_2991),
+	.B2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [1]),
+	.C1(n_3675),
+	.Y(n_4384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1001584 (
+	.A1(n_2162),
+	.A2(\soc_top_intr_controller_reg2hw[prio22][q] [0]),
+	.B1(n_1511),
+	.B2(\soc_top_intr_controller_reg2hw[prio20][q] [0]),
+	.C1(n_3611),
+	.X(n_4383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001585 (
+	.A1(n_2162),
+	.A2(\soc_top_intr_controller_reg2hw[prio6][q] [0]),
+	.B1(n_1511),
+	.B2(\soc_top_intr_controller_reg2hw[prio4][q] [0]),
+	.C1(n_3610),
+	.Y(n_4382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001586 (
+	.A1(n_2989),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [24]),
+	.B1(n_2556),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [24]),
+	.C1(n_3702),
+	.Y(n_4381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001587 (
+	.A1(n_2989),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [30]),
+	.B1(n_2556),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [30]),
+	.C1(n_3609),
+	.Y(n_4380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001588 (
+	.A1(n_2989),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [7]),
+	.B1(n_2991),
+	.B2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [7]),
+	.C1(n_3604),
+	.Y(n_4379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001589 (
+	.A1(n_2989),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [6]),
+	.B1(n_2991),
+	.B2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [6]),
+	.C1(n_3650),
+	.Y(n_4378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001590 (
+	.A1(gpio_o[21]),
+	.A2(n_3005),
+	.B1(\soc_top_gpio_to_xbarp[d_data] [5]),
+	.B2(n_2125),
+	.C1(n_3292),
+	.C2(\soc_top_GPIO_reg2hw[intr_enable][q] [5]),
+	.Y(n_4377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001591 (
+	.A1(n_2991),
+	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [23]),
+	.B1(n_2995),
+	.B2(soc_top_GPIO_u_reg_data_in_qs[23]),
+	.C1(n_3648),
+	.Y(n_4376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1001592 (
+	.A1(n_2752),
+	.A2(n_1270),
+	.B1(soc_top_u_top_u_core_fp_frm_fpnew[2]),
+	.B2(n_1338),
+	.C1(n_1524),
+	.X(n_4375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g1001593 (
+	.A1(n_571),
+	.A2(n_3016),
+	.A3(n_2164),
+	.B1(n_3795),
+	.Y(n_4374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001594 (
+	.A1(io_out[30]),
+	.A2(n_3005),
+	.B1(\soc_top_gpio_to_xbarp[d_data] [6]),
+	.B2(n_2125),
+	.C1(n_3292),
+	.C2(\soc_top_GPIO_reg2hw[intr_enable][q] [6]),
+	.Y(n_4373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001595 (
+	.A1(n_2292),
+	.A2(n_2351),
+	.B1(n_1603),
+	.B2(n_1628),
+	.C1(n_1625),
+	.Y(n_4372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1001596 (
+	.A1(n_2703),
+	.A2(n_3396),
+	.B1(n_1960),
+	.B2(n_1389),
+	.X(n_4371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1001597 (
+	.A_N(n_1960),
+	.B(n_2703),
+	.C(n_2872),
+	.D(n_1367),
+	.Y(n_4370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001598 (
+	.A1(gpio_o[30]),
+	.A2(n_3005),
+	.B1(\soc_top_gpio_to_xbarp[d_data] [14]),
+	.B2(n_2125),
+	.C1(n_3292),
+	.C2(\soc_top_GPIO_reg2hw[intr_enable][q] [14]),
+	.Y(n_4369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001599 (
+	.A1(gpio_o[31]),
+	.A2(n_3005),
+	.B1(\soc_top_gpio_to_xbarp[d_data] [15]),
+	.B2(n_2125),
+	.C1(n_3292),
+	.C2(\soc_top_GPIO_reg2hw[intr_enable][q] [15]),
+	.Y(n_4368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1001600 (
+	.A1_N(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_we_wb_q ),
+	.A2_N(n_1229),
+	.B1(n_3269),
+	.B2(n_69822),
+	.Y(n_4367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001601 (
+	.A1(io_out[32]),
+	.A2(n_3005),
+	.B1(\soc_top_gpio_to_xbarp[d_data] [8]),
+	.B2(n_2125),
+	.C1(n_3292),
+	.C2(\soc_top_GPIO_reg2hw[intr_enable][q] [8]),
+	.Y(n_4366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001602 (
+	.A1(gpio_o[20]),
+	.A2(n_3005),
+	.B1(\soc_top_gpio_to_xbarp[d_data] [4]),
+	.B2(n_2125),
+	.C1(n_3292),
+	.C2(\soc_top_GPIO_reg2hw[intr_enable][q] [4]),
+	.Y(n_4365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1001603 (
+	.A_N(n_1536),
+	.B(n_1219),
+	.C(n_1774),
+	.D(n_2476),
+	.Y(n_4364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001604 (
+	.A1(soc_top_u_top_u_core_pc_id[19]),
+	.A2(n_2994),
+	.B1(soc_top_u_top_u_core_pc_if[19]),
+	.B2(n_2996),
+	.C1(n_2997),
+	.C2(soc_top_u_top_u_core_pc_wb[19]),
+	.Y(n_4363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001605 (
+	.A1(soc_top_u_top_u_core_pc_id[27]),
+	.A2(n_2994),
+	.B1(soc_top_u_top_u_core_pc_if[27]),
+	.B2(n_2996),
+	.C1(n_2997),
+	.C2(soc_top_u_top_u_core_pc_wb[27]),
+	.Y(n_4362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001607 (
+	.A1(\soc_top_intr_controller_reg2hw[prio13][q] [1]),
+	.A2(n_3000),
+	.B1(\soc_top_intr_controller_reg2hw[prio15][q] [1]),
+	.B2(n_1248),
+	.C1(n_2160),
+	.C2(\soc_top_intr_controller_reg2hw[prio14][q] [1]),
+	.Y(n_4360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001608 (
+	.A1(\soc_top_intr_controller_reg2hw[prio31][q] [1]),
+	.A2(n_1248),
+	.B1(\soc_top_intr_controller_reg2hw[prio29][q] [1]),
+	.B2(n_3000),
+	.C1(n_2152),
+	.C2(\soc_top_intr_controller_reg2hw[prio32][q] [1]),
+	.Y(n_4359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001609 (
+	.A1(soc_top_u_top_u_core_pc_id[25]),
+	.A2(n_2994),
+	.B1(soc_top_u_top_u_core_pc_if[25]),
+	.B2(n_2996),
+	.C1(n_2997),
+	.C2(soc_top_u_top_u_core_pc_wb[25]),
+	.Y(n_4358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001610 (
+	.A1(soc_top_u_top_u_core_pc_wb[26]),
+	.A2(n_2997),
+	.B1(soc_top_u_top_u_core_pc_if[26]),
+	.B2(n_2996),
+	.C1(n_2994),
+	.C2(soc_top_u_top_u_core_pc_id[26]),
+	.Y(n_4357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o41ai_1 g1001611 (
+	.A1(n_571),
+	.A2(n_1843),
+	.A3(n_28888),
+	.A4(n_69822),
+	.B1(n_2113),
+	.Y(n_4356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001612 (
+	.A1(soc_top_u_uart_u_uart_core_rx_buffer_size[2]),
+	.A2(n_3007),
+	.B1(\soc_top_uart_to_xbar[d_data] [2]),
+	.B2(n_29452),
+	.C1(n_2992),
+	.C2(soc_top_u_uart_u_uart_core_rx_val[2]),
+	.Y(n_4355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001613 (
+	.A1(soc_top_u_uart_u_uart_core_rx_buffer_size[3]),
+	.A2(n_3007),
+	.B1(\soc_top_uart_to_xbar[d_data] [3]),
+	.B2(n_29452),
+	.C1(n_2992),
+	.C2(soc_top_u_uart_u_uart_core_rx_val[3]),
+	.Y(n_4354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001614 (
+	.A1(soc_top_u_uart_u_uart_core_rx_buffer_size[4]),
+	.A2(n_3007),
+	.B1(\soc_top_uart_to_xbar[d_data] [4]),
+	.B2(n_29452),
+	.C1(n_2992),
+	.C2(soc_top_u_uart_u_uart_core_rx_val[4]),
+	.Y(n_4353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001615 (
+	.A1(soc_top_u_uart_u_uart_core_rx_buffer_size[6]),
+	.A2(n_3007),
+	.B1(\soc_top_uart_to_xbar[d_data] [6]),
+	.B2(n_29452),
+	.C1(n_2992),
+	.C2(soc_top_u_uart_u_uart_core_rx_val[6]),
+	.Y(n_4352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001616 (
+	.A1(soc_top_u_uart_u_uart_core_rx_buffer_size[8]),
+	.A2(n_3007),
+	.B1(\soc_top_uart_to_xbar[d_data] [8]),
+	.B2(n_29452),
+	.C1(n_2992),
+	.C2(soc_top_u_uart_u_uart_core_rx_val[8]),
+	.Y(n_4351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001617 (
+	.A1(\soc_top_intr_controller_reg2hw[prio7][q] [1]),
+	.A2(n_1503),
+	.B1(\soc_top_intr_controller_reg2hw[prio5][q] [1]),
+	.B2(n_3004),
+	.C1(n_2156),
+	.C2(\soc_top_intr_controller_reg2hw[prio3][q] [1]),
+	.Y(n_4350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001618 (
+	.A1(soc_top_u_top_u_core_pc_id[30]),
+	.A2(n_2994),
+	.B1(soc_top_u_top_u_core_pc_if[30]),
+	.B2(n_2996),
+	.C1(n_2997),
+	.C2(soc_top_u_top_u_core_pc_wb[30]),
+	.Y(n_4349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001619 (
+	.A1(soc_top_u_top_u_core_csr_depc[17]),
+	.A2(n_2146),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [17]),
+	.B2(n_1232),
+	.C1(n_3535),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [49]),
+	.Y(n_4348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001620 (
+	.A1(soc_top_u_top_u_core_csr_depc[21]),
+	.A2(n_2146),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [21]),
+	.B2(n_1232),
+	.C1(n_3535),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [53]),
+	.Y(n_4347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001621 (
+	.A1(io_out[24]),
+	.A2(n_3005),
+	.B1(\soc_top_gpio_to_xbarp[d_data] [0]),
+	.B2(n_2125),
+	.C1(n_3292),
+	.C2(\soc_top_GPIO_reg2hw[intr_enable][q] [0]),
+	.Y(n_4346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001622 (
+	.A1(io_out[25]),
+	.A2(n_3005),
+	.B1(\soc_top_gpio_to_xbarp[d_data] [1]),
+	.B2(n_2125),
+	.C1(n_3292),
+	.C2(\soc_top_GPIO_reg2hw[intr_enable][q] [1]),
+	.Y(n_4345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001623 (
+	.A1(soc_top_GPIO_cio_gpio_q[18]),
+	.A2(n_3005),
+	.B1(\soc_top_gpio_to_xbarp[d_data] [2]),
+	.B2(n_2125),
+	.C1(n_3292),
+	.C2(\soc_top_GPIO_reg2hw[intr_enable][q] [2]),
+	.Y(n_4344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001624 (
+	.A1(gpio_o[19]),
+	.A2(n_3005),
+	.B1(\soc_top_gpio_to_xbarp[d_data] [3]),
+	.B2(n_2125),
+	.C1(n_3292),
+	.C2(\soc_top_GPIO_reg2hw[intr_enable][q] [3]),
+	.Y(n_4343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g1001625 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [2]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [1]),
+	.C(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [3]),
+	.D(n_2379),
+	.X(n_4342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g1001626 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [24]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [25]),
+	.C(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [26]),
+	.D(n_2376),
+	.X(n_4341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001627 (
+	.A1(io_out[31]),
+	.A2(n_3005),
+	.B1(\soc_top_gpio_to_xbarp[d_data] [7]),
+	.B2(n_2125),
+	.C1(n_3292),
+	.C2(\soc_top_GPIO_reg2hw[intr_enable][q] [7]),
+	.Y(n_4340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001628 (
+	.A1(soc_top_u_top_u_core_pc_id[4]),
+	.A2(n_2994),
+	.B1(soc_top_u_top_u_core_pc_if[4]),
+	.B2(n_2996),
+	.C1(n_2997),
+	.C2(soc_top_u_top_u_core_pc_wb[4]),
+	.Y(n_4339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001629 (
+	.A1(soc_top_u_top_u_core_pc_id[5]),
+	.A2(n_2994),
+	.B1(soc_top_u_top_u_core_pc_if[5]),
+	.B2(n_2996),
+	.C1(n_2997),
+	.C2(soc_top_u_top_u_core_pc_wb[5]),
+	.Y(n_4338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001630 (
+	.A1(soc_top_u_top_u_core_pc_id[12]),
+	.A2(n_2994),
+	.B1(soc_top_u_top_u_core_pc_if[12]),
+	.B2(n_2996),
+	.C1(n_2997),
+	.C2(soc_top_u_top_u_core_pc_wb[12]),
+	.Y(n_4337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001631 (
+	.A1(soc_top_u_top_u_core_pc_id[13]),
+	.A2(n_2994),
+	.B1(soc_top_u_top_u_core_pc_if[13]),
+	.B2(n_2996),
+	.C1(n_2997),
+	.C2(soc_top_u_top_u_core_pc_wb[13]),
+	.Y(n_4336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001632 (
+	.A1(soc_top_u_top_u_core_pc_id[14]),
+	.A2(n_2994),
+	.B1(soc_top_u_top_u_core_pc_if[14]),
+	.B2(n_2996),
+	.C1(n_2997),
+	.C2(soc_top_u_top_u_core_pc_wb[14]),
+	.Y(n_4335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001633 (
+	.A1(io_out[37]),
+	.A2(n_3005),
+	.B1(\soc_top_gpio_to_xbarp[d_data] [13]),
+	.B2(n_2125),
+	.C1(n_3292),
+	.C2(\soc_top_GPIO_reg2hw[intr_enable][q] [13]),
+	.Y(n_4334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001634 (
+	.A1(soc_top_u_top_u_core_pc_wb[15]),
+	.A2(n_2997),
+	.B1(soc_top_u_top_u_core_pc_if[15]),
+	.B2(n_2996),
+	.C1(n_2994),
+	.C2(soc_top_u_top_u_core_pc_id[15]),
+	.Y(n_4333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001635 (
+	.A1(soc_top_u_top_u_core_pc_wb[17]),
+	.A2(n_2997),
+	.B1(soc_top_u_top_u_core_pc_if[17]),
+	.B2(n_2996),
+	.C1(n_2994),
+	.C2(soc_top_u_top_u_core_pc_id[17]),
+	.Y(n_4332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001636 (
+	.A1(soc_top_u_top_u_core_pc_id[18]),
+	.A2(n_2994),
+	.B1(soc_top_u_top_u_core_pc_if[18]),
+	.B2(n_2996),
+	.C1(n_2997),
+	.C2(soc_top_u_top_u_core_pc_wb[18]),
+	.Y(n_4331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001637 (
+	.A1(soc_top_u_top_u_core_pc_id[20]),
+	.A2(n_2994),
+	.B1(soc_top_u_top_u_core_pc_if[20]),
+	.B2(n_2996),
+	.C1(n_2997),
+	.C2(soc_top_u_top_u_core_pc_wb[20]),
+	.Y(n_4330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001638 (
+	.A1(soc_top_u_top_u_core_pc_id[22]),
+	.A2(n_2994),
+	.B1(soc_top_u_top_u_core_pc_if[22]),
+	.B2(n_2996),
+	.C1(n_2997),
+	.C2(soc_top_u_top_u_core_pc_wb[22]),
+	.Y(n_4329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001639 (
+	.A1(soc_top_u_top_u_core_pc_wb[23]),
+	.A2(n_2997),
+	.B1(soc_top_u_top_u_core_pc_if[23]),
+	.B2(n_2996),
+	.C1(n_2994),
+	.C2(soc_top_u_top_u_core_pc_id[23]),
+	.Y(n_4328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001640 (
+	.A1(soc_top_u_top_u_core_pc_id[29]),
+	.A2(n_2994),
+	.B1(soc_top_u_top_u_core_pc_if[29]),
+	.B2(n_2996),
+	.C1(n_2997),
+	.C2(soc_top_u_top_u_core_pc_wb[29]),
+	.Y(n_4327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001641 (
+	.A1(soc_top_u_top_u_core_pc_id[31]),
+	.A2(n_2994),
+	.B1(soc_top_u_top_u_core_pc_if[31]),
+	.B2(n_2996),
+	.C1(n_2997),
+	.C2(soc_top_u_top_u_core_pc_wb[31]),
+	.Y(n_4326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001642 (
+	.A1(soc_top_intr_controller_u_reg_reg_rdata_next[1]),
+	.A2(n_3000),
+	.B1(\soc_top_intr_controller_reg2hw[prio2][q] [1]),
+	.B2(n_2150),
+	.C1(n_2157),
+	.C2(\soc_top_intr_controller_reg2hw[prio1][q] [1]),
+	.Y(n_4325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001643 (
+	.A1(soc_top_u_top_u_core_pc_id[21]),
+	.A2(n_2994),
+	.B1(soc_top_u_top_u_core_pc_if[21]),
+	.B2(n_2996),
+	.C1(n_2997),
+	.C2(soc_top_u_top_u_core_pc_wb[21]),
+	.Y(n_4324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001644 (
+	.A1(soc_top_u_top_u_core_pc_id[16]),
+	.A2(n_2994),
+	.B1(soc_top_u_top_u_core_pc_if[16]),
+	.B2(n_2996),
+	.C1(n_2997),
+	.C2(soc_top_u_top_u_core_pc_wb[16]),
+	.Y(n_4323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001645 (
+	.A1(soc_top_intr_controller_u_reg_reg_rdata_next[0]),
+	.A2(n_3000),
+	.B1(\soc_top_intr_controller_reg2hw[prio2][q] [0]),
+	.B2(n_2150),
+	.C1(n_2157),
+	.C2(\soc_top_intr_controller_reg2hw[prio1][q] [0]),
+	.Y(n_4322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001646 (
+	.A1(soc_top_u_top_u_core_pc_id[9]),
+	.A2(n_2994),
+	.B1(soc_top_u_top_u_core_pc_if[9]),
+	.B2(n_2996),
+	.C1(n_2997),
+	.C2(soc_top_u_top_u_core_pc_wb[9]),
+	.Y(n_4321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001647 (
+	.A1(soc_top_u_top_u_core_pc_wb[10]),
+	.A2(n_2997),
+	.B1(soc_top_u_top_u_core_pc_if[10]),
+	.B2(n_2996),
+	.C1(n_2994),
+	.C2(soc_top_u_top_u_core_pc_id[10]),
+	.Y(n_4320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001648 (
+	.A1(soc_top_u_top_u_core_pc_id[11]),
+	.A2(n_2994),
+	.B1(soc_top_u_top_u_core_pc_if[11]),
+	.B2(n_2996),
+	.C1(n_2997),
+	.C2(soc_top_u_top_u_core_pc_wb[11]),
+	.Y(n_4319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001649 (
+	.A1(io_out[36]),
+	.A2(n_3005),
+	.B1(\soc_top_gpio_to_xbarp[d_data] [12]),
+	.B2(n_2125),
+	.C1(n_3292),
+	.C2(\soc_top_GPIO_reg2hw[intr_enable][q] [12]),
+	.Y(n_4318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001650 (
+	.A1(soc_top_u_top_u_core_pc_id[8]),
+	.A2(n_2994),
+	.B1(soc_top_u_top_u_core_pc_if[8]),
+	.B2(n_2996),
+	.C1(n_2997),
+	.C2(soc_top_u_top_u_core_pc_wb[8]),
+	.Y(n_4317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001651 (
+	.A1(soc_top_u_top_u_core_pc_id[7]),
+	.A2(n_2994),
+	.B1(soc_top_u_top_u_core_pc_if[7]),
+	.B2(n_2996),
+	.C1(n_2997),
+	.C2(soc_top_u_top_u_core_pc_wb[7]),
+	.Y(n_4316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001652 (
+	.A1(soc_top_u_top_u_core_pc_wb[6]),
+	.A2(n_2997),
+	.B1(soc_top_u_top_u_core_pc_if[6]),
+	.B2(n_2996),
+	.C1(n_2994),
+	.C2(soc_top_u_top_u_core_pc_id[6]),
+	.Y(n_4315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001653 (
+	.A1(io_out[35]),
+	.A2(n_3005),
+	.B1(\soc_top_gpio_to_xbarp[d_data] [11]),
+	.B2(n_2125),
+	.C1(n_3292),
+	.C2(\soc_top_GPIO_reg2hw[intr_enable][q] [11]),
+	.Y(n_4314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001654 (
+	.A1(soc_top_u_top_u_core_pc_id[3]),
+	.A2(n_2994),
+	.B1(soc_top_u_top_u_core_pc_if[3]),
+	.B2(n_2996),
+	.C1(n_2997),
+	.C2(soc_top_u_top_u_core_pc_wb[3]),
+	.Y(n_4313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001655 (
+	.A1(soc_top_u_top_u_core_pc_wb[2]),
+	.A2(n_2997),
+	.B1(soc_top_u_top_u_core_pc_if[2]),
+	.B2(n_2996),
+	.C1(n_2994),
+	.C2(soc_top_u_top_u_core_pc_id[2]),
+	.Y(n_4312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001656 (
+	.A1(soc_top_u_top_u_core_pc_id[1]),
+	.A2(n_2994),
+	.B1(soc_top_u_top_u_core_pc_if[1]),
+	.B2(n_2996),
+	.C1(n_2997),
+	.C2(soc_top_u_top_u_core_pc_wb[1]),
+	.Y(n_4311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001657 (
+	.A1(soc_top_u_top_u_core_pc_wb[28]),
+	.A2(n_2997),
+	.B1(soc_top_u_top_u_core_pc_if[28]),
+	.B2(n_2996),
+	.C1(n_2994),
+	.C2(soc_top_u_top_u_core_pc_id[28]),
+	.Y(n_4310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g1001658 (
+	.A(n_29672),
+	.B(n_29670),
+	.C(n_29628),
+	.D(n_2381),
+	.X(n_4309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001659 (
+	.A1(\soc_top_intr_controller_reg2hw[prio13][q] [0]),
+	.A2(n_3000),
+	.B1(\soc_top_intr_controller_reg2hw[prio15][q] [0]),
+	.B2(n_1248),
+	.C1(n_2160),
+	.C2(\soc_top_intr_controller_reg2hw[prio14][q] [0]),
+	.Y(n_4308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001660 (
+	.A1(gpio_o[26]),
+	.A2(n_3005),
+	.B1(\soc_top_gpio_to_xbarp[d_data] [10]),
+	.B2(n_2125),
+	.C1(n_3292),
+	.C2(\soc_top_GPIO_reg2hw[intr_enable][q] [10]),
+	.Y(n_4307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001661 (
+	.A1(soc_top_u_uart_u_uart_core_rx_buffer_size[7]),
+	.A2(n_3007),
+	.B1(\soc_top_uart_to_xbar[d_data] [7]),
+	.B2(n_29452),
+	.C1(n_2992),
+	.C2(soc_top_u_uart_u_uart_core_rx_val[7]),
+	.Y(n_4306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001662 (
+	.A1(soc_top_u_uart_u_uart_core_rx_buffer_size[5]),
+	.A2(n_3007),
+	.B1(\soc_top_uart_to_xbar[d_data] [5]),
+	.B2(n_29452),
+	.C1(n_2992),
+	.C2(soc_top_u_uart_u_uart_core_rx_val[5]),
+	.Y(n_4305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001663 (
+	.A1(soc_top_u_uart_u_uart_core_rx_buffer_size[1]),
+	.A2(n_3007),
+	.B1(\soc_top_uart_to_xbar[d_data] [1]),
+	.B2(n_29452),
+	.C1(n_2992),
+	.C2(soc_top_u_uart_u_uart_core_rx_val[1]),
+	.Y(n_4304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001664 (
+	.A1(\soc_top_intr_controller_reg2hw[prio29][q] [0]),
+	.A2(n_3000),
+	.B1(soc_top_intr_controller_u_reg_msip0_qs),
+	.B2(n_2299),
+	.C1(n_1505),
+	.C2(\soc_top_intr_controller_reg2hw[prio28][q] [0]),
+	.Y(n_4303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1001665 (
+	.A1(gpio_o[25]),
+	.A2(n_3005),
+	.B1(\soc_top_gpio_to_xbarp[d_data] [9]),
+	.B2(n_2125),
+	.C1(n_3292),
+	.C2(\soc_top_GPIO_reg2hw[intr_enable][q] [9]),
+	.Y(n_4302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g1001666 (
+	.A(n_84881),
+	.B(n_29658),
+	.C(n_29656),
+	.D(n_2358),
+	.X(n_4301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001667 (
+	.A(n_3851),
+	.B(n_2150),
+	.Y(n_4632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001668 (
+	.A(n_3851),
+	.B(n_1511),
+	.Y(n_4631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g1001669 (
+	.A1(n_1332),
+	.A2(n_3403),
+	.B1_N(n_29699),
+	.Y(n_4630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g1001670 (
+	.A(n_134),
+	.B(n_3263),
+	.C(soc_top_u_pwm_pwm_core_period[2]),
+	.X(n_4300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g1001671 (
+	.A(n_690),
+	.B(n_3276),
+	.C(soc_top_u_pwm_pwm_core_DC_2[2]),
+	.X(n_4299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g1001672 (
+	.A(n_690),
+	.B(n_3268),
+	.C(soc_top_u_pwm_pwm_core_period_2[2]),
+	.X(n_4298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g1001673 (
+	.A(n_134),
+	.B(n_3275),
+	.C(soc_top_u_pwm_pwm_core_DC_1[2]),
+	.X(n_4297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001674 (
+	.A(n_3852),
+	.B(n_1503),
+	.Y(n_4629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001675 (
+	.A(n_4111),
+	.B(n_1503),
+	.Y(n_4628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001676 (
+	.A(n_3851),
+	.B(n_2152),
+	.Y(n_4627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1001677 (
+	.A(n_2236),
+	.B(n_3858),
+	.Y(n_4626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001678 (
+	.A(n_3851),
+	.B(n_1503),
+	.Y(n_4625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001679 (
+	.A(n_3852),
+	.B(n_2162),
+	.Y(n_4624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g1001680 (
+	.A(n_3383),
+	.B(n_85185),
+	.C_N(n_1617),
+	.Y(n_4296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1001681 (
+	.A1(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.fp_load_q ),
+	.A2(n_3389),
+	.B1(n_1494),
+	.Y(n_4623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g1001682 (
+	.A(n_3083),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [6]),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [5]),
+	.X(n_4622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001683 (
+	.A(n_3851),
+	.B(n_2162),
+	.Y(n_4621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1001684 (
+	.A(n_2218),
+	.B(n_3858),
+	.Y(n_4620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001685 (
+	.A(n_4111),
+	.B(n_3000),
+	.Y(n_4619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001686 (
+	.A(n_3852),
+	.B(n_2165),
+	.Y(n_4618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1001687 (
+	.A(n_2218),
+	.B(n_3857),
+	.Y(n_4617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001688 (
+	.A(n_3851),
+	.B(n_3000),
+	.Y(n_4616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1001689 (
+	.A(n_2236),
+	.B(n_3857),
+	.Y(n_4615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001690 (
+	.A(n_3852),
+	.B(n_2157),
+	.Y(n_4614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001691 (
+	.A(n_3852),
+	.B(n_3004),
+	.Y(n_4613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1001692 (
+	.A1(soc_top_u_top_u_core_fp_frm_fpnew[0]),
+	.A2(soc_top_u_top_u_core_fp_frm_fpnew[2]),
+	.B1(n_4289),
+	.Y(n_4612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001693 (
+	.A(n_3851),
+	.B(n_2165),
+	.Y(n_4611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001694 (
+	.A(n_4111),
+	.B(n_2160),
+	.Y(n_4610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g1001695 (
+	.A1(n_73780),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [0]),
+	.B1(n_3834),
+	.Y(n_4609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g1001696 (
+	.A1(n_1892),
+	.A2(n_131),
+	.B1(n_3050),
+	.C1(n_3588),
+	.Y(n_4608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001697 (
+	.A(n_4111),
+	.B(n_2157),
+	.Y(n_4607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001698 (
+	.A(n_3851),
+	.B(n_3004),
+	.Y(n_4606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001699 (
+	.A(n_3851),
+	.B(n_2160),
+	.Y(n_4605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001700 (
+	.A(n_3851),
+	.B(n_2157),
+	.Y(n_4604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1001701 (
+	.A1(n_35156),
+	.A2(soc_top_u_uart_u_uart_core_fifo_read_size[7]),
+	.B1(soc_top_u_uart_u_uart_core_fifo_read_size[8]),
+	.B2(soc_top_u_uart_u_uart_core_tx_fifo_init),
+	.C1(soc_top_u_uart_u_uart_core_tx_fifo_reset),
+	.Y(n_4295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g1001702 (
+	.A(n_29712),
+	.B(n_1084),
+	.C(n_3408),
+	.Y(n_4603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g1001703 (
+	.A(n_3571),
+	.B(n_1484),
+	.C(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.X(n_4602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1001704 (
+	.A1(n_3388),
+	.A2(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.fp_load_q ),
+	.B1_N(n_17765),
+	.Y(n_4601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001705 (
+	.A(n_3919),
+	.B(n_2304),
+	.Y(n_4600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g1001706 (
+	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.A2(soc_top_iccm_adapter_inst_mem_u_rspfifo_n_108),
+	.B1(n_749),
+	.C1(n_3571),
+	.Y(n_4599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1001707 (
+	.A(n_4279),
+	.B(n_1570),
+	.C(\soc_top_intr_controller_irq_id_o[0] [5]),
+	.Y(n_4597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1001708 (
+	.A0(n_3332),
+	.A1(n_36335),
+	.S(n_2153),
+	.Y(n_4596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1001709 (
+	.A0(n_3331),
+	.A1(n_3327),
+	.S(n_2153),
+	.Y(n_4595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g1001711 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
+	.A2(n_1352),
+	.B1(FE_DBTN143_soc_top_u_top_u_core_multdiv_operator_ex_1),
+	.C1(n_2464),
+	.D1(n_3318),
+	.X(n_4592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1001713 (
+	.A_N(n_72033),
+	.B(n_1330),
+	.C(n_2700),
+	.D(n_1268),
+	.Y(n_4589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1001714 (
+	.A_N(\soc_top_intr_controller_irq_id_o[0] [5]),
+	.B(n_4279),
+	.C(\soc_top_intr_controller_irq_id_o[0] [0]),
+	.Y(n_4587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g1001716 (
+	.A1(n_2153),
+	.A2(n_3349),
+	.B1(n_2696),
+	.B2(n_35364),
+	.Y(n_4585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001717 (
+	.A(n_3322),
+	.B(n_4287),
+	.Y(n_4584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g1001718 (
+	.A(n_3170),
+	.B(n_3096),
+	.C(n_2790),
+	.X(n_4583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g1001719 (
+	.A(n_3173),
+	.B(n_2748),
+	.C(n_3090),
+	.X(n_4581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g1001720 (
+	.A(n_3171),
+	.B(n_2787),
+	.C(n_3099),
+	.X(n_4579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_2 g1001721 (
+	.A0(n_2300),
+	.A1(n_3350),
+	.S(n_35364),
+	.Y(n_4577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g1001722 (
+	.A(n_3168),
+	.B(n_2721),
+	.C(n_3098),
+	.X(n_4575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1001723 (
+	.A(n_3017),
+	.B(n_70394),
+	.C(n_70412),
+	.Y(n_4572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g1001724 (
+	.A(n_3318),
+	.B(n_1534),
+	.C(n_595),
+	.X(n_4571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g1001725 (
+	.A(n_3249),
+	.B(n_3097),
+	.C(n_2750),
+	.X(n_4570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1001726 (
+	.A(n_3087),
+	.B(n_29330),
+	.C(n_29321),
+	.Y(n_4568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g1001727 (
+	.A(n_3967),
+	.B(n_2162),
+	.C(n_1303),
+	.X(n_4566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1001728 (
+	.A_N(n_29446),
+	.B(n_3967),
+	.C(n_1548),
+	.Y(n_4565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1001729 (
+	.A1(n_850),
+	.A2(n_1957),
+	.B1(n_3056),
+	.Y(n_4564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1001730 (
+	.A(n_68746),
+	.B_N(n_3792),
+	.Y(n_4562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g1001731 (
+	.A(n_2214),
+	.B(n_3920),
+	.X(n_4560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4bb_2 g1001732 (
+	.A_N(soc_top_u_top_u_core_cs_registers_i_n_6243),
+	.B_N(n_29330),
+	.C(n_3025),
+	.D(n_184),
+	.X(n_4559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_4 g1001733 (
+	.A_N(n_29330),
+	.B(n_3589),
+	.C(n_1579),
+	.X(n_4558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_2 g1001734 (
+	.A_N(n_29323),
+	.B(n_3587),
+	.C(n_1587),
+	.X(n_4556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4bb_1 g1001735 (
+	.A(n_1287),
+	.B(n_2372),
+	.C_N(n_29333),
+	.D_N(n_29329),
+	.X(n_4555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_2 g1001736 (
+	.A_N(soc_top_u_top_u_core_cs_registers_i_n_6240),
+	.B(n_3587),
+	.C(n_689),
+	.X(n_4553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_2 g1001737 (
+	.A(n_3959),
+	.B(n_1345),
+	.C(n_1587),
+	.X(n_4552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_2 g1001738 (
+	.A(n_3967),
+	.B(n_3004),
+	.C(n_1303),
+	.X(n_4550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_2 g1001739 (
+	.A(n_1283),
+	.B(n_1547),
+	.C(n_3966),
+	.X(n_4549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_2 g1001740 (
+	.A1(n_68876),
+	.A2(n_2546),
+	.B1(n_3676),
+	.X(n_4548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1001743 (
+	.A(n_84186),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Special_case_dly_SB ),
+	.C(n_3423),
+	.Y(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1001744 (
+	.A(n_3017),
+	.Y(n_4294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1001745 (
+	.A(n_4285),
+	.Y(n_4284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1001746 (
+	.A(n_4280),
+	.Y(n_4281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1001747 (
+	.A(n_4112),
+	.Y(n_4111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1001750 (
+	.A(n_4098),
+	.Y(n_4097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g1001753 (
+	.A(n_35333),
+	.Y(n_4089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1001754 (
+	.A(n_35334),
+	.Y(n_4087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g1001755 (
+	.A(soc_top_u_uart_u_uart_core_rx_buffer_size[3]),
+	.B(n_1969),
+	.COUT(n_4085),
+	.SUM(n_4086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g1001756 (
+	.A(soc_top_u_uart_u_uart_core_fifo_read_size[0]),
+	.B(n_2234),
+	.COUT(n_4083),
+	.SUM(n_4084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g1001758 (
+	.A(n_40712),
+	.B(n_71346),
+	.COUT(n_4079),
+	.SUM(n_4080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1001759 (
+	.A(soc_top_u_uart_u_uart_core_rx_fifo_clr),
+	.B(n_3135),
+	.Y(n_4078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001760 (
+	.A(n_3559),
+	.B(n_589),
+	.Y(n_4077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g1001761 (
+	.A1(n_2294),
+	.A2(n_2000),
+	.A3(n_31),
+	.B1(FE_DBTN115_n_72188),
+	.Y(n_4076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001762 (
+	.A(n_3348),
+	.B(soc_top_system_rst_ni),
+	.Y(n_4075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1001763 (
+	.A1(n_2846),
+	.A2(n_1868),
+	.B1(n_1896),
+	.Y(n_4074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1001764 (
+	.A1(n_2838),
+	.A2(n_1883),
+	.B1(n_1902),
+	.Y(n_4073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1001765 (
+	.A1(n_2859),
+	.A2(n_1854),
+	.B1(n_1924),
+	.Y(n_4072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1001766 (
+	.A1(n_2844),
+	.A2(n_1864),
+	.B1(n_1920),
+	.Y(n_4071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1001767 (
+	.A1(n_2854),
+	.A2(n_1872),
+	.B1(n_1897),
+	.Y(n_4070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1001768 (
+	.A1(n_2858),
+	.A2(n_1858),
+	.B1(n_1923),
+	.Y(n_4069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1001769 (
+	.A1(n_2853),
+	.A2(n_1846),
+	.B1(n_1922),
+	.Y(n_4068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1001770 (
+	.A1(n_2851),
+	.A2(n_1869),
+	.B1(n_1910),
+	.Y(n_4067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1001771 (
+	.A1(n_2867),
+	.A2(n_1873),
+	.B1(n_1895),
+	.Y(n_4066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1001772 (
+	.A1(n_2848),
+	.A2(n_1842),
+	.B1(n_1905),
+	.Y(n_4065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1001773 (
+	.A1(n_2855),
+	.A2(n_1838),
+	.B1(n_1917),
+	.Y(n_4064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1001774 (
+	.A1(n_2850),
+	.A2(n_1860),
+	.B1(n_1904),
+	.Y(n_4063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1001775 (
+	.A1(n_2862),
+	.A2(n_1839),
+	.B1(n_1903),
+	.Y(n_4062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1001776 (
+	.A1(n_2849),
+	.A2(n_1863),
+	.B1(n_1914),
+	.Y(n_4061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1001777 (
+	.A1(n_2847),
+	.A2(n_1866),
+	.B1(n_1909),
+	.Y(n_4060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1001778 (
+	.A1(n_2863),
+	.A2(n_1836),
+	.B1(n_1911),
+	.Y(n_4059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1001779 (
+	.A1(n_2837),
+	.A2(n_1856),
+	.B1(n_1919),
+	.Y(n_4058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1001780 (
+	.A1(n_2845),
+	.A2(n_1876),
+	.B1(n_1915),
+	.Y(n_4057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1001781 (
+	.A1(n_2866),
+	.A2(n_1877),
+	.B1(n_1906),
+	.Y(n_4056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1001782 (
+	.A1(n_2864),
+	.A2(n_1855),
+	.B1(n_1907),
+	.Y(n_4055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1001783 (
+	.A1(n_2843),
+	.A2(n_1859),
+	.B1(n_1912),
+	.Y(n_4054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1001784 (
+	.A1(n_2857),
+	.A2(n_1845),
+	.B1(n_1913),
+	.Y(n_4053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1001785 (
+	.A1(n_2842),
+	.A2(n_1882),
+	.B1(n_1901),
+	.Y(n_4052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1001786 (
+	.A1(n_2865),
+	.A2(n_1878),
+	.B1(n_1900),
+	.Y(n_4051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1001787 (
+	.A1(n_2852),
+	.A2(n_1871),
+	.B1(n_1899),
+	.Y(n_4050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1001788 (
+	.A1(n_2856),
+	.A2(n_1861),
+	.B1(n_1908),
+	.Y(n_4049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1001789 (
+	.A1(n_2841),
+	.A2(n_1879),
+	.B1(n_1916),
+	.Y(n_4048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1001790 (
+	.A1(n_2840),
+	.A2(n_1880),
+	.B1(n_1918),
+	.Y(n_4047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1001791 (
+	.A1(n_2860),
+	.A2(n_1848),
+	.B1(n_1925),
+	.Y(n_4046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1001792 (
+	.A1(n_2861),
+	.A2(n_1850),
+	.B1(n_1921),
+	.Y(n_4045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1001793 (
+	.A1(n_2839),
+	.A2(n_1852),
+	.B1(n_1898),
+	.Y(n_4044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1001794 (
+	.A(n_1892),
+	.B(n_3586),
+	.Y(n_4043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001795 (
+	.A(n_3321),
+	.B(n_2216),
+	.Y(n_4042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1001796 (
+	.A(n_3262),
+	.B(n_1329),
+	.Y(n_4041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001797 (
+	.A(n_3298),
+	.B(\soc_top_timer0_reg2hw[cfg0][prescale][q] [0]),
+	.Y(n_4040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001798 (
+	.A(n_3294),
+	.B(soc_top_u_top_u_core_csr_depc[8]),
+	.Y(n_4039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001799 (
+	.A(n_3294),
+	.B(soc_top_u_top_u_core_csr_depc[7]),
+	.Y(n_4038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001800 (
+	.A(n_3294),
+	.B(soc_top_u_top_u_core_csr_depc[26]),
+	.Y(n_4037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001801 (
+	.A(n_3535),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [44]),
+	.Y(n_4036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001802 (
+	.A(n_3294),
+	.B(soc_top_u_top_u_core_csr_depc[28]),
+	.Y(n_4035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001804 (
+	.A(n_3294),
+	.B(soc_top_u_top_u_core_csr_depc[29]),
+	.Y(n_4034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001805 (
+	.A(n_3294),
+	.B(soc_top_u_top_u_core_csr_depc[27]),
+	.Y(n_4033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001806 (
+	.A(n_3294),
+	.B(soc_top_u_top_u_core_csr_depc[25]),
+	.Y(n_4032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001807 (
+	.A(n_3294),
+	.B(soc_top_u_top_u_core_csr_depc[21]),
+	.Y(n_4031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001808 (
+	.A(n_3294),
+	.B(soc_top_u_top_u_core_csr_depc[20]),
+	.Y(n_4030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001809 (
+	.A(n_3294),
+	.B(soc_top_u_top_u_core_csr_depc[19]),
+	.Y(n_4029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001810 (
+	.A(n_3294),
+	.B(soc_top_u_top_u_core_csr_depc[17]),
+	.Y(n_4028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001811 (
+	.A(n_3294),
+	.B(soc_top_u_top_u_core_csr_depc[15]),
+	.Y(n_4027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001812 (
+	.A(n_3294),
+	.B(soc_top_u_top_u_core_csr_depc[13]),
+	.Y(n_4026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001813 (
+	.A(n_3294),
+	.B(soc_top_u_top_u_core_csr_depc[12]),
+	.Y(n_4025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001814 (
+	.A(n_3294),
+	.B(soc_top_u_top_u_core_csr_depc[11]),
+	.Y(n_4024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001815 (
+	.A(n_3294),
+	.B(soc_top_u_top_u_core_csr_depc[6]),
+	.Y(n_4023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001816 (
+	.A(n_3294),
+	.B(soc_top_u_top_u_core_csr_depc[4]),
+	.Y(n_4022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001817 (
+	.A(n_3294),
+	.B(soc_top_u_top_u_core_csr_depc[3]),
+	.Y(n_4021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1001818 (
+	.A_N(soc_top_u_top_u_core_csr_save_cause),
+	.B(n_3147),
+	.Y(n_4020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1001819 (
+	.A_N(soc_top_u_top_u_core_csr_save_cause),
+	.B(n_3148),
+	.Y(n_4019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001820 (
+	.A(n_3323),
+	.B(soc_top_u_top_u_core_csr_mstatus_mie),
+	.Y(n_4018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001821 (
+	.A(n_3294),
+	.B(soc_top_u_top_u_core_csr_depc[24]),
+	.Y(n_4017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g1001822 (
+	.A(n_87131),
+	.B(n_3572),
+	.X(n_4016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001823 (
+	.A(n_3294),
+	.B(soc_top_u_top_u_core_csr_depc[16]),
+	.Y(n_4015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001824 (
+	.A(n_3537),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [53]),
+	.Y(n_4014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001825 (
+	.A(n_3294),
+	.B(soc_top_u_top_u_core_csr_depc[5]),
+	.Y(n_4013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001826 (
+	.A(n_3294),
+	.B(soc_top_u_top_u_core_csr_depc[9]),
+	.Y(n_4012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001827 (
+	.A(n_3294),
+	.B(soc_top_u_top_u_core_csr_depc[31]),
+	.Y(n_4011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001828 (
+	.A(n_3294),
+	.B(soc_top_u_top_u_core_csr_depc[18]),
+	.Y(n_4010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1001829 (
+	.A(soc_top_u_uart_u_uart_core_rx_fifo_rst),
+	.B_N(n_3455),
+	.Y(n_4009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001830 (
+	.A(n_3294),
+	.B(soc_top_u_top_u_core_csr_depc[14]),
+	.Y(n_4008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001831 (
+	.A(n_3028),
+	.B(n_3572),
+	.Y(n_4007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001832 (
+	.A(n_3294),
+	.B(soc_top_u_top_u_core_csr_depc[22]),
+	.Y(n_4006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001833 (
+	.A(n_3294),
+	.B(soc_top_u_top_u_core_csr_depc[1]),
+	.Y(n_4005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001834 (
+	.A(n_3294),
+	.B(soc_top_u_top_u_core_csr_depc[30]),
+	.Y(n_4004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001835 (
+	.A(n_3294),
+	.B(soc_top_u_top_u_core_csr_depc[23]),
+	.Y(n_4003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001836 (
+	.A(n_3294),
+	.B(soc_top_u_top_u_core_csr_depc[2]),
+	.Y(n_4002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001837 (
+	.A(n_3294),
+	.B(soc_top_u_top_u_core_csr_depc[10]),
+	.Y(n_4001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1001839 (
+	.A(n_2318),
+	.B(n_3319),
+	.Y(n_4293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1001840 (
+	.A1(n_29548),
+	.A2(n_2729),
+	.B1_N(n_1529),
+	.Y(n_4292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1001841 (
+	.A(soc_top_u_top_data_we),
+	.B(n_3574),
+	.Y(n_4000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1001842 (
+	.A(n_2293),
+	.B(n_3319),
+	.Y(n_4291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001849 (
+	.A(n_3571),
+	.B(n_29483),
+	.Y(n_4290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1001856 (
+	.A(n_1271),
+	.B(n_3573),
+	.Y(n_4289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1001857 (
+	.A(n_29777),
+	.B(n_3573),
+	.Y(n_4288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1001858 (
+	.A(n_29770),
+	.B_N(n_3354),
+	.Y(n_4287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001863 (
+	.A(n_3580),
+	.B(soc_top_u_pwm_pwm_core_period_counter1[5]),
+	.Y(n_4286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1001866 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [8]),
+	.B(n_3345),
+	.Y(n_4285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1001874 (
+	.A_N(n_3581),
+	.B(soc_top_u_pwm_pwm_core_counter_p2[5]),
+	.Y(n_4283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1001876 (
+	.A_N(n_3579),
+	.B(soc_top_u_pwm_pwm_core_counter_p1[5]),
+	.Y(n_4282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001877 (
+	.A(n_3353),
+	.B(n_29422),
+	.Y(n_4280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1001879 (
+	.A(soc_top_u_top_data_we),
+	.B(n_3368),
+	.Y(n_4279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001880 (
+	.A(n_3584),
+	.B(soc_top_u_pwm_pwm_core_period_counter2[5]),
+	.Y(n_4278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1001881 (
+	.A(n_3588),
+	.B_N(n_2215),
+	.Y(n_4277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1001899 (
+	.A(soc_top_u_top_u_core_is_fp_instr),
+	.B_N(n_3322),
+	.Y(n_4276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1001942 (
+	.A(n_3589),
+	.B(n_184),
+	.X(n_4275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001964 (
+	.A(n_3310),
+	.B(n_3552),
+	.Y(n_4274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001966 (
+	.A(n_3304),
+	.B(n_3540),
+	.Y(n_4273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001967 (
+	.A(n_3302),
+	.B(n_3558),
+	.Y(n_4272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001968 (
+	.A(n_3310),
+	.B(n_3558),
+	.Y(n_4271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001969 (
+	.A(n_3302),
+	.B(n_35339),
+	.Y(n_4270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001970 (
+	.A(n_3548),
+	.B(n_3540),
+	.Y(n_4269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001971 (
+	.A(n_3301),
+	.B(n_3552),
+	.Y(n_4268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001972 (
+	.A(n_3302),
+	.B(n_3552),
+	.Y(n_4267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001973 (
+	.A(n_35335),
+	.B(n_35339),
+	.Y(n_4266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001974 (
+	.A(n_3547),
+	.B(n_3539),
+	.Y(n_4265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001975 (
+	.A(n_3557),
+	.B(n_3539),
+	.Y(n_4264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001976 (
+	.A(n_3557),
+	.B(n_3545),
+	.Y(n_4263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001977 (
+	.A(n_3557),
+	.B(n_3558),
+	.Y(n_4262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001978 (
+	.A(n_3543),
+	.B(n_35338),
+	.Y(n_4261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001979 (
+	.A(n_3547),
+	.B(n_3556),
+	.Y(n_4260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001980 (
+	.A(n_3547),
+	.B(n_3552),
+	.Y(n_4259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001981 (
+	.A(n_35335),
+	.B(n_3552),
+	.Y(n_4258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001982 (
+	.A(n_3543),
+	.B(n_3552),
+	.Y(n_4257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001983 (
+	.A(n_35335),
+	.B(n_3539),
+	.Y(n_4256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001984 (
+	.A(n_3547),
+	.B(n_3558),
+	.Y(n_4255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001985 (
+	.A(n_3547),
+	.B(n_3545),
+	.Y(n_4254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001986 (
+	.A(n_3547),
+	.B(n_35338),
+	.Y(n_4253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001987 (
+	.A(n_3547),
+	.B(n_35339),
+	.Y(n_4252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001988 (
+	.A(n_3543),
+	.B(n_3545),
+	.Y(n_4251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001989 (
+	.A(n_3543),
+	.B(n_35339),
+	.Y(n_4250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001990 (
+	.A(n_35335),
+	.B(n_35338),
+	.Y(n_4249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001991 (
+	.A(n_3557),
+	.B(n_3552),
+	.Y(n_4248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001992 (
+	.A(n_35335),
+	.B(n_3556),
+	.Y(n_4247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001993 (
+	.A(n_3543),
+	.B(n_3558),
+	.Y(n_4246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001994 (
+	.A(n_35335),
+	.B(n_3545),
+	.Y(n_4245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001995 (
+	.A(n_3543),
+	.B(n_3556),
+	.Y(n_4244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001996 (
+	.A(n_35335),
+	.B(n_3558),
+	.Y(n_4243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001997 (
+	.A(n_3543),
+	.B(n_3539),
+	.Y(n_4242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001998 (
+	.A(n_3557),
+	.B(n_35339),
+	.Y(n_4241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1001999 (
+	.A(n_3557),
+	.B(n_3556),
+	.Y(n_4240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002000 (
+	.A(n_3557),
+	.B(n_35338),
+	.Y(n_4239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002001 (
+	.A(n_3300),
+	.B(n_3540),
+	.Y(n_4238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002002 (
+	.A(n_3548),
+	.B(n_3558),
+	.Y(n_4237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002003 (
+	.A(n_3548),
+	.B(n_35338),
+	.Y(n_4236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002004 (
+	.A(n_3548),
+	.B(n_3556),
+	.Y(n_4235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002005 (
+	.A(n_3548),
+	.B(n_3545),
+	.Y(n_4234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002006 (
+	.A(n_3548),
+	.B(n_35339),
+	.Y(n_4233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002007 (
+	.A(n_3548),
+	.B(n_3539),
+	.Y(n_4232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002008 (
+	.A(n_3548),
+	.B(n_3552),
+	.Y(n_4231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002009 (
+	.A(n_3543),
+	.B(n_3544),
+	.Y(n_4230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002010 (
+	.A(n_35335),
+	.B(n_3544),
+	.Y(n_4229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002011 (
+	.A(n_3557),
+	.B(n_3544),
+	.Y(n_4228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002012 (
+	.A(n_3547),
+	.B(n_3544),
+	.Y(n_4227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002013 (
+	.A(n_35335),
+	.B(n_3550),
+	.Y(n_4226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002014 (
+	.A(n_3548),
+	.B(n_3544),
+	.Y(n_4225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002015 (
+	.A(n_3309),
+	.B(n_35339),
+	.Y(n_4224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002016 (
+	.A(n_3538),
+	.B(n_35338),
+	.Y(n_4223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002017 (
+	.A(n_3538),
+	.B(n_3552),
+	.Y(n_4222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002018 (
+	.A(n_3538),
+	.B(n_3556),
+	.Y(n_4221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002019 (
+	.A(n_3538),
+	.B(n_3558),
+	.Y(n_4220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002020 (
+	.A(n_3538),
+	.B(n_3545),
+	.Y(n_4219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002021 (
+	.A(n_3538),
+	.B(n_35339),
+	.Y(n_4218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002022 (
+	.A(n_3538),
+	.B(n_3539),
+	.Y(n_4217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002023 (
+	.A(n_3312),
+	.B(n_3558),
+	.Y(n_4216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002024 (
+	.A(n_3302),
+	.B(n_3540),
+	.Y(n_4215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002025 (
+	.A(n_3302),
+	.B(n_3545),
+	.Y(n_4214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002026 (
+	.A(n_3538),
+	.B(n_3544),
+	.Y(n_4213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002027 (
+	.A(n_3310),
+	.B(n_35339),
+	.Y(n_4212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002028 (
+	.A(n_35335),
+	.B(n_3305),
+	.Y(n_4211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002029 (
+	.A(n_3547),
+	.B(n_3305),
+	.Y(n_4210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002030 (
+	.A(n_3543),
+	.B(n_3305),
+	.Y(n_4209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002031 (
+	.A(n_3557),
+	.B(n_3305),
+	.Y(n_4208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002032 (
+	.A(n_3300),
+	.B(n_3556),
+	.Y(n_4207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002033 (
+	.A(n_3299),
+	.B(n_3558),
+	.Y(n_4206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002034 (
+	.A(n_3299),
+	.B(n_3545),
+	.Y(n_4205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002035 (
+	.A(n_3299),
+	.B(n_35338),
+	.Y(n_4204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002036 (
+	.A(n_3300),
+	.B(n_3558),
+	.Y(n_4203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002037 (
+	.A(n_3300),
+	.B(n_35338),
+	.Y(n_4202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002038 (
+	.A(n_3299),
+	.B(n_3539),
+	.Y(n_4201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002039 (
+	.A(n_3300),
+	.B(n_35339),
+	.Y(n_4200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002040 (
+	.A(n_3300),
+	.B(n_3545),
+	.Y(n_4199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002041 (
+	.A(n_3299),
+	.B(n_3552),
+	.Y(n_4198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002042 (
+	.A(n_3299),
+	.B(n_3556),
+	.Y(n_4197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002043 (
+	.A(n_3300),
+	.B(n_3552),
+	.Y(n_4196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002044 (
+	.A(n_3300),
+	.B(n_3539),
+	.Y(n_4195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002045 (
+	.A(n_3299),
+	.B(n_35339),
+	.Y(n_4194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002046 (
+	.A(n_3543),
+	.B(n_3554),
+	.Y(n_4193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002047 (
+	.A(n_35335),
+	.B(n_3554),
+	.Y(n_4192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002048 (
+	.A(n_3557),
+	.B(n_3554),
+	.Y(n_4191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002049 (
+	.A(n_3547),
+	.B(n_3554),
+	.Y(n_4190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002050 (
+	.A(n_3312),
+	.B(n_3540),
+	.Y(n_4189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002051 (
+	.A(n_3548),
+	.B(n_3305),
+	.Y(n_4188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002052 (
+	.A(n_3310),
+	.B(n_3540),
+	.Y(n_4187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002053 (
+	.A(n_3302),
+	.B(n_3550),
+	.Y(n_4186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002054 (
+	.A(n_3304),
+	.B(n_35339),
+	.Y(n_4185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002055 (
+	.A(n_3304),
+	.B(n_35338),
+	.Y(n_4184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002056 (
+	.A(n_3303),
+	.B(n_3558),
+	.Y(n_4183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002057 (
+	.A(n_3304),
+	.B(n_3556),
+	.Y(n_4182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002058 (
+	.A(n_3303),
+	.B(n_3545),
+	.Y(n_4181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002059 (
+	.A(n_3303),
+	.B(n_3539),
+	.Y(n_4180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002060 (
+	.A(n_3304),
+	.B(n_3545),
+	.Y(n_4179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002061 (
+	.A(n_3303),
+	.B(n_3552),
+	.Y(n_4178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002062 (
+	.A(n_3303),
+	.B(n_3556),
+	.Y(n_4177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002063 (
+	.A(n_3304),
+	.B(n_3558),
+	.Y(n_4176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002064 (
+	.A(n_3304),
+	.B(n_3539),
+	.Y(n_4175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002065 (
+	.A(n_3304),
+	.B(n_3552),
+	.Y(n_4174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002066 (
+	.A(n_3303),
+	.B(n_35339),
+	.Y(n_4173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002067 (
+	.A(n_3303),
+	.B(n_35338),
+	.Y(n_4172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002068 (
+	.A(n_3301),
+	.B(n_3558),
+	.Y(n_4171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002069 (
+	.A(n_3311),
+	.B(n_3552),
+	.Y(n_4170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002070 (
+	.A(n_3543),
+	.B(n_3541),
+	.Y(n_4169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002071 (
+	.A(n_3301),
+	.B(n_3545),
+	.Y(n_4168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002072 (
+	.A(n_3548),
+	.B(n_3554),
+	.Y(n_4167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002073 (
+	.A(n_3302),
+	.B(n_3541),
+	.Y(n_4166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002074 (
+	.A(n_3299),
+	.B(n_3544),
+	.Y(n_4165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002075 (
+	.A(n_3300),
+	.B(n_3544),
+	.Y(n_4164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002076 (
+	.A(n_3304),
+	.B(n_3544),
+	.Y(n_4163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002077 (
+	.A(n_3303),
+	.B(n_3544),
+	.Y(n_4162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002078 (
+	.A(n_3309),
+	.B(n_3540),
+	.Y(n_4161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002079 (
+	.A(n_3301),
+	.B(n_3540),
+	.Y(n_4160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002080 (
+	.A(n_3538),
+	.B(n_3305),
+	.Y(n_4159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002081 (
+	.A(n_3311),
+	.B(n_3540),
+	.Y(n_4158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002082 (
+	.A(n_3312),
+	.B(n_3539),
+	.Y(n_4157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002083 (
+	.A(n_3311),
+	.B(n_3539),
+	.Y(n_4156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002084 (
+	.A(n_3312),
+	.B(n_35338),
+	.Y(n_4155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002085 (
+	.A(n_35335),
+	.B(n_3541),
+	.Y(n_4154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002086 (
+	.A(n_3301),
+	.B(n_3539),
+	.Y(n_4153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002087 (
+	.A(n_3299),
+	.B(n_3540),
+	.Y(n_4152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002088 (
+	.A(n_3301),
+	.B(n_35339),
+	.Y(n_4151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002089 (
+	.A(n_3311),
+	.B(n_3558),
+	.Y(n_4150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002090 (
+	.A(n_3301),
+	.B(n_3556),
+	.Y(n_4149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002091 (
+	.A(n_3309),
+	.B(n_3558),
+	.Y(n_4148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002092 (
+	.A(n_3547),
+	.B(n_3546),
+	.Y(n_4147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002093 (
+	.A(n_3557),
+	.B(n_3546),
+	.Y(n_4146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002094 (
+	.A(n_3557),
+	.B(n_3553),
+	.Y(n_4145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002095 (
+	.A(n_3312),
+	.B(n_3556),
+	.Y(n_4144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002096 (
+	.A(n_3311),
+	.B(n_3556),
+	.Y(n_4143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002097 (
+	.A(n_3312),
+	.B(n_3552),
+	.Y(n_4142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002098 (
+	.A(n_3303),
+	.B(n_3540),
+	.Y(n_4141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002099 (
+	.A(n_35335),
+	.B(n_3546),
+	.Y(n_4140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002100 (
+	.A(n_35335),
+	.B(n_3553),
+	.Y(n_4139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002101 (
+	.A(n_3543),
+	.B(n_3546),
+	.Y(n_4138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002102 (
+	.A(n_3543),
+	.B(n_3550),
+	.Y(n_4137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002103 (
+	.A(n_3543),
+	.B(n_3540),
+	.Y(n_4136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002104 (
+	.A(n_3557),
+	.B(n_3540),
+	.Y(n_4135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002105 (
+	.A(n_3547),
+	.B(n_3542),
+	.Y(n_4134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002106 (
+	.A(n_3557),
+	.B(n_3542),
+	.Y(n_4133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002107 (
+	.A(n_3312),
+	.B(n_3545),
+	.Y(n_4132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002108 (
+	.A(n_3310),
+	.B(n_3539),
+	.Y(n_4131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002109 (
+	.A(n_35335),
+	.B(n_3542),
+	.Y(n_4130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002110 (
+	.A(n_3543),
+	.B(n_3542),
+	.Y(n_4129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002111 (
+	.A(n_3311),
+	.B(n_35338),
+	.Y(n_4128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002112 (
+	.A(n_3310),
+	.B(n_3545),
+	.Y(n_4127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002113 (
+	.A(n_3310),
+	.B(n_35338),
+	.Y(n_4126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002114 (
+	.A(n_3543),
+	.B(n_3553),
+	.Y(n_4125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002115 (
+	.A(n_3557),
+	.B(n_3541),
+	.Y(n_4124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002116 (
+	.A(n_3547),
+	.B(n_3541),
+	.Y(n_4123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002117 (
+	.A(n_3309),
+	.B(n_3545),
+	.Y(n_4122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002118 (
+	.A(n_3557),
+	.B(n_3550),
+	.Y(n_4121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002119 (
+	.A(n_3302),
+	.B(n_35338),
+	.Y(n_4120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002120 (
+	.A(n_3547),
+	.B(n_3553),
+	.Y(n_4119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002121 (
+	.A(n_3301),
+	.B(n_35338),
+	.Y(n_4118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002122 (
+	.A(n_3310),
+	.B(n_3556),
+	.Y(n_4117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002123 (
+	.A(n_3302),
+	.B(n_3556),
+	.Y(n_4116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002124 (
+	.A(n_3309),
+	.B(n_3552),
+	.Y(n_4115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002125 (
+	.A(n_3311),
+	.B(n_3545),
+	.Y(n_4114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002126 (
+	.A(n_3309),
+	.B(n_3556),
+	.Y(n_4113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002128 (
+	.A(n_3582),
+	.B(n_1303),
+	.Y(n_4112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1002129 (
+	.A(n_3559),
+	.B(n_2156),
+	.X(n_4110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g1002130 (
+	.A(n_35364),
+	.B(n_87131),
+	.X(n_4109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1002131 (
+	.A1(n_2797),
+	.A2(n_3001),
+	.B1(n_1272),
+	.X(n_4107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1002132 (
+	.A(n_3559),
+	.B(n_3000),
+	.X(n_4106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1002133 (
+	.A(n_3559),
+	.B(n_2160),
+	.X(n_4105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1002134 (
+	.A(n_3559),
+	.B(n_2150),
+	.X(n_4104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1002135 (
+	.A(n_3559),
+	.B(n_1248),
+	.X(n_4103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1002136 (
+	.A(n_3559),
+	.B(n_2157),
+	.X(n_4102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1002137 (
+	.A(n_3593),
+	.B(n_35364),
+	.Y(n_4101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1002138 (
+	.A(n_87131),
+	.B(n_35364),
+	.Y(n_4099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1002139 (
+	.A(n_87131),
+	.B(n_2153),
+	.Y(n_4098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g1002140 (
+	.A_N(n_3324),
+	.B(n_112),
+	.X(n_4096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g1002141 (
+	.A_N(n_3324),
+	.B(n_3006),
+	.X(n_4094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g1002142 (
+	.A(n_1506),
+	.B(n_3294),
+	.X(n_4092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g1002143 (
+	.A(n_3563),
+	.B(n_3004),
+	.X(n_4091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1002146 (
+	.A(n_3997),
+	.Y(n_3998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1002147 (
+	.A(n_3995),
+	.Y(n_3996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1002149 (
+	.A(n_3991),
+	.Y(n_3992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1002152 (
+	.A(n_3977),
+	.Y(n_3978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1002153 (
+	.A(n_3974),
+	.Y(n_3975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1002156 (
+	.A(n_3966),
+	.Y(n_3967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1002157 (
+	.A(n_3965),
+	.Y(n_3964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1002158 (
+	.A(n_3959),
+	.Y(n_3958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1002159 (
+	.A(n_3957),
+	.Y(n_3956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1002160 (
+	.A(n_3920),
+	.Y(n_3919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1002161 (
+	.A(n_35360),
+	.Y(n_3857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002163 (
+	.A1(n_2993),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [0]),
+	.B1(n_2556),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [0]),
+	.X(n_3847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1002164 (
+	.A(n_1558),
+	.B(n_2904),
+	.Y(n_3846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1002165 (
+	.A(n_1575),
+	.B(n_2873),
+	.X(n_3845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1002166 (
+	.A_N(n_3240),
+	.B(n_1487),
+	.C(n_2398),
+	.D(n_1611),
+	.Y(n_3844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002167 (
+	.A(n_3585),
+	.B(soc_top_u_uart_u_uart_core_rx_buffer_size[7]),
+	.Y(n_3843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1002168 (
+	.A(n_2903),
+	.B(n_1638),
+	.X(n_3842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g1002169 (
+	.A1(n_29546),
+	.A2(n_97),
+	.A3(n_123),
+	.B1(n_3568),
+	.Y(n_3841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a311o_1 g1002171 (
+	.A1(n_1197),
+	.A2(soc_top_u_top_u_core_alu_adder_result_ex[1]),
+	.A3(n_72622),
+	.B1(n_1574),
+	.C1(n_75112),
+	.X(n_3839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1002172 (
+	.A(n_35396),
+	.B(n_3112),
+	.Y(n_3838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_2 g1002173 (
+	.A1(n_106),
+	.A2(soc_top_u_pwm_pwm_core_period_2[6]),
+	.B1(n_702),
+	.C1(n_2691),
+	.X(n_3837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1002174 (
+	.A1(n_1470),
+	.A2(n_2701),
+	.B1(n_29459),
+	.Y(n_3836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o41ai_1 g1002175 (
+	.A1(soc_top_u_top_u_core_fp_alu_operator[0]),
+	.A2(n_1509),
+	.A3(n_2303),
+	.A4(n_73781),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_unit_done ),
+	.Y(n_3835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g1002176 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_unit_ready ),
+	.A2(n_1573),
+	.B1(n_36),
+	.C1(n_559),
+	.D1(n_37409),
+	.Y(n_3834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1002177 (
+	.A(n_1583),
+	.B(n_2902),
+	.X(n_3833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1002178 (
+	.A0(n_3068),
+	.A1(n_839),
+	.S(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.Y(n_3832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_1 g1002179 (
+	.A(n_29448),
+	.B(n_13396),
+	.C_N(n_3594),
+	.X(n_3831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1002180 (
+	.A1_N(n_84188),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [44]),
+	.B1(n_75194),
+	.B2(n_84188),
+	.Y(n_3830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g1002181 (
+	.A1(n_131),
+	.A2(n_29507),
+	.B1(n_3053),
+	.C1(n_3051),
+	.D1(n_2031),
+	.Y(n_3829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1002182 (
+	.A1(n_2188),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[1] [11]),
+	.B1(n_2184),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [8]),
+	.C1(n_3241),
+	.Y(n_3828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1002183 (
+	.A1(n_2203),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[1] [19]),
+	.B1(n_2186),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [20]),
+	.C1(n_3164),
+	.X(n_3827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1002184 (
+	.A1(n_2181),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[1] [0]),
+	.B1(n_2194),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [1]),
+	.C1(n_3238),
+	.Y(n_3826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1002185 (
+	.A1(n_2188),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[1] [27]),
+	.B1(n_2196),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [28]),
+	.C1(n_3167),
+	.X(n_3825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1002186 (
+	.A1_N(n_84188),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [52]),
+	.B1(n_84188),
+	.B2(n_75192),
+	.Y(n_3824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1002187 (
+	.A_N(soc_top_u_pwm_pwm_core_DC_2[8]),
+	.B(n_2689),
+	.C(soc_top_u_pwm_pwm_core_period_counter2[8]),
+	.Y(n_3823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1002188 (
+	.A(n_1342),
+	.B(n_2887),
+	.X(n_3822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1002189 (
+	.A1_N(n_84188),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [51]),
+	.B1(n_84188),
+	.B2(n_75197),
+	.Y(n_3821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1002190 (
+	.A1_N(n_84188),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [50]),
+	.B1(n_84188),
+	.B2(n_75209),
+	.Y(n_3820), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4bb_1 g1002191 (
+	.A(n_29322),
+	.B(n_1589),
+	.C_N(n_29325),
+	.D_N(n_2702),
+	.Y(n_3819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4bb_1 g1002192 (
+	.A(n_1594),
+	.B(n_3044),
+	.C_N(n_29321),
+	.D_N(n_2296),
+	.X(n_3818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002193 (
+	.A1(n_35364),
+	.A2(n_2618),
+	.B1(n_3055),
+	.Y(n_3817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1002194 (
+	.A1_N(n_84188),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [49]),
+	.B1(n_84188),
+	.B2(n_75200),
+	.Y(n_3816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1002195 (
+	.A1(n_2755),
+	.A2(n_1278),
+	.B1(n_3370),
+	.X(n_3815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g1002196 (
+	.A(n_29301),
+	.B(n_2341),
+	.C(n_2212),
+	.X(n_3814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g1002197 (
+	.A(n_1278),
+	.B(soc_top_u_top_u_core_fp_src_fmt[0]),
+	.C(soc_top_u_top_u_core_is_fp_instr),
+	.D(n_2278),
+	.Y(n_3813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1002198 (
+	.A1_N(n_84188),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [48]),
+	.B1(n_84188),
+	.B2(n_75195),
+	.Y(n_3812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g1002199 (
+	.A(\soc_top_u_top_u_core_fp_operands[2] [2]),
+	.B(\soc_top_u_top_u_core_fp_operands[2] [10]),
+	.C(n_34996),
+	.D(n_1689),
+	.Y(n_3811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1002200 (
+	.A1_N(n_84188),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [47]),
+	.B1(n_75189),
+	.B2(n_84188),
+	.Y(n_3810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002201 (
+	.A1(n_29745),
+	.A2(n_3003),
+	.B1(soc_top_u_uart_u_uart_core_tx_fifo_reset),
+	.Y(n_3809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1002202 (
+	.A1_N(n_84188),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [46]),
+	.B1(n_86472),
+	.B2(n_84188),
+	.Y(n_3808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1002203 (
+	.A1(n_2634),
+	.A2(soc_top_system_rst_ni),
+	.B1(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [1]),
+	.X(n_3807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1002204 (
+	.A1(n_3049),
+	.A2(soc_top_system_rst_ni),
+	.B1(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [1]),
+	.X(n_3806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1002205 (
+	.A1(n_2171),
+	.A2(\soc_top_intr_controller_reg2hw[prio10][q] [0]),
+	.B1(n_1503),
+	.B2(\soc_top_intr_controller_reg2hw[prio7][q] [0]),
+	.C1(n_3247),
+	.Y(n_3805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g1002206 (
+	.A1(n_29302),
+	.A2(n_3036),
+	.B1_N(n_1892),
+	.Y(n_3804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1002207 (
+	.A0(n_2356),
+	.A1(\soc_top_timer_to_xbar[d_data] [0]),
+	.S(n_2129),
+	.Y(n_3803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002208 (
+	.A1(n_1502),
+	.A2(n_3031),
+	.B1(soc_top_u_uart_u_uart_core_rx_fifo_rst),
+	.Y(n_3802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1002209 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [3]),
+	.A2(n_2633),
+	.B1(n_35364),
+	.X(n_3801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002210 (
+	.A1(n_3016),
+	.A2(n_2149),
+	.B1(n_3160),
+	.Y(n_3800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002211 (
+	.A1(n_3016),
+	.A2(n_2151),
+	.B1(n_3159),
+	.Y(n_3799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002212 (
+	.A1(n_3016),
+	.A2(n_1247),
+	.B1(n_3132),
+	.Y(n_3798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1002213 (
+	.A1_N(n_84188),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [45]),
+	.B1(n_75190),
+	.B2(n_84188),
+	.Y(n_3797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1002214 (
+	.A(n_2889),
+	.B(n_1639),
+	.Y(n_3796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002215 (
+	.A1(n_2164),
+	.A2(n_3031),
+	.B1(soc_top_u_uart_u_uart_core_rx_fifo_clr),
+	.Y(n_3795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1002216 (
+	.A1(n_2312),
+	.A2(n_30),
+	.B1(n_1525),
+	.B2(soc_top_u_top_u_core_multdiv_operator_ex[1]),
+	.C1(n_1302),
+	.X(n_3794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002217 (
+	.A1(soc_top_u_iccm_rdata2[22]),
+	.A2(n_2143),
+	.B1(soc_top_u_iccm_rdata3[22]),
+	.B2(n_2144),
+	.C1(n_2142),
+	.C2(soc_top_u_iccm_rdata4[22]),
+	.Y(n_3793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g1002218 (
+	.A(n_29419),
+	.B(n_2686),
+	.C(n_29764),
+	.Y(n_3792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002219 (
+	.A1(soc_top_u_top_u_core_pc_wb[9]),
+	.A2(n_2140),
+	.B1(soc_top_u_top_u_core_pc_if[9]),
+	.B2(n_2139),
+	.C1(n_2998),
+	.C2(soc_top_u_top_u_core_pc_id[9]),
+	.Y(n_3791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002220 (
+	.A1(soc_top_u_top_u_core_pc_wb[20]),
+	.A2(n_2140),
+	.B1(soc_top_u_top_u_core_pc_if[20]),
+	.B2(n_2139),
+	.C1(n_2998),
+	.C2(soc_top_u_top_u_core_pc_id[20]),
+	.Y(n_3790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1002221 (
+	.A1_N(n_84188),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [43]),
+	.B1(n_75191),
+	.B2(n_84188),
+	.Y(n_3789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002222 (
+	.A1(soc_top_u_top_u_core_pc_wb[8]),
+	.A2(n_2140),
+	.B1(soc_top_u_top_u_core_pc_if[8]),
+	.B2(n_2139),
+	.C1(n_2998),
+	.C2(soc_top_u_top_u_core_pc_id[8]),
+	.Y(n_3788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002223 (
+	.A1(soc_top_u_top_u_core_pc_wb[30]),
+	.A2(n_2140),
+	.B1(soc_top_u_top_u_core_pc_if[30]),
+	.B2(n_2139),
+	.C1(n_2998),
+	.C2(soc_top_u_top_u_core_pc_id[30]),
+	.Y(n_3787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002224 (
+	.A1(soc_top_u_top_u_core_pc_wb[1]),
+	.A2(n_2140),
+	.B1(soc_top_u_top_u_core_pc_if[1]),
+	.B2(n_2139),
+	.C1(n_2998),
+	.C2(soc_top_u_top_u_core_pc_id[1]),
+	.Y(n_3786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002225 (
+	.A1(soc_top_u_top_u_core_pc_wb[29]),
+	.A2(n_2140),
+	.B1(soc_top_u_top_u_core_pc_if[29]),
+	.B2(n_2139),
+	.C1(n_2998),
+	.C2(soc_top_u_top_u_core_pc_id[29]),
+	.Y(n_3785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002226 (
+	.A1(soc_top_u_top_u_core_pc_wb[28]),
+	.A2(n_2140),
+	.B1(soc_top_u_top_u_core_pc_if[28]),
+	.B2(n_2139),
+	.C1(n_2998),
+	.C2(soc_top_u_top_u_core_pc_id[28]),
+	.Y(n_3784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002227 (
+	.A1(soc_top_u_top_u_core_pc_wb[27]),
+	.A2(n_2140),
+	.B1(soc_top_u_top_u_core_pc_if[27]),
+	.B2(n_2139),
+	.C1(n_2998),
+	.C2(soc_top_u_top_u_core_pc_id[27]),
+	.Y(n_3783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002228 (
+	.A1(soc_top_u_top_u_core_pc_wb[26]),
+	.A2(n_2140),
+	.B1(soc_top_u_top_u_core_pc_if[26]),
+	.B2(n_2139),
+	.C1(n_2998),
+	.C2(soc_top_u_top_u_core_pc_id[26]),
+	.Y(n_3782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002229 (
+	.A1(soc_top_u_iccm_rdata2[2]),
+	.A2(n_2143),
+	.B1(soc_top_u_iccm_rdata3[2]),
+	.B2(n_2144),
+	.C1(n_2142),
+	.C2(soc_top_u_iccm_rdata4[2]),
+	.Y(n_3781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002230 (
+	.A1(soc_top_u_iccm_rdata2[3]),
+	.A2(n_2143),
+	.B1(soc_top_u_iccm_rdata3[3]),
+	.B2(n_2144),
+	.C1(n_2142),
+	.C2(soc_top_u_iccm_rdata4[3]),
+	.Y(n_3780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002231 (
+	.A1(soc_top_u_iccm_rdata2[4]),
+	.A2(n_2143),
+	.B1(soc_top_u_iccm_rdata3[4]),
+	.B2(n_2144),
+	.C1(n_2142),
+	.C2(soc_top_u_iccm_rdata4[4]),
+	.Y(n_3779), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002232 (
+	.A1(soc_top_u_iccm_rdata2[5]),
+	.A2(n_2143),
+	.B1(soc_top_u_iccm_rdata3[5]),
+	.B2(n_2144),
+	.C1(n_2142),
+	.C2(soc_top_u_iccm_rdata4[5]),
+	.Y(n_3778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002233 (
+	.A1(soc_top_u_iccm_rdata2[7]),
+	.A2(n_2143),
+	.B1(soc_top_u_iccm_rdata3[7]),
+	.B2(n_2144),
+	.C1(n_2142),
+	.C2(soc_top_u_iccm_rdata4[7]),
+	.Y(n_3777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002234 (
+	.A1(soc_top_u_iccm_rdata2[8]),
+	.A2(n_2143),
+	.B1(soc_top_u_iccm_rdata3[8]),
+	.B2(n_2144),
+	.C1(n_2142),
+	.C2(soc_top_u_iccm_rdata4[8]),
+	.Y(n_3776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002235 (
+	.A1(soc_top_u_iccm_rdata2[9]),
+	.A2(n_2143),
+	.B1(soc_top_u_iccm_rdata3[9]),
+	.B2(n_2144),
+	.C1(n_2142),
+	.C2(soc_top_u_iccm_rdata4[9]),
+	.Y(n_3775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002236 (
+	.A1(soc_top_u_iccm_rdata2[11]),
+	.A2(n_2143),
+	.B1(soc_top_u_iccm_rdata3[11]),
+	.B2(n_2144),
+	.C1(n_2142),
+	.C2(soc_top_u_iccm_rdata4[11]),
+	.Y(n_3774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002237 (
+	.A1(soc_top_u_iccm_rdata2[15]),
+	.A2(n_2143),
+	.B1(soc_top_u_iccm_rdata3[15]),
+	.B2(n_2144),
+	.C1(n_2142),
+	.C2(soc_top_u_iccm_rdata4[15]),
+	.Y(n_3773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002238 (
+	.A1(soc_top_u_iccm_rdata2[18]),
+	.A2(n_2143),
+	.B1(soc_top_u_iccm_rdata3[18]),
+	.B2(n_2144),
+	.C1(n_2142),
+	.C2(soc_top_u_iccm_rdata4[18]),
+	.Y(n_3772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002239 (
+	.A1(soc_top_u_iccm_rdata2[19]),
+	.A2(n_2143),
+	.B1(soc_top_u_iccm_rdata3[19]),
+	.B2(n_2144),
+	.C1(n_2142),
+	.C2(soc_top_u_iccm_rdata4[19]),
+	.Y(n_3771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002240 (
+	.A1(soc_top_u_iccm_rdata2[20]),
+	.A2(n_2143),
+	.B1(soc_top_u_iccm_rdata3[20]),
+	.B2(n_2144),
+	.C1(n_2142),
+	.C2(soc_top_u_iccm_rdata4[20]),
+	.Y(n_3770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002241 (
+	.A1(soc_top_u_iccm_rdata2[21]),
+	.A2(n_2143),
+	.B1(soc_top_u_iccm_rdata3[21]),
+	.B2(n_2144),
+	.C1(n_2142),
+	.C2(soc_top_u_iccm_rdata4[21]),
+	.Y(n_3769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002242 (
+	.A1(soc_top_u_iccm_rdata2[23]),
+	.A2(n_2143),
+	.B1(soc_top_u_iccm_rdata3[23]),
+	.B2(n_2144),
+	.C1(n_2142),
+	.C2(soc_top_u_iccm_rdata4[23]),
+	.Y(n_3768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002243 (
+	.A1(soc_top_u_iccm_rdata2[24]),
+	.A2(n_2143),
+	.B1(soc_top_u_iccm_rdata3[24]),
+	.B2(n_2144),
+	.C1(n_2142),
+	.C2(soc_top_u_iccm_rdata4[24]),
+	.Y(n_3767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002244 (
+	.A1(soc_top_u_iccm_rdata2[25]),
+	.A2(n_2143),
+	.B1(soc_top_u_iccm_rdata3[25]),
+	.B2(n_2144),
+	.C1(n_2142),
+	.C2(soc_top_u_iccm_rdata4[25]),
+	.Y(n_3766), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002245 (
+	.A1(soc_top_u_iccm_rdata2[26]),
+	.A2(n_2143),
+	.B1(soc_top_u_iccm_rdata3[26]),
+	.B2(n_2144),
+	.C1(n_2142),
+	.C2(soc_top_u_iccm_rdata4[26]),
+	.Y(n_3765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002246 (
+	.A1(soc_top_u_iccm_rdata2[27]),
+	.A2(n_2143),
+	.B1(soc_top_u_iccm_rdata3[27]),
+	.B2(n_2144),
+	.C1(n_2142),
+	.C2(soc_top_u_iccm_rdata4[27]),
+	.Y(n_3764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002247 (
+	.A1(soc_top_u_iccm_rdata2[29]),
+	.A2(n_2143),
+	.B1(soc_top_u_iccm_rdata3[29]),
+	.B2(n_2144),
+	.C1(n_2142),
+	.C2(soc_top_u_iccm_rdata4[29]),
+	.Y(n_3763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002248 (
+	.A1(soc_top_u_iccm_rdata4[30]),
+	.A2(n_2142),
+	.B1(soc_top_u_iccm_rdata2[30]),
+	.B2(n_2143),
+	.C1(n_2144),
+	.C2(soc_top_u_iccm_rdata3[30]),
+	.Y(n_3762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002249 (
+	.A1(soc_top_u_iccm_rdata4[31]),
+	.A2(n_2142),
+	.B1(soc_top_u_iccm_rdata2[31]),
+	.B2(n_2143),
+	.C1(n_2144),
+	.C2(soc_top_u_iccm_rdata3[31]),
+	.Y(n_3761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002250 (
+	.A1(soc_top_u_top_u_core_pc_wb[22]),
+	.A2(n_2140),
+	.B1(soc_top_u_top_u_core_pc_if[22]),
+	.B2(n_2139),
+	.C1(n_2998),
+	.C2(soc_top_u_top_u_core_pc_id[22]),
+	.Y(n_3760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002251 (
+	.A1(soc_top_u_top_u_core_pc_wb[19]),
+	.A2(n_2140),
+	.B1(soc_top_u_top_u_core_pc_if[19]),
+	.B2(n_2139),
+	.C1(n_2998),
+	.C2(soc_top_u_top_u_core_pc_id[19]),
+	.Y(n_3759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002252 (
+	.A1(soc_top_u_top_u_core_pc_wb[11]),
+	.A2(n_2140),
+	.B1(soc_top_u_top_u_core_pc_if[11]),
+	.B2(n_2139),
+	.C1(n_2998),
+	.C2(soc_top_u_top_u_core_pc_id[11]),
+	.Y(n_3758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002253 (
+	.A1(soc_top_u_top_u_core_pc_wb[23]),
+	.A2(n_2140),
+	.B1(soc_top_u_top_u_core_pc_if[23]),
+	.B2(n_2139),
+	.C1(n_2998),
+	.C2(soc_top_u_top_u_core_pc_id[23]),
+	.Y(n_3757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4bb_1 g1002254 (
+	.A_N(n_2286),
+	.B_N(n_29411),
+	.C(n_2700),
+	.D(n_29397),
+	.Y(n_3756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1002255 (
+	.A_N(n_29792),
+	.B(n_69414),
+	.C(soc_top_u_top_u_core_load_store_unit_i_handle_misaligned_q),
+	.Y(n_3755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1002256 (
+	.A1_N(n_84188),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [42]),
+	.B1(n_75196),
+	.B2(n_84188),
+	.Y(n_3754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002257 (
+	.A1(soc_top_u_top_u_core_pc_wb[31]),
+	.A2(n_2140),
+	.B1(soc_top_u_top_u_core_pc_if[31]),
+	.B2(n_2139),
+	.C1(n_2998),
+	.C2(soc_top_u_top_u_core_pc_id[31]),
+	.Y(n_3753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1002258 (
+	.A1_N(n_84188),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [41]),
+	.B1(n_75207),
+	.B2(n_84188),
+	.Y(n_3752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002259 (
+	.A1(soc_top_u_top_u_core_pc_wb[25]),
+	.A2(n_2140),
+	.B1(soc_top_u_top_u_core_pc_if[25]),
+	.B2(n_2139),
+	.C1(n_2998),
+	.C2(soc_top_u_top_u_core_pc_id[25]),
+	.Y(n_3751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002260 (
+	.A1(soc_top_u_top_u_core_pc_wb[18]),
+	.A2(n_2140),
+	.B1(soc_top_u_top_u_core_pc_if[18]),
+	.B2(n_2139),
+	.C1(n_2998),
+	.C2(soc_top_u_top_u_core_pc_id[18]),
+	.Y(n_3750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002261 (
+	.A1(soc_top_u_iccm_rdata2[6]),
+	.A2(n_2143),
+	.B1(soc_top_u_iccm_rdata3[6]),
+	.B2(n_2144),
+	.C1(n_2142),
+	.C2(soc_top_u_iccm_rdata4[6]),
+	.Y(n_3749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002262 (
+	.A1(soc_top_u_top_u_core_pc_wb[16]),
+	.A2(n_2140),
+	.B1(soc_top_u_top_u_core_pc_if[16]),
+	.B2(n_2139),
+	.C1(n_2998),
+	.C2(soc_top_u_top_u_core_pc_id[16]),
+	.Y(n_3748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002263 (
+	.A1(soc_top_u_top_u_core_pc_wb[24]),
+	.A2(n_2140),
+	.B1(soc_top_u_top_u_core_pc_if[24]),
+	.B2(n_2139),
+	.C1(n_2998),
+	.C2(soc_top_u_top_u_core_pc_id[24]),
+	.Y(n_3747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1002264 (
+	.A1_N(n_84188),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [40]),
+	.B1(n_75204),
+	.B2(n_84188),
+	.Y(n_3746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002265 (
+	.A1(soc_top_u_top_u_core_pc_wb[15]),
+	.A2(n_2140),
+	.B1(soc_top_u_top_u_core_pc_if[15]),
+	.B2(n_2139),
+	.C1(n_2998),
+	.C2(soc_top_u_top_u_core_pc_id[15]),
+	.Y(n_3745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002266 (
+	.A1(soc_top_u_top_u_core_pc_wb[4]),
+	.A2(n_2140),
+	.B1(soc_top_u_top_u_core_pc_if[4]),
+	.B2(n_2139),
+	.C1(n_2998),
+	.C2(soc_top_u_top_u_core_pc_id[4]),
+	.Y(n_3744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002267 (
+	.A1(soc_top_u_top_u_core_pc_wb[10]),
+	.A2(n_2140),
+	.B1(soc_top_u_top_u_core_pc_if[10]),
+	.B2(n_2139),
+	.C1(n_2998),
+	.C2(soc_top_u_top_u_core_pc_id[10]),
+	.Y(n_3743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1002268 (
+	.A(n_3569),
+	.B(n_2698),
+	.C(soc_top_system_rst_ni),
+	.Y(n_3742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1002269 (
+	.A(n_1337),
+	.B(n_1642),
+	.Y(n_3741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002270 (
+	.A1(soc_top_u_top_u_core_pc_wb[2]),
+	.A2(n_2140),
+	.B1(soc_top_u_top_u_core_pc_if[2]),
+	.B2(n_2139),
+	.C1(n_2998),
+	.C2(soc_top_u_top_u_core_pc_id[2]),
+	.Y(n_3740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002271 (
+	.A1(soc_top_u_top_u_core_pc_wb[5]),
+	.A2(n_2140),
+	.B1(soc_top_u_top_u_core_pc_if[5]),
+	.B2(n_2139),
+	.C1(n_2998),
+	.C2(soc_top_u_top_u_core_pc_id[5]),
+	.Y(n_3739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002272 (
+	.A1(soc_top_u_top_u_core_pc_wb[6]),
+	.A2(n_2140),
+	.B1(soc_top_u_top_u_core_pc_if[6]),
+	.B2(n_2139),
+	.C1(n_2998),
+	.C2(soc_top_u_top_u_core_pc_id[6]),
+	.Y(n_3738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002273 (
+	.A1(soc_top_u_top_u_core_pc_wb[14]),
+	.A2(n_2140),
+	.B1(soc_top_u_top_u_core_pc_if[14]),
+	.B2(n_2139),
+	.C1(n_2998),
+	.C2(soc_top_u_top_u_core_pc_id[14]),
+	.Y(n_3737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002274 (
+	.A1(soc_top_u_top_u_core_pc_wb[21]),
+	.A2(n_2140),
+	.B1(soc_top_u_top_u_core_pc_if[21]),
+	.B2(n_2139),
+	.C1(n_2998),
+	.C2(soc_top_u_top_u_core_pc_id[21]),
+	.Y(n_3736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1002275 (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [39]),
+	.A2_N(n_84188),
+	.B1(n_75193),
+	.B2(n_84188),
+	.Y(n_3735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002276 (
+	.A1(soc_top_u_top_u_core_pc_wb[13]),
+	.A2(n_2140),
+	.B1(soc_top_u_top_u_core_pc_if[13]),
+	.B2(n_2139),
+	.C1(n_2998),
+	.C2(soc_top_u_top_u_core_pc_id[13]),
+	.Y(n_3734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g1002277 (
+	.A(n_2348),
+	.B(n_29594),
+	.C(n_29595),
+	.X(n_3733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002278 (
+	.A1(soc_top_u_top_u_core_pc_wb[12]),
+	.A2(n_2140),
+	.B1(soc_top_u_top_u_core_pc_if[12]),
+	.B2(n_2139),
+	.C1(n_2998),
+	.C2(soc_top_u_top_u_core_pc_id[12]),
+	.Y(n_3732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1002279 (
+	.A1_N(n_84188),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [38]),
+	.B1(n_75205),
+	.B2(n_84188),
+	.Y(n_3731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1002280 (
+	.A(n_1341),
+	.B(n_2890),
+	.X(n_3730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1002281 (
+	.A1_N(n_84188),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [37]),
+	.B1(n_75210),
+	.B2(n_84188),
+	.Y(n_3729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1002282 (
+	.A1_N(n_84188),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [36]),
+	.B1(n_75212),
+	.B2(n_84188),
+	.Y(n_3728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1002283 (
+	.A1_N(n_84188),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [35]),
+	.B1(n_75206),
+	.B2(n_84188),
+	.Y(n_3727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002284 (
+	.A1(\soc_top_intr_controller_reg2hw[threshold0][q] [0]),
+	.A2(n_1503),
+	.B1(\soc_top_intr_controller_reg2hw[prio32][q] [0]),
+	.B2(n_2152),
+	.C1(n_1248),
+	.C2(\soc_top_intr_controller_reg2hw[prio31][q] [0]),
+	.Y(n_3726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002285 (
+	.A1(soc_top_u_top_u_core_pc_wb[7]),
+	.A2(n_2140),
+	.B1(soc_top_u_top_u_core_pc_if[7]),
+	.B2(n_2139),
+	.C1(n_2998),
+	.C2(soc_top_u_top_u_core_pc_id[7]),
+	.Y(n_3725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002286 (
+	.A1(soc_top_u_top_u_core_pc_wb[3]),
+	.A2(n_2140),
+	.B1(soc_top_u_top_u_core_pc_if[3]),
+	.B2(n_2139),
+	.C1(n_2998),
+	.C2(soc_top_u_top_u_core_pc_id[3]),
+	.Y(n_3724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4bb_1 g1002287 (
+	.A(n_1784),
+	.B(n_3345),
+	.C_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [12]),
+	.D_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [8]),
+	.X(n_3723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1002288 (
+	.A1(soc_top_u_top_u_core_pc_wb[17]),
+	.A2(n_2140),
+	.B1(soc_top_u_top_u_core_pc_if[17]),
+	.B2(n_2139),
+	.C1(n_2998),
+	.C2(soc_top_u_top_u_core_pc_id[17]),
+	.Y(n_3722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g1002289 (
+	.A1(soc_top_u_pwm_pwm_core_divisor_2[0]),
+	.A2(n_1940),
+	.B1(soc_top_u_pwm_pwm_core_divisor_2[15]),
+	.B2(n_994),
+	.Y(n_3721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g1002290 (
+	.A1(soc_top_u_pwm_pwm_core_divisor[0]),
+	.A2(n_1946),
+	.B1(soc_top_u_pwm_pwm_core_divisor[15]),
+	.B2(n_310),
+	.Y(n_3720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1002291 (
+	.A1_N(n_84188),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [34]),
+	.B1(n_75199),
+	.B2(n_84188),
+	.Y(n_3719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1002292 (
+	.A(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B(n_3020),
+	.Y(n_3718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g1002293 (
+	.A1(n_3016),
+	.A2(n_1510),
+	.B1(n_2422),
+	.B2(n_1329),
+	.Y(n_3717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1002294 (
+	.A1(n_3054),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [0]),
+	.B1(n_3576),
+	.Y(n_3716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g1002296 (
+	.A(n_1844),
+	.B(n_59630),
+	.C(n_3284),
+	.Y(n_3714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1002297 (
+	.A1_N(n_84188),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [33]),
+	.B1(n_75198),
+	.B2(n_84188),
+	.Y(n_3713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1002298 (
+	.A1_N(n_68580),
+	.A2_N(n_30044),
+	.B1(n_68580),
+	.B2(n_516),
+	.Y(n_3712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32a_1 g1002299 (
+	.A1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.A2(n_669),
+	.A3(n_684),
+	.B1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B2(n_2460),
+	.X(n_3711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1002300 (
+	.A1_N(n_84188),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [31]),
+	.B1(n_75201),
+	.B2(n_84188),
+	.Y(n_3710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1002301 (
+	.A1(n_1584),
+	.A2(soc_top_u_spi_host_spi_host_clgen_cnt[2]),
+	.B1(n_3583),
+	.X(n_3709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g1002302 (
+	.A1(n_2178),
+	.A2(n_1271),
+	.A3(n_2283),
+	.B1(n_3037),
+	.B2(n_2353),
+	.X(n_3708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g1002303 (
+	.A1_N(n_187),
+	.A2_N(n_2897),
+	.B1(soc_top_u_uart_u_uart_core_rx_done),
+	.B2(n_1275),
+	.Y(n_3707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1002304 (
+	.A1(n_3006),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [39]),
+	.B1(n_112),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [39]),
+	.Y(n_3706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1002305 (
+	.A(n_2886),
+	.B(n_1640),
+	.X(n_3705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002306 (
+	.A1(n_2555),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [26]),
+	.B1(n_2988),
+	.B2(\soc_top_GPIO_reg2hw[intr_state][q] [26]),
+	.X(n_3704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002307 (
+	.A1(n_2555),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [29]),
+	.B1(n_2988),
+	.B2(\soc_top_GPIO_reg2hw[intr_state][q] [29]),
+	.X(n_3703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002308 (
+	.A1(n_2555),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [24]),
+	.B1(n_2988),
+	.B2(\soc_top_GPIO_reg2hw[intr_state][q] [24]),
+	.X(n_3702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002309 (
+	.A1(n_3001),
+	.A2(soc_top_GPIO_cio_gpio_en_q[25]),
+	.B1(n_2991),
+	.B2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [25]),
+	.X(n_3701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002310 (
+	.A1(n_2555),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [27]),
+	.B1(n_2988),
+	.B2(\soc_top_GPIO_reg2hw[intr_state][q] [27]),
+	.X(n_3700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1002311 (
+	.A1_N(n_84188),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [48]),
+	.B1(n_75202),
+	.B2(n_84188),
+	.Y(n_3699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1002312 (
+	.A1_N(n_84188),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [42]),
+	.B1(n_75203),
+	.B2(n_84188),
+	.Y(n_3698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1002313 (
+	.A1_N(n_84188),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [36]),
+	.B1(n_549),
+	.B2(n_84188),
+	.Y(n_3697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002314 (
+	.A1(n_2993),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [15]),
+	.B1(n_2556),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [15]),
+	.X(n_3696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1002315 (
+	.A1(n_2555),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [14]),
+	.B1(n_2995),
+	.B2(soc_top_GPIO_u_reg_data_in_qs[14]),
+	.Y(n_3695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1002316 (
+	.A1(n_1952),
+	.A2(n_3075),
+	.B1(n_3076),
+	.B2(n_1591),
+	.Y(n_3694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g1002317 (
+	.A1(n_3073),
+	.A2(n_1953),
+	.B1(n_1571),
+	.B2(n_3074),
+	.X(n_3693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g1002318 (
+	.A1_N(n_1335),
+	.A2_N(n_3072),
+	.B1(n_3071),
+	.B2(n_3067),
+	.Y(n_3692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g1002319 (
+	.A1_N(n_1588),
+	.A2_N(n_3065),
+	.B1(n_3064),
+	.B2(n_3070),
+	.Y(n_3691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g1002320 (
+	.A1_N(n_3064),
+	.A2_N(n_3070),
+	.B1(n_3069),
+	.B2(n_1941),
+	.Y(n_3690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1002321 (
+	.A1(n_2555),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [15]),
+	.B1(n_2995),
+	.B2(soc_top_GPIO_u_reg_data_in_qs[15]),
+	.Y(n_3689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002322 (
+	.A1(n_2993),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [28]),
+	.B1(n_3001),
+	.B2(soc_top_GPIO_cio_gpio_en_q[28]),
+	.X(n_3688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002323 (
+	.A1(n_2993),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [4]),
+	.B1(n_2556),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [4]),
+	.X(n_3687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002324 (
+	.A1(n_2993),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [19]),
+	.B1(n_3001),
+	.B2(soc_top_GPIO_cio_gpio_en_q[19]),
+	.X(n_3686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002325 (
+	.A1(n_3000),
+	.A2(soc_top_intr_controller_u_reg_reg_rdata_next[4]),
+	.B1(n_1248),
+	.B2(\soc_top_intr_controller_reg2hw[le][36][q] ),
+	.X(n_3685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1002326 (
+	.A1(n_2555),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [4]),
+	.B1(n_2995),
+	.B2(soc_top_GPIO_u_reg_data_in_qs[4]),
+	.Y(n_3684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002327 (
+	.A1(n_2555),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [17]),
+	.B1(n_2988),
+	.B2(\soc_top_GPIO_reg2hw[intr_state][q] [17]),
+	.X(n_3683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1002328 (
+	.A1(n_2555),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [3]),
+	.B1(n_2995),
+	.B2(soc_top_GPIO_u_reg_data_in_qs[3]),
+	.Y(n_3682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002329 (
+	.A1(n_2993),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [26]),
+	.B1(n_3001),
+	.B2(soc_top_GPIO_cio_gpio_en_q[26]),
+	.X(n_3681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002330 (
+	.A1(n_2993),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [5]),
+	.B1(n_2556),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [5]),
+	.X(n_3680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002331 (
+	.A1(n_2993),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [30]),
+	.B1(n_3001),
+	.B2(soc_top_GPIO_cio_gpio_en_q[30]),
+	.X(n_3679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002332 (
+	.A1(n_2993),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [21]),
+	.B1(n_3001),
+	.B2(soc_top_GPIO_cio_gpio_en_q[21]),
+	.X(n_3678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002333 (
+	.A1(n_3001),
+	.A2(soc_top_GPIO_cio_gpio_en_q[31]),
+	.B1(n_2995),
+	.B2(soc_top_GPIO_u_reg_data_in_qs[31]),
+	.X(n_3677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g1002334 (
+	.A1(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
+	.A2(n_69415),
+	.B1(\soc_top_xbar_to_lsu[d_error] ),
+	.B2(n_2269),
+	.Y(n_3676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002335 (
+	.A1(n_2993),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [1]),
+	.B1(n_2556),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [1]),
+	.X(n_3675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002336 (
+	.A1(n_2555),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [28]),
+	.B1(n_2988),
+	.B2(\soc_top_GPIO_reg2hw[intr_state][q] [28]),
+	.X(n_3674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002337 (
+	.A1(n_2555),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [25]),
+	.B1(n_2988),
+	.B2(\soc_top_GPIO_reg2hw[intr_state][q] [25]),
+	.X(n_3673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1002338 (
+	.A1(n_3006),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [9]),
+	.B1(n_112),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [9]),
+	.Y(n_3672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002339 (
+	.A1(n_2993),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [3]),
+	.B1(n_2556),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [3]),
+	.X(n_3671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002340 (
+	.A1(n_2555),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [19]),
+	.B1(n_2988),
+	.B2(\soc_top_GPIO_reg2hw[intr_state][q] [19]),
+	.X(n_3670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002341 (
+	.A1(n_2993),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [27]),
+	.B1(n_3001),
+	.B2(soc_top_GPIO_cio_gpio_en_q[27]),
+	.X(n_3669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1002342 (
+	.A1(n_2993),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [18]),
+	.B1(n_2555),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [18]),
+	.Y(n_3668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002343 (
+	.A1(n_2993),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [24]),
+	.B1(n_3001),
+	.B2(soc_top_GPIO_cio_gpio_en_q[24]),
+	.X(n_3667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002344 (
+	.A1(n_2555),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [21]),
+	.B1(n_2988),
+	.B2(\soc_top_GPIO_reg2hw[intr_state][q] [21]),
+	.X(n_3666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002345 (
+	.A1(n_2993),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [22]),
+	.B1(n_3001),
+	.B2(soc_top_GPIO_cio_gpio_en_q[22]),
+	.X(n_3665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002346 (
+	.A1(n_2555),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [23]),
+	.B1(n_2988),
+	.B2(\soc_top_GPIO_reg2hw[intr_state][q] [23]),
+	.X(n_3664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002347 (
+	.A1(n_3001),
+	.A2(soc_top_GPIO_cio_gpio_en_q[16]),
+	.B1(n_2991),
+	.B2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [16]),
+	.X(n_3663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g1002348 (
+	.A1(n_1549),
+	.A2(n_2157),
+	.A3(soc_top_u_uart_u_uart_core_rx_status),
+	.B1(n_29452),
+	.B2(\soc_top_uart_to_xbar[d_data] [0]),
+	.X(n_3662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002349 (
+	.A1(n_2993),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [13]),
+	.B1(n_2556),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [13]),
+	.X(n_3661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002350 (
+	.A1(n_2992),
+	.A2(soc_top_u_uart_u_uart_core_rx_val[9]),
+	.B1(n_29452),
+	.B2(\soc_top_uart_to_xbar[d_data] [9]),
+	.X(n_3660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002351 (
+	.A1(n_2992),
+	.A2(soc_top_u_uart_u_uart_core_rx_val[12]),
+	.B1(n_29452),
+	.B2(\soc_top_uart_to_xbar[d_data] [12]),
+	.X(n_3659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002352 (
+	.A1(n_2992),
+	.A2(soc_top_u_uart_u_uart_core_rx_val[13]),
+	.B1(n_29452),
+	.B2(\soc_top_uart_to_xbar[d_data] [13]),
+	.X(n_3658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002353 (
+	.A1(n_2992),
+	.A2(soc_top_u_uart_u_uart_core_rx_val[14]),
+	.B1(n_29452),
+	.B2(\soc_top_uart_to_xbar[d_data] [14]),
+	.X(n_3657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002354 (
+	.A1(n_2992),
+	.A2(soc_top_u_uart_u_uart_core_rx_val[15]),
+	.B1(n_29452),
+	.B2(\soc_top_uart_to_xbar[d_data] [15]),
+	.X(n_3656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002355 (
+	.A1(n_2992),
+	.A2(soc_top_u_uart_u_uart_core_rx_val[17]),
+	.B1(n_29452),
+	.B2(\soc_top_uart_to_xbar[d_data] [17]),
+	.X(n_3655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002356 (
+	.A1(n_2992),
+	.A2(soc_top_u_uart_u_uart_core_rx_val[20]),
+	.B1(n_29452),
+	.B2(\soc_top_uart_to_xbar[d_data] [20]),
+	.X(n_3654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002357 (
+	.A1(n_2992),
+	.A2(soc_top_u_uart_u_uart_core_rx_val[25]),
+	.B1(n_29452),
+	.B2(\soc_top_uart_to_xbar[d_data] [25]),
+	.X(n_3653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1002358 (
+	.A1(n_2555),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [0]),
+	.B1(n_2995),
+	.B2(soc_top_GPIO_u_reg_data_in_qs[0]),
+	.Y(n_3652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002359 (
+	.A1(n_2993),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [14]),
+	.B1(n_2556),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [14]),
+	.X(n_3651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002360 (
+	.A1(n_2993),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [6]),
+	.B1(n_2556),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [6]),
+	.X(n_3650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002361 (
+	.A1(n_2992),
+	.A2(soc_top_u_uart_u_uart_core_rx_val[24]),
+	.B1(n_29452),
+	.B2(\soc_top_uart_to_xbar[d_data] [24]),
+	.X(n_3649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002362 (
+	.A1(n_2993),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [23]),
+	.B1(n_3001),
+	.B2(soc_top_GPIO_cio_gpio_en_q[23]),
+	.X(n_3648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002363 (
+	.A1(n_3001),
+	.A2(soc_top_GPIO_cio_gpio_en_q[20]),
+	.B1(n_2991),
+	.B2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [20]),
+	.X(n_3647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002364 (
+	.A1(n_2993),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [2]),
+	.B1(n_2556),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [2]),
+	.X(n_3646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1002365 (
+	.A1(n_2555),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [1]),
+	.B1(n_2995),
+	.B2(soc_top_GPIO_u_reg_data_in_qs[1]),
+	.Y(n_3645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1002366 (
+	.A1(n_2555),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [2]),
+	.B1(n_2995),
+	.B2(soc_top_GPIO_u_reg_data_in_qs[2]),
+	.Y(n_3644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1002367 (
+	.A1(n_3001),
+	.A2(soc_top_GPIO_cio_gpio_en_q[18]),
+	.B1(n_2556),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [18]),
+	.Y(n_3643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002368 (
+	.A1(n_2555),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [31]),
+	.B1(n_2988),
+	.B2(\soc_top_GPIO_reg2hw[intr_state][q] [31]),
+	.X(n_3642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002369 (
+	.A1(n_2993),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [17]),
+	.B1(n_3001),
+	.B2(soc_top_GPIO_cio_gpio_en_q[17]),
+	.X(n_3641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1002370 (
+	.A1(n_3006),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [10]),
+	.B1(n_112),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [10]),
+	.Y(n_3640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1002371 (
+	.A1(n_2555),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [13]),
+	.B1(n_2995),
+	.B2(soc_top_GPIO_u_reg_data_in_qs[13]),
+	.Y(n_3639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1002372 (
+	.A1(n_3006),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [14]),
+	.B1(n_112),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [14]),
+	.Y(n_3638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002373 (
+	.A1(n_2993),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [11]),
+	.B1(n_2556),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [11]),
+	.X(n_3637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002374 (
+	.A1(n_2993),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [12]),
+	.B1(n_2556),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [12]),
+	.X(n_3636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1002375 (
+	.A1(n_2555),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [12]),
+	.B1(n_2995),
+	.B2(soc_top_GPIO_u_reg_data_in_qs[12]),
+	.Y(n_3635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1002376 (
+	.A1_N(n_1335),
+	.A2_N(n_3072),
+	.B1(n_1561),
+	.B2(n_1605),
+	.Y(n_3634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002377 (
+	.A1(n_2992),
+	.A2(soc_top_u_uart_u_uart_core_rx_val[27]),
+	.B1(n_29452),
+	.B2(\soc_top_uart_to_xbar[d_data] [27]),
+	.X(n_3633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002378 (
+	.A1(n_2992),
+	.A2(soc_top_u_uart_u_uart_core_rx_val[31]),
+	.B1(n_29452),
+	.B2(\soc_top_uart_to_xbar[d_data] [31]),
+	.X(n_3632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1002379 (
+	.A1(n_2555),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [11]),
+	.B1(n_2995),
+	.B2(soc_top_GPIO_u_reg_data_in_qs[11]),
+	.Y(n_3631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002380 (
+	.A1(n_2992),
+	.A2(soc_top_u_uart_u_uart_core_rx_val[30]),
+	.B1(n_29452),
+	.B2(\soc_top_uart_to_xbar[d_data] [30]),
+	.X(n_3630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002381 (
+	.A1(n_2992),
+	.A2(soc_top_u_uart_u_uart_core_rx_val[29]),
+	.B1(n_29452),
+	.B2(\soc_top_uart_to_xbar[d_data] [29]),
+	.X(n_3629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002382 (
+	.A1(n_2993),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [29]),
+	.B1(n_3001),
+	.B2(soc_top_GPIO_cio_gpio_en_q[29]),
+	.X(n_3628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002383 (
+	.A1(n_2992),
+	.A2(soc_top_u_uart_u_uart_core_rx_val[28]),
+	.B1(n_29452),
+	.B2(\soc_top_uart_to_xbar[d_data] [28]),
+	.X(n_3627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002384 (
+	.A1(n_2992),
+	.A2(soc_top_u_uart_u_uart_core_rx_val[11]),
+	.B1(n_29452),
+	.B2(\soc_top_uart_to_xbar[d_data] [11]),
+	.X(n_3626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002385 (
+	.A1(n_2992),
+	.A2(soc_top_u_uart_u_uart_core_rx_val[19]),
+	.B1(n_29452),
+	.B2(\soc_top_uart_to_xbar[d_data] [19]),
+	.X(n_3625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1002386 (
+	.A1(n_3006),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [35]),
+	.B1(n_112),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [35]),
+	.Y(n_3624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002387 (
+	.A1(n_2992),
+	.A2(soc_top_u_uart_u_uart_core_rx_val[26]),
+	.B1(n_29452),
+	.B2(\soc_top_uart_to_xbar[d_data] [26]),
+	.X(n_3623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002388 (
+	.A1(n_2992),
+	.A2(soc_top_u_uart_u_uart_core_rx_val[23]),
+	.B1(n_29452),
+	.B2(\soc_top_uart_to_xbar[d_data] [23]),
+	.X(n_3622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002389 (
+	.A1(n_2992),
+	.A2(soc_top_u_uart_u_uart_core_rx_val[22]),
+	.B1(n_29452),
+	.B2(\soc_top_uart_to_xbar[d_data] [22]),
+	.X(n_3621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002390 (
+	.A1(n_2992),
+	.A2(soc_top_u_uart_u_uart_core_rx_val[21]),
+	.B1(n_29452),
+	.B2(\soc_top_uart_to_xbar[d_data] [21]),
+	.X(n_3620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002391 (
+	.A1(n_2992),
+	.A2(soc_top_u_uart_u_uart_core_rx_val[18]),
+	.B1(n_29452),
+	.B2(\soc_top_uart_to_xbar[d_data] [18]),
+	.X(n_3619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002392 (
+	.A1(n_2992),
+	.A2(soc_top_u_uart_u_uart_core_rx_val[16]),
+	.B1(n_29452),
+	.B2(\soc_top_uart_to_xbar[d_data] [16]),
+	.X(n_3618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002393 (
+	.A1(n_2993),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [10]),
+	.B1(n_2556),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [10]),
+	.X(n_3617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002394 (
+	.A1(n_2992),
+	.A2(soc_top_u_uart_u_uart_core_rx_val[10]),
+	.B1(n_29452),
+	.B2(\soc_top_uart_to_xbar[d_data] [10]),
+	.X(n_3616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1002395 (
+	.A1(n_2555),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [10]),
+	.B1(n_2995),
+	.B2(soc_top_GPIO_u_reg_data_in_qs[10]),
+	.Y(n_3615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002396 (
+	.A1(n_2993),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [9]),
+	.B1(n_2556),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [9]),
+	.X(n_3614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1002397 (
+	.A1(n_2555),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [9]),
+	.B1(n_2995),
+	.B2(soc_top_GPIO_u_reg_data_in_qs[9]),
+	.Y(n_3613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002398 (
+	.A1(n_2993),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [8]),
+	.B1(n_2556),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [8]),
+	.X(n_3612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002399 (
+	.A1(n_3004),
+	.A2(\soc_top_intr_controller_reg2hw[prio21][q] [0]),
+	.B1(n_2156),
+	.B2(\soc_top_intr_controller_reg2hw[prio19][q] [0]),
+	.X(n_3611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002400 (
+	.A1(n_3004),
+	.A2(\soc_top_intr_controller_reg2hw[prio5][q] [0]),
+	.B1(n_2156),
+	.B2(\soc_top_intr_controller_reg2hw[prio3][q] [0]),
+	.X(n_3610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002401 (
+	.A1(n_2555),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [30]),
+	.B1(n_2988),
+	.B2(\soc_top_GPIO_reg2hw[intr_state][q] [30]),
+	.X(n_3609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1002402 (
+	.A1(n_2555),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [7]),
+	.B1(n_2995),
+	.B2(soc_top_GPIO_u_reg_data_in_qs[7]),
+	.Y(n_3608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1002403 (
+	.A1(n_2555),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [8]),
+	.B1(n_2995),
+	.B2(soc_top_GPIO_u_reg_data_in_qs[8]),
+	.Y(n_3607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1002404 (
+	.A1(n_3004),
+	.A2(\soc_top_intr_controller_reg2hw[prio21][q] [1]),
+	.B1(n_2156),
+	.B2(\soc_top_intr_controller_reg2hw[prio19][q] [1]),
+	.Y(n_3606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002405 (
+	.A1(n_3000),
+	.A2(soc_top_intr_controller_u_reg_reg_rdata_next[2]),
+	.B1(n_1248),
+	.B2(\soc_top_intr_controller_reg2hw[le][34][q] ),
+	.X(n_3605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002406 (
+	.A1(n_2993),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [7]),
+	.B1(n_2556),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [7]),
+	.X(n_3604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002407 (
+	.A1(n_3000),
+	.A2(soc_top_intr_controller_u_reg_reg_rdata_next[3]),
+	.B1(n_1248),
+	.B2(\soc_top_intr_controller_reg2hw[le][35][q] ),
+	.X(n_3603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002408 (
+	.A1(n_2555),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [22]),
+	.B1(n_2988),
+	.B2(\soc_top_GPIO_reg2hw[intr_state][q] [22]),
+	.X(n_3602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002409 (
+	.A1(n_2555),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [16]),
+	.B1(n_2988),
+	.B2(\soc_top_GPIO_reg2hw[intr_state][q] [16]),
+	.X(n_3601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1002410 (
+	.A1(n_2555),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [6]),
+	.B1(n_2995),
+	.B2(soc_top_GPIO_u_reg_data_in_qs[6]),
+	.Y(n_3600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002411 (
+	.A1(n_2555),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [20]),
+	.B1(n_2988),
+	.B2(\soc_top_GPIO_reg2hw[intr_state][q] [20]),
+	.X(n_3599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1002412 (
+	.A1(n_2555),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [5]),
+	.B1(n_2995),
+	.B2(soc_top_GPIO_u_reg_data_in_qs[5]),
+	.Y(n_3598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g1002414 (
+	.A(n_1524),
+	.B(n_1338),
+	.C(n_2430),
+	.X(n_3999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1002415 (
+	.A0(n_1513),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_NaN_a_S ),
+	.S(n_84188),
+	.Y(n_3997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g1002416 (
+	.A1_N(n_29569),
+	.A2_N(n_84188),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Zero_b_S ),
+	.B2(n_84188),
+	.Y(n_3995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1002417 (
+	.A1_N(n_84188),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Zero_a_S ),
+	.B1(n_29564),
+	.B2(n_84188),
+	.Y(n_3993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1002418 (
+	.A1_N(n_84188),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Inf_a_S ),
+	.B1(n_29553),
+	.B2(n_84188),
+	.Y(n_3991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1002419 (
+	.A(n_2218),
+	.B(n_3320),
+	.Y(n_3596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1002421 (
+	.A_N(soc_top_u_top_u_core_fp_frm_fpnew[0]),
+	.B(n_3047),
+	.C(soc_top_u_top_u_core_fp_frm_fpnew[1]),
+	.Y(n_3989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1002422 (
+	.A(n_2699),
+	.B(soc_top_u_spi_host_spi_host_tip),
+	.C(n_157),
+	.Y(n_3988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1002423 (
+	.A0(n_72622),
+	.A1(\soc_top_u_top_u_core_imd_val_q_ex[0] [0]),
+	.S(n_2596),
+	.Y(n_3987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g1002424 (
+	.A(n_2686),
+	.B(n_68746),
+	.C_N(n_2268),
+	.Y(n_3985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002425 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_i_arbiter_gen_arbiter.rr_q [1]),
+	.A2(n_3052),
+	.B1(n_3578),
+	.Y(n_3984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4bb_1 g1002426 (
+	.A_N(n_29327),
+	.B_N(n_29323),
+	.C(n_2273),
+	.D(soc_top_u_top_u_core_debug_mode),
+	.Y(n_3983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1002427 (
+	.A(soc_top_u_pwm_pwm_core_counter_p2[4]),
+	.B(n_2901),
+	.Y(n_3982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1002428 (
+	.A_N(soc_top_u_top_u_core_fp_frm_fpnew[1]),
+	.B(n_3047),
+	.C(soc_top_u_top_u_core_fp_frm_fpnew[0]),
+	.Y(n_3981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1002429 (
+	.A1_N(n_84188),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_NaN_b_S ),
+	.B1(n_84188),
+	.B2(n_1523),
+	.Y(n_3979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_2 g1002430 (
+	.A0(n_1559),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Inf_b_S ),
+	.S(n_84188),
+	.Y(n_3977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4bb_1 g1002431 (
+	.A(n_29378),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [5]),
+	.C_N(n_1648),
+	.D_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [3]),
+	.Y(n_3976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1002433 (
+	.A_N(n_1523),
+	.B(n_1513),
+	.C(n_31545),
+	.D(n_2310),
+	.Y(n_3974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1002434 (
+	.A(n_3322),
+	.B(n_36354),
+	.X(n_3973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g1002435 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_i_arbiter_gen_arbiter.rr_q [1]),
+	.A2(n_2378),
+	.B1(n_36121),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_i_arbiter_gen_arbiter.rr_q [0]),
+	.Y(n_3972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4bb_1 g1002437 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [8]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [12]),
+	.C_N(n_2316),
+	.D_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [26]),
+	.Y(n_3968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1002438 (
+	.A_N(n_29764),
+	.B(n_3038),
+	.C(n_1847),
+	.D(n_32),
+	.Y(n_3966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002439 (
+	.A1(n_3057),
+	.A2(n_29917),
+	.B1(n_29914),
+	.Y(n_3965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002440 (
+	.A1(n_35445),
+	.A2(n_2475),
+	.B1(n_1529),
+	.Y(n_3963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g1002441 (
+	.A(n_3563),
+	.B(n_1368),
+	.C(n_23),
+	.X(n_3962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g1002442 (
+	.A(n_3563),
+	.B(n_1274),
+	.C(n_16),
+	.X(n_3961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g1002443 (
+	.A_N(soc_top_u_top_u_core_cs_registers_i_n_6282),
+	.B(n_3045),
+	.C(n_1286),
+	.X(n_3960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g1002444 (
+	.A_N(n_29334),
+	.B(n_3045),
+	.C(n_1286),
+	.X(n_3959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1002445 (
+	.A(n_1323),
+	.B(n_71934),
+	.Y(n_3957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002447 (
+	.A(n_3311),
+	.B(n_3553),
+	.Y(n_3954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002448 (
+	.A(n_3311),
+	.B(n_3546),
+	.Y(n_3953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002449 (
+	.A(n_35335),
+	.B(n_3540),
+	.Y(n_3952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002450 (
+	.A(n_3309),
+	.B(n_3539),
+	.Y(n_3951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002451 (
+	.A(n_3311),
+	.B(n_35339),
+	.Y(n_3950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002452 (
+	.A(n_3538),
+	.B(n_3540),
+	.Y(n_3949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002453 (
+	.A(n_3312),
+	.B(n_35339),
+	.Y(n_3948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002454 (
+	.A(n_3547),
+	.B(n_3540),
+	.Y(n_3947), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002455 (
+	.A(n_3312),
+	.B(n_3550),
+	.Y(n_3946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002456 (
+	.A(n_3310),
+	.B(n_3550),
+	.Y(n_3945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002457 (
+	.A(n_3538),
+	.B(n_3554),
+	.Y(n_3944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002458 (
+	.A(n_3312),
+	.B(n_3541),
+	.Y(n_3943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002459 (
+	.A(n_3309),
+	.B(n_35338),
+	.Y(n_3942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002460 (
+	.A(n_3548),
+	.B(n_3546),
+	.Y(n_3941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002461 (
+	.A(n_3548),
+	.B(n_3541),
+	.Y(n_3940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002462 (
+	.A(n_3548),
+	.B(n_3553),
+	.Y(n_3939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002463 (
+	.A(n_3548),
+	.B(n_3542),
+	.Y(n_3938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002464 (
+	.A(n_3548),
+	.B(n_3550),
+	.Y(n_3937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002465 (
+	.A(n_3310),
+	.B(n_3541),
+	.Y(n_3936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002466 (
+	.A(n_3301),
+	.B(n_3544),
+	.Y(n_3935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002467 (
+	.A(n_3309),
+	.B(n_3544),
+	.Y(n_3934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002468 (
+	.A(n_3311),
+	.B(n_3544),
+	.Y(n_3933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002469 (
+	.A(n_3312),
+	.B(n_3544),
+	.Y(n_3932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002470 (
+	.A(n_3310),
+	.B(n_3544),
+	.Y(n_3931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002471 (
+	.A(n_3302),
+	.B(n_3544),
+	.Y(n_3930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002472 (
+	.A(n_3547),
+	.B(n_3550),
+	.Y(n_3929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002473 (
+	.A(n_3302),
+	.B(n_3542),
+	.Y(n_3928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002474 (
+	.A(n_3299),
+	.B(n_3305),
+	.Y(n_3927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002475 (
+	.A(n_3300),
+	.B(n_3305),
+	.Y(n_3926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002476 (
+	.A(n_3309),
+	.B(n_3550),
+	.Y(n_3925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002477 (
+	.A(n_3301),
+	.B(n_3550),
+	.Y(n_3924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002478 (
+	.A(n_3311),
+	.B(n_3550),
+	.Y(n_3923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002479 (
+	.A(n_3538),
+	.B(n_3550),
+	.Y(n_3922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002480 (
+	.A(n_3304),
+	.B(n_3550),
+	.Y(n_3921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g1002481 (
+	.A(n_3559),
+	.B(n_589),
+	.C(soc_top_system_rst_ni),
+	.D(soc_top_u_top_data_we),
+	.Y(n_3920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002482 (
+	.A(n_3300),
+	.B(n_3550),
+	.Y(n_3918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002483 (
+	.A(n_3303),
+	.B(n_3550),
+	.Y(n_3917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002484 (
+	.A(n_3299),
+	.B(n_3550),
+	.Y(n_3916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002485 (
+	.A(n_3304),
+	.B(n_3305),
+	.Y(n_3915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002486 (
+	.A(n_3303),
+	.B(n_3305),
+	.Y(n_3914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002487 (
+	.A(n_3300),
+	.B(n_3554),
+	.Y(n_3913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002488 (
+	.A(n_3299),
+	.B(n_3554),
+	.Y(n_3912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002489 (
+	.A(n_3309),
+	.B(n_3541),
+	.Y(n_3911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002490 (
+	.A(n_3301),
+	.B(n_3541),
+	.Y(n_3910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002491 (
+	.A(n_3538),
+	.B(n_3541),
+	.Y(n_3909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002492 (
+	.A(n_3538),
+	.B(n_3546),
+	.Y(n_3908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002493 (
+	.A(n_3538),
+	.B(n_3542),
+	.Y(n_3907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002494 (
+	.A(n_3538),
+	.B(n_3553),
+	.Y(n_3906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002495 (
+	.A(n_3311),
+	.B(n_3541),
+	.Y(n_3905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002496 (
+	.A(n_3299),
+	.B(n_3541),
+	.Y(n_3904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002497 (
+	.A(n_3303),
+	.B(n_3541),
+	.Y(n_3903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002498 (
+	.A(n_3300),
+	.B(n_3541),
+	.Y(n_3902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002499 (
+	.A(n_3304),
+	.B(n_3541),
+	.Y(n_3901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002500 (
+	.A(n_3302),
+	.B(n_3305),
+	.Y(n_3900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002501 (
+	.A(n_3302),
+	.B(n_3554),
+	.Y(n_3899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002502 (
+	.A(n_3302),
+	.B(n_3546),
+	.Y(n_3898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002503 (
+	.A(n_3302),
+	.B(n_3553),
+	.Y(n_3897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002504 (
+	.A(n_3303),
+	.B(n_3554),
+	.Y(n_3896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002505 (
+	.A(n_3304),
+	.B(n_3554),
+	.Y(n_3895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002506 (
+	.A(n_3312),
+	.B(n_3542),
+	.Y(n_3894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002507 (
+	.A(n_3310),
+	.B(n_3542),
+	.Y(n_3893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002508 (
+	.A(n_3311),
+	.B(n_3305),
+	.Y(n_3892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002509 (
+	.A(n_3310),
+	.B(n_3305),
+	.Y(n_3891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002510 (
+	.A(n_3301),
+	.B(n_3305),
+	.Y(n_3890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002511 (
+	.A(n_3309),
+	.B(n_3305),
+	.Y(n_3889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002512 (
+	.A(n_3312),
+	.B(n_3305),
+	.Y(n_3888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002513 (
+	.A(n_3300),
+	.B(n_3542),
+	.Y(n_3887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002514 (
+	.A(n_3299),
+	.B(n_3542),
+	.Y(n_3886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002515 (
+	.A(n_3299),
+	.B(n_3546),
+	.Y(n_3885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002516 (
+	.A(n_3300),
+	.B(n_3553),
+	.Y(n_3884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002517 (
+	.A(n_3299),
+	.B(n_3553),
+	.Y(n_3883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002518 (
+	.A(n_3300),
+	.B(n_3546),
+	.Y(n_3882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002519 (
+	.A(n_3309),
+	.B(n_3554),
+	.Y(n_3881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002520 (
+	.A(n_3301),
+	.B(n_3554),
+	.Y(n_3880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002521 (
+	.A(n_3312),
+	.B(n_3546),
+	.Y(n_3879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002522 (
+	.A(n_3311),
+	.B(n_3554),
+	.Y(n_3878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002523 (
+	.A(n_3312),
+	.B(n_3553),
+	.Y(n_3877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002524 (
+	.A(n_3310),
+	.B(n_3554),
+	.Y(n_3876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002525 (
+	.A(n_3312),
+	.B(n_3554),
+	.Y(n_3875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002526 (
+	.A(n_3310),
+	.B(n_3553),
+	.Y(n_3874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002527 (
+	.A(n_3310),
+	.B(n_3546),
+	.Y(n_3873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002528 (
+	.A(n_3304),
+	.B(n_3542),
+	.Y(n_3872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002529 (
+	.A(n_3303),
+	.B(n_3542),
+	.Y(n_3871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002530 (
+	.A(n_3303),
+	.B(n_3546),
+	.Y(n_3870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002531 (
+	.A(n_3304),
+	.B(n_3553),
+	.Y(n_3869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002532 (
+	.A(n_3303),
+	.B(n_3553),
+	.Y(n_3868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002533 (
+	.A(n_3304),
+	.B(n_3546),
+	.Y(n_3867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002534 (
+	.A(n_3309),
+	.B(n_3542),
+	.Y(n_3866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002535 (
+	.A(n_3301),
+	.B(n_3542),
+	.Y(n_3865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002536 (
+	.A(n_3311),
+	.B(n_3542),
+	.Y(n_3864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002537 (
+	.A(n_3302),
+	.B(n_3539),
+	.Y(n_3863), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002538 (
+	.A(n_3309),
+	.B(n_3553),
+	.Y(n_3862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002539 (
+	.A(n_3309),
+	.B(n_3546),
+	.Y(n_3861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002540 (
+	.A(n_3301),
+	.B(n_3546),
+	.Y(n_3860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002541 (
+	.A(n_3301),
+	.B(n_3553),
+	.Y(n_3859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1002542 (
+	.A(n_3321),
+	.B(n_1954),
+	.C(soc_top_u_uart_u_uart_core_write_fifo_waddr[5]),
+	.Y(n_3858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1002544 (
+	.A(n_68385),
+	.B(n_3025),
+	.C(n_3006),
+	.Y(n_3855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_1 g1002545 (
+	.A(n_72257),
+	.B(n_3319),
+	.C_N(n_29616),
+	.X(n_3854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g1002546 (
+	.A(n_3582),
+	.B(FE_DBTN115_n_72188),
+	.C(n_31),
+	.X(n_3852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g1002547 (
+	.A(n_3582),
+	.B(FE_DBTN115_n_72188),
+	.C(\soc_top_xbar_to_timer[a_address] [6]),
+	.X(n_3851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g1002548 (
+	.A(n_3561),
+	.B(n_1505),
+	.X(n_3850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g1002549 (
+	.A(n_3561),
+	.B(n_2160),
+	.X(n_3849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g1002550 (
+	.A1(n_2699),
+	.A2(n_157),
+	.B1_N(soc_top_u_spi_host_spi_host_tip),
+	.X(n_3848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1002551 (
+	.A(n_87131),
+	.Y(n_3593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1002552 (
+	.A(n_3578),
+	.Y(n_3577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1002554 (
+	.A(n_3569),
+	.Y(n_3568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1002555 (
+	.A(n_3566),
+	.Y(n_3565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1002556 (
+	.A(n_3564),
+	.Y(n_3563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1002557 (
+	.A(n_3560),
+	.Y(n_3561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1002560 (
+	.A(n_3526),
+	.Y(n_3525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1002561 (
+	.A(n_3524),
+	.Y(n_3523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1002562 (
+	.A(n_3517),
+	.Y(n_3516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1002563 (
+	.A(n_3507),
+	.Y(n_3506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1002564 (
+	.A(n_3502),
+	.Y(n_3501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1002565 (
+	.A(n_3500),
+	.Y(n_3499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1002566 (
+	.A(n_3493),
+	.Y(n_3492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1002567 (
+	.A(n_3486),
+	.Y(n_3485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1002568 (
+	.A(n_3479),
+	.Y(n_3478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1002569 (
+	.A(n_3474),
+	.Y(n_3473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1002570 (
+	.A(n_3472),
+	.Y(n_3471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1002571 (
+	.A(n_3468),
+	.Y(n_3467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1002572 (
+	.A(n_3461),
+	.Y(n_3460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g1002573 (
+	.A(soc_top_u_uart_u_uart_core_read_fifo_raddr[2]),
+	.B(n_1399),
+	.COUT(n_3594),
+	.SUM(n_3455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1002581 (
+	.A(n_1895),
+	.B(n_2426),
+	.Y(n_3454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1002607 (
+	.A(n_1906),
+	.B(n_2389),
+	.Y(n_3453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1002608 (
+	.A(n_1907),
+	.B(n_2438),
+	.Y(n_3452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1002609 (
+	.A(n_1924),
+	.B(n_2437),
+	.Y(n_3451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1002610 (
+	.A(n_1923),
+	.B(n_2395),
+	.Y(n_3450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1002611 (
+	.A(n_1914),
+	.B(n_2420),
+	.Y(n_3449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1002612 (
+	.A(n_1897),
+	.B(n_2417),
+	.Y(n_3448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1002613 (
+	.A(n_1922),
+	.B(n_2396),
+	.Y(n_3447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1002614 (
+	.A(n_1910),
+	.B(n_2399),
+	.Y(n_3446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1002615 (
+	.A(n_1905),
+	.B(n_2427),
+	.Y(n_3445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1002616 (
+	.A(n_1917),
+	.B(n_2391),
+	.Y(n_3444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1002617 (
+	.A(n_1904),
+	.B(n_2415),
+	.Y(n_3443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1002618 (
+	.A(n_1903),
+	.B(n_2467),
+	.Y(n_3442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1002619 (
+	.A(n_1909),
+	.B(n_2432),
+	.Y(n_3441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1002620 (
+	.A(n_1911),
+	.B(n_2425),
+	.Y(n_3440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1002621 (
+	.A(n_1920),
+	.B(n_2421),
+	.Y(n_3439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1002622 (
+	.A(n_1902),
+	.B(n_2397),
+	.Y(n_3438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1002623 (
+	.A(n_1912),
+	.B(n_2441),
+	.Y(n_3437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1002624 (
+	.A(n_1913),
+	.B(n_2401),
+	.Y(n_3436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1002625 (
+	.A(n_1901),
+	.B(n_2468),
+	.Y(n_3435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1002626 (
+	.A(n_1900),
+	.B(n_2392),
+	.Y(n_3434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1002627 (
+	.A(n_1899),
+	.B(n_2444),
+	.Y(n_3433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1002628 (
+	.A(n_1908),
+	.B(n_2414),
+	.Y(n_3432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1002629 (
+	.A(n_1916),
+	.B(n_2456),
+	.Y(n_3431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1002630 (
+	.A(n_1918),
+	.B(n_2459),
+	.Y(n_3430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1002631 (
+	.A(n_1925),
+	.B(n_2462),
+	.Y(n_3429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1002632 (
+	.A(n_1921),
+	.B(n_2463),
+	.Y(n_3428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1002633 (
+	.A(n_1898),
+	.B(n_2393),
+	.Y(n_3427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1002634 (
+	.A(n_1896),
+	.B(n_2435),
+	.Y(n_3426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1002635 (
+	.A(n_1919),
+	.B(n_2434),
+	.Y(n_3425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002636 (
+	.A(n_2688),
+	.B(soc_top_u_top_u_core_multdiv_operator_ex[1]),
+	.Y(n_3424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002637 (
+	.A(n_2366),
+	.B(n_1499),
+	.Y(n_3423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002638 (
+	.A(n_2590),
+	.B(n_40712),
+	.Y(n_3422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002639 (
+	.A(n_2590),
+	.B(n_59102),
+	.Y(n_3421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002640 (
+	.A(n_2590),
+	.B(n_61021),
+	.Y(n_3420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002642 (
+	.A(n_2594),
+	.B(n_29933),
+	.Y(n_3418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1002643 (
+	.A(soc_top_u_pwm_pwm_core_counter_p1[0]),
+	.B_N(n_1946),
+	.Y(n_3417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1002644 (
+	.A(soc_top_u_pwm_pwm_core_DC_1[8]),
+	.B_N(n_2692),
+	.Y(n_3416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1002645 (
+	.A(soc_top_u_uart_u_uart_core_rx_fifo_clr),
+	.B_N(n_2898),
+	.Y(n_3415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002646 (
+	.A(n_84188),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [10]),
+	.Y(n_3414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002647 (
+	.A(n_84188),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [11]),
+	.Y(n_3413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002648 (
+	.A(n_84188),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [9]),
+	.Y(n_3412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002649 (
+	.A(n_2694),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
+	.Y(n_3411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1002650 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_state_reg[0]),
+	.B(n_2352),
+	.Y(n_3410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002651 (
+	.A(n_2590),
+	.B(\soc_top_u_top_u_core_fp_operands[0] [28]),
+	.Y(n_3409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1002652 (
+	.A(n_2685),
+	.B(n_29474),
+	.Y(n_3408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1002653 (
+	.A(soc_top_u_top_u_core_instr_valid_id),
+	.B(n_3036),
+	.Y(n_3407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002654 (
+	.A(n_84188),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [11]),
+	.Y(n_3406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1002655 (
+	.A_N(n_3046),
+	.B(soc_top_u_top_u_core_load_store_unit_i_lsu_err_q),
+	.Y(n_3405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1002657 (
+	.A(n_29770),
+	.B_N(n_3052),
+	.Y(n_3403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002658 (
+	.A(n_1943),
+	.B(n_3077),
+	.Y(n_3402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002659 (
+	.A(n_84188),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [8]),
+	.Y(n_3401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002660 (
+	.A(n_84188),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [9]),
+	.Y(n_3400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1002661 (
+	.A(soc_top_u_pwm_pwm_core_counter_p2[0]),
+	.B_N(n_1940),
+	.Y(n_3399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002662 (
+	.A(n_84188),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [8]),
+	.Y(n_3398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1002663 (
+	.A(n_2685),
+	.B(n_29472),
+	.Y(n_3397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002664 (
+	.A(n_2871),
+	.B(n_1367),
+	.Y(n_3396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002665 (
+	.A(n_84188),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [10]),
+	.Y(n_3395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1002666 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [4]),
+	.B(n_2596),
+	.Y(n_3592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002667 (
+	.A(n_3068),
+	.B(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.Y(n_3394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1002669 (
+	.A(soc_top_u_top_u_core_csr_restore_mret_id),
+	.B_N(n_2598),
+	.Y(n_3591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1002671 (
+	.A(\soc_top_pwm_to_xbar[d_valid] ),
+	.B(n_2591),
+	.Y(n_3393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1002673 (
+	.A(n_103),
+	.B(n_2596),
+	.Y(n_3590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1002675 (
+	.A(n_3025),
+	.B(n_1317),
+	.X(n_3589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002676 (
+	.A(n_2295),
+	.B(n_3053),
+	.Y(n_3588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1002684 (
+	.A(n_1606),
+	.B(n_2702),
+	.X(n_3587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002687 (
+	.A(n_84186),
+	.B(n_3036),
+	.Y(n_3586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1002706 (
+	.A(n_1545),
+	.B(n_2690),
+	.Y(n_3392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1002714 (
+	.A(n_2690),
+	.B_N(n_2213),
+	.Y(n_3585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1002717 (
+	.A(n_727),
+	.B(n_3041),
+	.Y(n_3584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1002719 (
+	.A(soc_top_u_spi_host_spi_host_clgen_cnt[2]),
+	.B(n_1584),
+	.Y(n_3583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 g1002720 (
+	.A_N(n_29764),
+	.B(n_3038),
+	.C(n_1330),
+	.D(n_1145),
+	.X(n_3582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1002737 (
+	.A_N(n_3032),
+	.B(soc_top_u_pwm_pwm_core_counter_p2[4]),
+	.Y(n_3581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1002744 (
+	.A(n_710),
+	.B(n_3039),
+	.Y(n_3580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1002754 (
+	.A_N(n_3040),
+	.B(soc_top_u_pwm_pwm_core_counter_p1[4]),
+	.Y(n_3579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1002757 (
+	.A(n_2362),
+	.B(n_2394),
+	.Y(n_3578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002758 (
+	.A(n_3056),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [5]),
+	.Y(n_3576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1002759 (
+	.A(soc_top_u_top_u_core_cs_registers_i_n_6282),
+	.B_N(n_3025),
+	.Y(n_3575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1002760 (
+	.A_N(n_74961),
+	.B(n_2599),
+	.Y(n_3574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1002761 (
+	.A_N(n_3037),
+	.B(n_2283),
+	.Y(n_3573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g1002762 (
+	.A(n_2153),
+	.B(n_2696),
+	.X(n_3572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1002765 (
+	.A(n_3057),
+	.B_N(soc_top_iccm_adapter_rvalid),
+	.Y(n_3571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1002766 (
+	.A(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(n_74167),
+	.Y(n_3569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1002767 (
+	.A(n_3042),
+	.B(n_815),
+	.Y(n_3567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002773 (
+	.A(n_3027),
+	.B(\soc_top_xbar_to_timer[a_address] [6]),
+	.Y(n_3566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002780 (
+	.A(n_3027),
+	.B(n_72188),
+	.Y(n_3564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1002784 (
+	.A(n_2223),
+	.B(n_2693),
+	.Y(n_3562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1002785 (
+	.A_N(n_29745),
+	.B(n_3027),
+	.Y(n_3560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1002786 (
+	.A(n_29745),
+	.B_N(n_2591),
+	.Y(n_3559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g1002789 (
+	.A_N(n_3024),
+	.B(n_1930),
+	.X(n_3558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g1002790 (
+	.A_N(n_2229),
+	.B(n_3062),
+	.X(n_3557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g1002791 (
+	.A_N(n_3024),
+	.B(n_1927),
+	.X(n_3556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g1002793 (
+	.A_N(n_2241),
+	.B(n_3030),
+	.X(n_3554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g1002794 (
+	.A_N(n_3022),
+	.B(n_2240),
+	.X(n_3553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g1002795 (
+	.A_N(n_3022),
+	.B(n_1927),
+	.X(n_3552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1002797 (
+	.A(n_3026),
+	.B(n_2240),
+	.X(n_3550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g1002799 (
+	.A_N(n_3058),
+	.B(n_35363),
+	.X(n_3548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g1002800 (
+	.A_N(n_2229),
+	.B(n_3060),
+	.X(n_3547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g1002801 (
+	.A_N(n_3024),
+	.B(n_2240),
+	.X(n_3546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g1002802 (
+	.A_N(n_3022),
+	.B(n_1930),
+	.X(n_3545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g1002803 (
+	.A_N(n_1926),
+	.B(n_3026),
+	.X(n_3544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g1002804 (
+	.A_N(n_2229),
+	.B(n_3061),
+	.X(n_3543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g1002805 (
+	.A_N(n_1926),
+	.B(n_3030),
+	.X(n_3542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1002806 (
+	.A(n_3030),
+	.B(n_1930),
+	.X(n_3541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1002807 (
+	.A(n_3030),
+	.B(n_2240),
+	.X(n_3540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g1002808 (
+	.A_N(n_2241),
+	.B(n_3026),
+	.X(n_3539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1002809 (
+	.A(n_3060),
+	.B(n_35363),
+	.X(n_3538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g1002810 (
+	.A_N(n_3018),
+	.B(n_3006),
+	.X(n_3537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g1002811 (
+	.A_N(n_3018),
+	.B(n_112),
+	.X(n_3535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002812 (
+	.A(n_3015),
+	.B_N(soc_top_u_uart_u_uart_core_rx[0]),
+	.X(n_3533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002813 (
+	.A(n_3011),
+	.B_N(soc_top_u_uart_u_uart_core_rx[0]),
+	.X(n_3532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002814 (
+	.A(n_3008),
+	.B_N(soc_top_u_uart_u_uart_core_rx[6]),
+	.X(n_3531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002815 (
+	.A(n_3008),
+	.B_N(soc_top_u_uart_u_uart_core_rx[7]),
+	.X(n_3530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002816 (
+	.A(n_3012),
+	.B_N(soc_top_u_uart_u_uart_core_rx[4]),
+	.X(n_3529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002817 (
+	.A(n_3008),
+	.B_N(soc_top_u_uart_u_uart_core_rx[5]),
+	.X(n_3528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002818 (
+	.A(n_3008),
+	.B_N(soc_top_u_uart_u_uart_core_rx[1]),
+	.X(n_3527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002819 (
+	.A(n_3008),
+	.B_N(soc_top_u_uart_u_uart_core_rx[3]),
+	.X(n_3526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002820 (
+	.A(n_3008),
+	.B_N(soc_top_u_uart_u_uart_core_rx[4]),
+	.X(n_3524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002821 (
+	.A(n_3008),
+	.B_N(soc_top_u_uart_u_uart_core_rx[2]),
+	.X(n_3522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002822 (
+	.A(n_3008),
+	.B_N(soc_top_u_uart_u_uart_core_rx[0]),
+	.X(n_3521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002823 (
+	.A(n_3009),
+	.B_N(soc_top_u_uart_u_uart_core_rx[7]),
+	.X(n_3520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002824 (
+	.A(n_3009),
+	.B_N(soc_top_u_uart_u_uart_core_rx[3]),
+	.X(n_3519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002825 (
+	.A(n_3010),
+	.B_N(soc_top_u_uart_u_uart_core_rx[2]),
+	.X(n_3518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002826 (
+	.A(n_3010),
+	.B_N(soc_top_u_uart_u_uart_core_rx[3]),
+	.X(n_3517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002827 (
+	.A(n_3010),
+	.B_N(soc_top_u_uart_u_uart_core_rx[1]),
+	.X(n_3515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002828 (
+	.A(n_3010),
+	.B_N(soc_top_u_uart_u_uart_core_rx[0]),
+	.X(n_3514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002829 (
+	.A(n_3010),
+	.B_N(soc_top_u_uart_u_uart_core_rx[6]),
+	.X(n_3513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002830 (
+	.A(n_3010),
+	.B_N(soc_top_u_uart_u_uart_core_rx[7]),
+	.X(n_3512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002831 (
+	.A(n_3009),
+	.B_N(soc_top_u_uart_u_uart_core_rx[2]),
+	.X(n_3511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002832 (
+	.A(n_3015),
+	.B_N(soc_top_u_uart_u_uart_core_rx[7]),
+	.X(n_3510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002833 (
+	.A(n_3014),
+	.B_N(soc_top_u_uart_u_uart_core_rx[7]),
+	.X(n_3509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002834 (
+	.A(n_3014),
+	.B_N(soc_top_u_uart_u_uart_core_rx[6]),
+	.X(n_3508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002835 (
+	.A(n_3015),
+	.B_N(soc_top_u_uart_u_uart_core_rx[6]),
+	.X(n_3507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002836 (
+	.A(n_3015),
+	.B_N(soc_top_u_uart_u_uart_core_rx[3]),
+	.X(n_3505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002837 (
+	.A(n_3009),
+	.B_N(soc_top_u_uart_u_uart_core_rx[0]),
+	.X(n_3504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002838 (
+	.A(n_3014),
+	.B_N(soc_top_u_uart_u_uart_core_rx[4]),
+	.X(n_3503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002839 (
+	.A(n_3015),
+	.B_N(soc_top_u_uart_u_uart_core_rx[2]),
+	.X(n_3502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002840 (
+	.A(n_3013),
+	.B_N(soc_top_u_uart_u_uart_core_rx[3]),
+	.X(n_3500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002841 (
+	.A(n_3014),
+	.B_N(soc_top_u_uart_u_uart_core_rx[3]),
+	.X(n_3498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002842 (
+	.A(n_3014),
+	.B_N(soc_top_u_uart_u_uart_core_rx[2]),
+	.X(n_3497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002843 (
+	.A(n_3011),
+	.B_N(soc_top_u_uart_u_uart_core_rx[2]),
+	.X(n_3496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002844 (
+	.A(n_3014),
+	.B_N(soc_top_u_uart_u_uart_core_rx[1]),
+	.X(n_3495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002845 (
+	.A(n_3015),
+	.B_N(soc_top_u_uart_u_uart_core_rx[4]),
+	.X(n_3494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002846 (
+	.A(n_3013),
+	.B_N(soc_top_u_uart_u_uart_core_rx[6]),
+	.X(n_3493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002847 (
+	.A(n_3009),
+	.B_N(soc_top_u_uart_u_uart_core_rx[1]),
+	.X(n_3491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002848 (
+	.A(n_3009),
+	.B_N(soc_top_u_uart_u_uart_core_rx[6]),
+	.X(n_3490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002849 (
+	.A(n_3009),
+	.B_N(soc_top_u_uart_u_uart_core_rx[5]),
+	.X(n_3489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002850 (
+	.A(n_3009),
+	.B_N(soc_top_u_uart_u_uart_core_rx[4]),
+	.X(n_3488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002851 (
+	.A(n_3014),
+	.B_N(soc_top_u_uart_u_uart_core_rx[5]),
+	.X(n_3487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002852 (
+	.A(n_3011),
+	.B_N(soc_top_u_uart_u_uart_core_rx[1]),
+	.X(n_3486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002853 (
+	.A(n_3013),
+	.B_N(soc_top_u_uart_u_uart_core_rx[4]),
+	.X(n_3484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002854 (
+	.A(n_3015),
+	.B_N(soc_top_u_uart_u_uart_core_rx[5]),
+	.X(n_3483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002855 (
+	.A(n_3011),
+	.B_N(soc_top_u_uart_u_uart_core_rx[4]),
+	.X(n_3482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002856 (
+	.A(n_3011),
+	.B_N(soc_top_u_uart_u_uart_core_rx[5]),
+	.X(n_3481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002857 (
+	.A(n_3011),
+	.B_N(soc_top_u_uart_u_uart_core_rx[6]),
+	.X(n_3480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002858 (
+	.A(n_3011),
+	.B_N(soc_top_u_uart_u_uart_core_rx[7]),
+	.X(n_3479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002859 (
+	.A(n_3011),
+	.B_N(soc_top_u_uart_u_uart_core_rx[3]),
+	.X(n_3477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002860 (
+	.A(n_3010),
+	.B_N(soc_top_u_uart_u_uart_core_rx[5]),
+	.X(n_3476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002861 (
+	.A(n_3012),
+	.B_N(soc_top_u_uart_u_uart_core_rx[0]),
+	.X(n_3475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002862 (
+	.A(n_3012),
+	.B_N(soc_top_u_uart_u_uart_core_rx[7]),
+	.X(n_3474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002863 (
+	.A(n_3013),
+	.B_N(soc_top_u_uart_u_uart_core_rx[7]),
+	.X(n_3472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002864 (
+	.A(n_3015),
+	.B_N(soc_top_u_uart_u_uart_core_rx[1]),
+	.X(n_3470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002865 (
+	.A(n_3010),
+	.B_N(soc_top_u_uart_u_uart_core_rx[4]),
+	.X(n_3469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002866 (
+	.A(n_3012),
+	.B_N(soc_top_u_uart_u_uart_core_rx[1]),
+	.X(n_3468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002867 (
+	.A(n_3012),
+	.B_N(soc_top_u_uart_u_uart_core_rx[3]),
+	.X(n_3466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002868 (
+	.A(n_3012),
+	.B_N(soc_top_u_uart_u_uart_core_rx[2]),
+	.X(n_3465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002869 (
+	.A(n_3013),
+	.B_N(soc_top_u_uart_u_uart_core_rx[2]),
+	.X(n_3464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002870 (
+	.A(n_3013),
+	.B_N(soc_top_u_uart_u_uart_core_rx[1]),
+	.X(n_3463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002871 (
+	.A(n_3013),
+	.B_N(soc_top_u_uart_u_uart_core_rx[0]),
+	.X(n_3462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002872 (
+	.A(n_3012),
+	.B_N(soc_top_u_uart_u_uart_core_rx[5]),
+	.X(n_3461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002873 (
+	.A(n_3012),
+	.B_N(soc_top_u_uart_u_uart_core_rx[6]),
+	.X(n_3459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002874 (
+	.A(n_3013),
+	.B_N(soc_top_u_uart_u_uart_core_rx[5]),
+	.X(n_3458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1002875 (
+	.A(n_3014),
+	.B_N(soc_top_u_uart_u_uart_core_rx[0]),
+	.X(n_3457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_2 g1002877 (
+	.A1(n_1443),
+	.A2(n_2269),
+	.B1(soc_top_u_top_u_core_load_store_unit_i_data_we_q),
+	.X(n_3456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1002878 (
+	.A(n_3388),
+	.Y(n_3389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1002879 (
+	.A(n_3384),
+	.Y(n_3385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1002880 (
+	.A(n_3351),
+	.Y(n_3352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1002881 (
+	.A(n_3321),
+	.Y(n_3320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1002882 (
+	.A(n_3319),
+	.Y(n_3318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1002883 (
+	.A(n_3314),
+	.Y(n_3313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1002884 (
+	.A(n_3308),
+	.Y(n_3307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002885 (
+	.A1(n_1914),
+	.A2(n_1827),
+	.B1(n_2610),
+	.Y(n_3290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g1002886 (
+	.A1(n_74746),
+	.A2(n_72622),
+	.B1(soc_top_u_top_u_core_load_store_unit_i_n_861),
+	.C1(n_1972),
+	.Y(n_3289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1002888 (
+	.A(n_74984),
+	.B(n_1936),
+	.Y(n_3287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1002889 (
+	.A(n_79223),
+	.B(n_1641),
+	.Y(n_3286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1002890 (
+	.A(n_1934),
+	.B(n_71004),
+	.Y(n_3285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g1002891 (
+	.A(n_1767),
+	.B(n_1679),
+	.C(n_40448),
+	.D(n_1669),
+	.Y(n_3284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g1002892 (
+	.A(n_70594),
+	.B(n_1668),
+	.C(n_74574),
+	.D(n_1809),
+	.Y(n_3283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1002893 (
+	.A(n_1832),
+	.B(n_1501),
+	.Y(n_3282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g1002894 (
+	.A(n_1810),
+	.B(n_1671),
+	.C(n_1673),
+	.D(n_46210),
+	.Y(n_3281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g1002895 (
+	.A(n_1812),
+	.B(n_59595),
+	.C(n_74582),
+	.D(n_1813),
+	.Y(n_3280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g1002896 (
+	.A(n_74697),
+	.B(n_1664),
+	.C(n_68922),
+	.D(n_42773),
+	.Y(n_3279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g1002897 (
+	.A(n_1824),
+	.B(n_73335),
+	.C(n_1662),
+	.D(n_85095),
+	.Y(n_3278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g1002898 (
+	.A(n_69897),
+	.B(n_69921),
+	.C(n_69856),
+	.D(n_85285),
+	.Y(n_3277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g1002899 (
+	.A(n_859),
+	.B(n_1402),
+	.C(soc_top_u_pwm_pwm_core_DC_2[1]),
+	.X(n_3276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g1002900 (
+	.A(n_132),
+	.B(n_1401),
+	.C(soc_top_u_pwm_pwm_core_DC_1[1]),
+	.X(n_3275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g1002901 (
+	.A(soc_top_u_pwm_pwm_core_period_counter1[5]),
+	.B(n_1137),
+	.C(n_819),
+	.X(n_3274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g1002902 (
+	.A1(n_1030),
+	.A2(n_29463),
+	.B1(n_2701),
+	.Y(n_3273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g1002903 (
+	.A1(n_1529),
+	.A2(n_29398),
+	.A3(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B1(n_3019),
+	.Y(n_3272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g1002904 (
+	.A(n_762),
+	.B(n_1400),
+	.C(soc_top_u_pwm_pwm_core_DC_1[15]),
+	.X(n_3271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g1002905 (
+	.A(n_304),
+	.B(n_1141),
+	.C(soc_top_u_pwm_pwm_core_DC_2[15]),
+	.X(n_3270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g1002906 (
+	.A(n_571),
+	.B(n_72257),
+	.C(n_1441),
+	.D(n_28888),
+	.X(n_3269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g1002907 (
+	.A(n_859),
+	.B(n_1396),
+	.C(soc_top_u_pwm_pwm_core_period_2[1]),
+	.X(n_3268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o41ai_1 g1002908 (
+	.A1(n_1485),
+	.A2(n_1426),
+	.A3(n_1424),
+	.A4(n_1192),
+	.B1(soc_top_u_uart_u_uart_core_fifo_read_size[2]),
+	.Y(n_3267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g1002909 (
+	.A(n_724),
+	.B(n_1397),
+	.C(soc_top_u_pwm_pwm_core_period_2[9]),
+	.X(n_3266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g1002910 (
+	.A(n_304),
+	.B(n_1394),
+	.C(soc_top_u_pwm_pwm_core_period_2[15]),
+	.X(n_3265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g1002911 (
+	.A(n_762),
+	.B(n_1136),
+	.C(soc_top_u_pwm_pwm_core_period[15]),
+	.X(n_3264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g1002912 (
+	.A(n_132),
+	.B(n_1140),
+	.C(soc_top_u_pwm_pwm_core_period[1]),
+	.X(n_3263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1002913 (
+	.A0(soc_top_u_uart_u_uart_core_tx_fifo_init),
+	.A1(n_84419),
+	.S(n_1967),
+	.Y(n_3262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g1002914 (
+	.A(soc_top_u_pwm_pwm_core_period_counter1[9]),
+	.B(n_1139),
+	.C(n_377),
+	.X(n_3261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g1002915 (
+	.A1(n_1935),
+	.A2(n_23),
+	.B1(n_72952),
+	.B2(n_77013),
+	.Y(n_3260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g1002916 (
+	.A1(n_34953),
+	.A2(soc_top_u_top_data_we),
+	.A3(soc_top_u_top_u_core_id_stage_i_lsu_req_dec),
+	.B1(n_1229),
+	.B2(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [0]),
+	.X(n_3259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1002917 (
+	.A1(n_2162),
+	.A2(\soc_top_intr_controller_reg2hw[prio22][q] [1]),
+	.B1(n_1511),
+	.B2(\soc_top_intr_controller_reg2hw[prio20][q] [1]),
+	.Y(n_3258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1002918 (
+	.A1(n_2165),
+	.A2(\soc_top_intr_controller_reg2hw[prio25][q] [1]),
+	.B1(n_2163),
+	.B2(\soc_top_intr_controller_reg2hw[prio24][q] [1]),
+	.Y(n_3257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1002919 (
+	.A1(n_2171),
+	.A2(\soc_top_intr_controller_reg2hw[prio26][q] [1]),
+	.B1(n_1503),
+	.B2(\soc_top_intr_controller_reg2hw[prio23][q] [1]),
+	.Y(n_3256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1002920 (
+	.A1(n_2157),
+	.A2(\soc_top_intr_controller_reg2hw[prio17][q] [1]),
+	.B1(n_1505),
+	.B2(\soc_top_intr_controller_reg2hw[prio12][q] [1]),
+	.Y(n_3255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1002921 (
+	.A1(n_2152),
+	.A2(\soc_top_intr_controller_reg2hw[prio16][q] [1]),
+	.B1(n_2150),
+	.B2(\soc_top_intr_controller_reg2hw[prio18][q] [1]),
+	.Y(n_3254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1002922 (
+	.A1(n_2157),
+	.A2(\soc_top_intr_controller_reg2hw[prio33][q] [1]),
+	.B1(n_2150),
+	.B2(\soc_top_intr_controller_reg2hw[prio34][q] [1]),
+	.Y(n_3253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1002923 (
+	.A1(n_2160),
+	.A2(\soc_top_intr_controller_reg2hw[prio30][q] [1]),
+	.B1(n_2156),
+	.B2(\soc_top_intr_controller_reg2hw[prio35][q] [1]),
+	.Y(n_3252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1002924 (
+	.A1(n_2165),
+	.A2(\soc_top_intr_controller_reg2hw[prio9][q] [1]),
+	.B1(n_2163),
+	.B2(\soc_top_intr_controller_reg2hw[prio8][q] [1]),
+	.Y(n_3251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1002925 (
+	.A1(n_2162),
+	.A2(\soc_top_intr_controller_reg2hw[prio6][q] [1]),
+	.B1(n_1511),
+	.B2(\soc_top_intr_controller_reg2hw[prio4][q] [1]),
+	.Y(n_3250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1002926 (
+	.A1(n_2143),
+	.A2(soc_top_u_iccm_rdata2[13]),
+	.B1(n_2144),
+	.B2(soc_top_u_iccm_rdata3[13]),
+	.Y(n_3249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1002927 (
+	.A1(n_2152),
+	.A2(\soc_top_intr_controller_reg2hw[prio0][q] [1]),
+	.B1(n_1248),
+	.B2(\soc_top_intr_controller_reg2hw[le][33][q] ),
+	.Y(n_3248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002928 (
+	.A1(n_2165),
+	.A2(\soc_top_intr_controller_reg2hw[prio9][q] [0]),
+	.B1(n_2163),
+	.B2(\soc_top_intr_controller_reg2hw[prio8][q] [0]),
+	.X(n_3247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1002929 (
+	.A1(n_2152),
+	.A2(\soc_top_intr_controller_reg2hw[prio0][q] [0]),
+	.B1(n_1248),
+	.B2(\soc_top_intr_controller_reg2hw[le][32][q] ),
+	.Y(n_3246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1002930 (
+	.A1(n_2157),
+	.A2(\soc_top_intr_controller_reg2hw[prio17][q] [0]),
+	.B1(n_1505),
+	.B2(\soc_top_intr_controller_reg2hw[prio12][q] [0]),
+	.Y(n_3245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1002931 (
+	.A1(n_2152),
+	.A2(\soc_top_intr_controller_reg2hw[prio16][q] [0]),
+	.B1(n_2150),
+	.B2(\soc_top_intr_controller_reg2hw[prio18][q] [0]),
+	.Y(n_3244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1002932 (
+	.A1(n_2157),
+	.A2(\soc_top_intr_controller_reg2hw[prio33][q] [0]),
+	.B1(n_2160),
+	.B2(\soc_top_intr_controller_reg2hw[prio30][q] [0]),
+	.Y(n_3243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1002933 (
+	.A1(n_2150),
+	.A2(\soc_top_intr_controller_reg2hw[prio34][q] [0]),
+	.B1(n_2156),
+	.B2(\soc_top_intr_controller_reg2hw[prio35][q] [0]),
+	.Y(n_3242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002934 (
+	.A1(n_2197),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[1] [10]),
+	.B1(n_2191),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [13]),
+	.X(n_3241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g1002935 (
+	.A(n_1686),
+	.B(n_1687),
+	.C(n_1690),
+	.D(n_1696),
+	.Y(n_3240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002936 (
+	.A1(n_2152),
+	.A2(soc_top_u_pwm_pwm_core_ctrl_2[2]),
+	.B1(n_1505),
+	.B2(soc_top_u_pwm_pwm_core_ctrl[2]),
+	.X(n_3239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002937 (
+	.A1(n_2203),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[1] [3]),
+	.B1(n_2199),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [2]),
+	.X(n_3238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1002938 (
+	.A1(n_2146),
+	.A2(soc_top_u_top_u_core_csr_depc[31]),
+	.B1(n_1232),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [31]),
+	.Y(n_3237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g1002939 (
+	.A(n_2309),
+	.B(n_29553),
+	.C_N(n_29564),
+	.Y(n_3236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1002940 (
+	.A1(n_36361),
+	.A2(soc_top_u_top_u_core_csr_depc[27]),
+	.B1(n_1232),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [27]),
+	.X(n_3235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_4 g1002941 (
+	.A(n_1682),
+	.B(n_87808),
+	.C(n_56109),
+	.D(n_44452),
+	.Y(n_3234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1002943 (
+	.A1(n_2129),
+	.A2(\soc_top_timer_to_xbar[d_data] [14]),
+	.B1(n_2554),
+	.X(n_3232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1002944 (
+	.A1(n_2129),
+	.A2(\soc_top_timer_to_xbar[d_data] [15]),
+	.B1(n_2554),
+	.X(n_3231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1002945 (
+	.A1(n_2129),
+	.A2(\soc_top_timer_to_xbar[d_data] [24]),
+	.B1(n_2554),
+	.X(n_3230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1002946 (
+	.A1(n_2129),
+	.A2(\soc_top_timer_to_xbar[d_data] [25]),
+	.B1(n_2554),
+	.X(n_3229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1002947 (
+	.A1(n_2129),
+	.A2(\soc_top_timer_to_xbar[d_data] [26]),
+	.B1(n_2554),
+	.X(n_3228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1002948 (
+	.A1(n_2129),
+	.A2(\soc_top_timer_to_xbar[d_data] [27]),
+	.B1(n_2554),
+	.X(n_3227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1002949 (
+	.A1(n_2129),
+	.A2(\soc_top_timer_to_xbar[d_data] [29]),
+	.B1(n_2554),
+	.X(n_3226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1002950 (
+	.A1(n_2129),
+	.A2(\soc_top_timer_to_xbar[d_data] [30]),
+	.B1(n_2554),
+	.X(n_3225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1002951 (
+	.A1(n_2129),
+	.A2(\soc_top_timer_to_xbar[d_data] [12]),
+	.B1(n_2554),
+	.X(n_3224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1002952 (
+	.A1(n_2129),
+	.A2(\soc_top_timer_to_xbar[d_data] [28]),
+	.B1(n_2554),
+	.X(n_3223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1002953 (
+	.A1(n_2129),
+	.A2(\soc_top_timer_to_xbar[d_data] [31]),
+	.B1(n_2554),
+	.X(n_3222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1002954 (
+	.A1(n_2129),
+	.A2(\soc_top_timer_to_xbar[d_data] [13]),
+	.B1(n_2554),
+	.X(n_3221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g1002955 (
+	.A1(n_72952),
+	.A2(n_12421),
+	.B1(n_72188),
+	.C1(n_69927),
+	.D1(n_1272),
+	.Y(n_3220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1002956 (
+	.A1(n_2125),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [18]),
+	.B1(n_2987),
+	.Y(n_3219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 g1002958 (
+	.A1(n_1427),
+	.A2(n_1159),
+	.A3(n_1153),
+	.A4(n_1451),
+	.B1(soc_top_u_uart_u_uart_core_fifo_read_size[2]),
+	.Y(n_3217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002959 (
+	.A1(n_1907),
+	.A2(n_1814),
+	.B1(n_2621),
+	.Y(n_3216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002960 (
+	.A1(n_1913),
+	.A2(\soc_top_GPIO_gen_filter[9].filter_diff_ctr_q [0]),
+	.B1(n_2602),
+	.Y(n_3215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002961 (
+	.A1(n_1919),
+	.A2(\soc_top_GPIO_gen_filter[19].filter_diff_ctr_q [0]),
+	.B1(n_2625),
+	.Y(n_3214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002962 (
+	.A1(n_1901),
+	.A2(\soc_top_GPIO_gen_filter[8].filter_diff_ctr_q [0]),
+	.B1(n_2607),
+	.Y(n_3213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31o_1 g1002963 (
+	.A1(\soc_top_u_top_u_core_imd_val_q_ex[1] [15]),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [4]),
+	.A3(n_1318),
+	.B1(n_1727),
+	.X(n_3212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002964 (
+	.A1(n_1898),
+	.A2(\soc_top_GPIO_gen_filter[0].filter_diff_ctr_q [0]),
+	.B1(n_2628),
+	.Y(n_3211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002965 (
+	.A1(n_1920),
+	.A2(n_1817),
+	.B1(n_2631),
+	.Y(n_3210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002966 (
+	.A1(n_1898),
+	.A2(n_1837),
+	.B1(n_2628),
+	.Y(n_3209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002967 (
+	.A1(n_1921),
+	.A2(n_1828),
+	.B1(n_2605),
+	.Y(n_3208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002968 (
+	.A1(n_1903),
+	.A2(\soc_top_GPIO_gen_filter[23].filter_diff_ctr_q [0]),
+	.B1(n_2626),
+	.Y(n_3207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002969 (
+	.A1(n_1925),
+	.A2(\soc_top_GPIO_gen_filter[2].filter_diff_ctr_q [0]),
+	.B1(n_2622),
+	.Y(n_3206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002970 (
+	.A1(n_1925),
+	.A2(n_1654),
+	.B1(n_2622),
+	.Y(n_3205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002971 (
+	.A1(n_1918),
+	.A2(n_1823),
+	.B1(n_2627),
+	.Y(n_3204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002972 (
+	.A1(n_1916),
+	.A2(n_1655),
+	.B1(n_2615),
+	.Y(n_3203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002973 (
+	.A1(n_1908),
+	.A2(\soc_top_GPIO_gen_filter[5].filter_diff_ctr_q [0]),
+	.B1(n_2632),
+	.Y(n_3202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002974 (
+	.A1(n_1908),
+	.A2(n_1656),
+	.B1(n_2632),
+	.Y(n_3201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002975 (
+	.A1(n_1899),
+	.A2(\soc_top_GPIO_gen_filter[6].filter_diff_ctr_q [0]),
+	.B1(n_2611),
+	.Y(n_3200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002976 (
+	.A1(n_1900),
+	.A2(\soc_top_GPIO_gen_filter[7].filter_diff_ctr_q [0]),
+	.B1(n_2613),
+	.Y(n_3199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002977 (
+	.A1(n_1900),
+	.A2(n_1658),
+	.B1(n_2613),
+	.Y(n_3198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002978 (
+	.A1(n_1901),
+	.A2(n_1650),
+	.B1(n_2607),
+	.Y(n_3197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1002979 (
+	.A1_N(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [1]),
+	.A2_N(n_1229),
+	.B1(soc_top_u_top_u_core_id_stage_i_lsu_req_dec),
+	.B2(n_2260),
+	.Y(n_3196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002980 (
+	.A1(n_1912),
+	.A2(\soc_top_GPIO_gen_filter[10].filter_diff_ctr_q [0]),
+	.B1(n_2629),
+	.Y(n_3195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002981 (
+	.A1(n_1912),
+	.A2(n_1815),
+	.B1(n_2629),
+	.Y(n_3194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002982 (
+	.A1(n_1902),
+	.A2(n_1675),
+	.B1(n_2617),
+	.Y(n_3193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002983 (
+	.A1(n_1924),
+	.A2(n_1820),
+	.B1(n_2612),
+	.Y(n_3192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002984 (
+	.A1(n_1906),
+	.A2(\soc_top_GPIO_gen_filter[15].filter_diff_ctr_q [0]),
+	.B1(n_2609),
+	.Y(n_3191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002985 (
+	.A1(n_1906),
+	.A2(n_1659),
+	.B1(n_2609),
+	.Y(n_3190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002986 (
+	.A1(n_1915),
+	.A2(n_1652),
+	.B1(n_2608),
+	.Y(n_3189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002987 (
+	.A1(n_1919),
+	.A2(n_1646),
+	.B1(n_2625),
+	.Y(n_3188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002988 (
+	.A1(n_1911),
+	.A2(n_1660),
+	.B1(n_2619),
+	.Y(n_3187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002989 (
+	.A1(n_1914),
+	.A2(\soc_top_GPIO_gen_filter[22].filter_diff_ctr_q [0]),
+	.B1(n_2610),
+	.Y(n_3186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1002990 (
+	.A1(n_2157),
+	.A2(\soc_top_timer0_reg2hw[intr_enable0][0][q] ),
+	.B1(n_2150),
+	.B2(\soc_top_timer0_reg2hw[intr_state0][0][q] ),
+	.Y(n_3185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002991 (
+	.A1(n_1904),
+	.A2(\soc_top_GPIO_gen_filter[24].filter_diff_ctr_q [0]),
+	.B1(n_2623),
+	.Y(n_3184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002992 (
+	.A1(n_1904),
+	.A2(n_1667),
+	.B1(n_2623),
+	.Y(n_3183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002993 (
+	.A1(n_1917),
+	.A2(\soc_top_GPIO_gen_filter[25].filter_diff_ctr_q [0]),
+	.B1(n_2603),
+	.Y(n_3182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g1002994 (
+	.A(soc_top_u_top_u_core_alu_operator_ex[4]),
+	.B(n_1962),
+	.C_N(soc_top_u_top_u_core_alu_operator_ex[5]),
+	.Y(n_3181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002995 (
+	.A1(n_1917),
+	.A2(n_1680),
+	.B1(n_2603),
+	.Y(n_3180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002996 (
+	.A1(n_1909),
+	.A2(\soc_top_GPIO_gen_filter[21].filter_diff_ctr_q [0]),
+	.B1(n_2630),
+	.Y(n_3179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002997 (
+	.A1(n_1895),
+	.A2(n_1867),
+	.B1(n_2601),
+	.Y(n_3178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g1002998 (
+	.A1(n_593),
+	.A2(n_2151),
+	.B1(n_594),
+	.B2(n_1504),
+	.Y(n_3177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1002999 (
+	.A1(n_1910),
+	.A2(\soc_top_GPIO_gen_filter[28].filter_diff_ctr_q [0]),
+	.B1(n_2616),
+	.Y(n_3176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1003000 (
+	.A1(n_2152),
+	.A2(soc_top_u_pwm_pwm_core_ctrl_2[0]),
+	.B1(n_1505),
+	.B2(soc_top_u_pwm_pwm_core_ctrl[0]),
+	.X(n_3175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003001 (
+	.A1(n_1910),
+	.A2(n_1657),
+	.B1(n_2616),
+	.Y(n_3174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1003002 (
+	.A1(n_2143),
+	.A2(soc_top_u_iccm_rdata2[28]),
+	.B1(n_2144),
+	.B2(soc_top_u_iccm_rdata3[28]),
+	.Y(n_3173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003003 (
+	.A1(n_1922),
+	.A2(\soc_top_GPIO_gen_filter[29].filter_diff_ctr_q [0]),
+	.B1(n_2614),
+	.Y(n_3172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1003004 (
+	.A1(n_2143),
+	.A2(soc_top_u_iccm_rdata2[10]),
+	.B1(n_2144),
+	.B2(soc_top_u_iccm_rdata3[10]),
+	.Y(n_3171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1003005 (
+	.A1(n_2143),
+	.A2(soc_top_u_iccm_rdata2[14]),
+	.B1(n_2144),
+	.B2(soc_top_u_iccm_rdata3[14]),
+	.Y(n_3170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003006 (
+	.A1(n_1922),
+	.A2(n_1651),
+	.B1(n_2614),
+	.Y(n_3169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1003007 (
+	.A1(n_2143),
+	.A2(soc_top_u_iccm_rdata2[12]),
+	.B1(n_2144),
+	.B2(soc_top_u_iccm_rdata3[12]),
+	.Y(n_3168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1003008 (
+	.A1(n_2191),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[1] [29]),
+	.B1(n_2208),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [30]),
+	.X(n_3167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1003009 (
+	.A1(n_2186),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[1] [4]),
+	.B1(n_2190),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [5]),
+	.Y(n_3166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1003010 (
+	.A1(n_2209),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[1] [7]),
+	.B1(n_2202),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [6]),
+	.Y(n_3165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1003011 (
+	.A1(n_2190),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[1] [21]),
+	.B1(n_2202),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [22]),
+	.X(n_3164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1003012 (
+	.A1(n_2201),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[1] [9]),
+	.B1(n_2208),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [14]),
+	.Y(n_3163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g1003013 (
+	.A1(n_1509),
+	.A2(n_1892),
+	.B1(n_29699),
+	.B2(n_1332),
+	.Y(n_3162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1003014 (
+	.A1(n_2127),
+	.A2(soc_top_u_top_u_core_csr_mstatus_mie),
+	.B1(soc_top_u_top_u_core_csr_restore_mret_id),
+	.Y(n_3161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003015 (
+	.A1(n_2149),
+	.A2(n_2182),
+	.B1(soc_top_u_uart_u_uart_core_rx_clr),
+	.Y(n_3160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003016 (
+	.A1(n_2151),
+	.A2(n_2182),
+	.B1(soc_top_u_uart_u_uart_core_tx_en),
+	.Y(n_3159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003018 (
+	.A1(n_1921),
+	.A2(\soc_top_GPIO_gen_filter[1].filter_diff_ctr_q [0]),
+	.B1(n_2605),
+	.Y(n_3157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003019 (
+	.A1(n_1897),
+	.A2(n_1818),
+	.B1(n_2606),
+	.Y(n_3156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003020 (
+	.A1(n_1916),
+	.A2(\soc_top_GPIO_gen_filter[4].filter_diff_ctr_q [0]),
+	.B1(n_2615),
+	.Y(n_3155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003021 (
+	.A1(n_1899),
+	.A2(n_1670),
+	.B1(n_2611),
+	.Y(n_3154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003022 (
+	.A1(n_1903),
+	.A2(n_1835),
+	.B1(n_2626),
+	.Y(n_3153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003023 (
+	.A1(n_1911),
+	.A2(\soc_top_GPIO_gen_filter[20].filter_diff_ctr_q [0]),
+	.B1(n_2619),
+	.Y(n_3152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003024 (
+	.A1(n_1923),
+	.A2(n_1811),
+	.B1(n_2624),
+	.Y(n_3151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 g1003025 (
+	.A1(n_1282),
+	.A2(n_2285),
+	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Inf_a_S ),
+	.X(n_3150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1003026 (
+	.A1(n_2146),
+	.A2(soc_top_u_top_u_core_csr_depc[7]),
+	.B1(n_1232),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [7]),
+	.X(n_3149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1003027 (
+	.A1(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[prv] [0]),
+	.A2(soc_top_u_top_u_core_pc_mux_id[2]),
+	.B1(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[11]),
+	.B2(soc_top_u_top_u_core_csr_restore_mret_id),
+	.C1(n_1884),
+	.Y(n_3148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1003028 (
+	.A1(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[prv] [1]),
+	.A2(soc_top_u_top_u_core_pc_mux_id[2]),
+	.B1(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[12]),
+	.B2(soc_top_u_top_u_core_csr_restore_mret_id),
+	.C1(n_1857),
+	.Y(n_3147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 g1003029 (
+	.A1(n_710),
+	.A2(soc_top_u_pwm_pwm_core_period[4]),
+	.A3(n_1376),
+	.B1(n_728),
+	.B2(soc_top_u_pwm_pwm_core_period[5]),
+	.Y(n_3146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003030 (
+	.A1(n_1897),
+	.A2(\soc_top_GPIO_gen_filter[31].filter_diff_ctr_q [0]),
+	.B1(n_2606),
+	.Y(n_3145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003031 (
+	.A1(n_73399),
+	.A2(soc_top_u_top_u_core_id_stage_i_id_fsm_q),
+	.B1(n_92778),
+	.B2(n_1203),
+	.C1(n_29795),
+	.X(n_3144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003032 (
+	.A1(n_1920),
+	.A2(\soc_top_GPIO_gen_filter[14].filter_diff_ctr_q [0]),
+	.B1(n_2631),
+	.Y(n_3143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003033 (
+	.A1(n_1918),
+	.A2(\soc_top_GPIO_gen_filter[3].filter_diff_ctr_q [0]),
+	.B1(n_2627),
+	.Y(n_3142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003034 (
+	.A1(n_1915),
+	.A2(\soc_top_GPIO_gen_filter[16].filter_diff_ctr_q [0]),
+	.B1(n_2608),
+	.Y(n_3141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003035 (
+	.A1(n_1923),
+	.A2(\soc_top_GPIO_gen_filter[30].filter_diff_ctr_q [0]),
+	.B1(n_2624),
+	.Y(n_3140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003036 (
+	.A1(n_1924),
+	.A2(\soc_top_GPIO_gen_filter[13].filter_diff_ctr_q [0]),
+	.B1(n_2612),
+	.Y(n_3139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003037 (
+	.A(n_1915),
+	.B(n_2436),
+	.Y(n_3138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g1003038 (
+	.A1(n_29456),
+	.A2(n_589),
+	.B1(n_31),
+	.C1(n_23),
+	.D1(n_1643),
+	.X(n_3137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003039 (
+	.A1(n_1909),
+	.A2(n_1653),
+	.B1(n_2630),
+	.Y(n_3136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1003040 (
+	.A1(n_1517),
+	.A2(n_1563),
+	.B1(n_2077),
+	.B2(soc_top_u_uart_u_uart_core_rx_buffer_size[2]),
+	.Y(n_3135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1003042 (
+	.A1_N(soc_top_u_spi_host_spi_host_tip),
+	.A2_N(n_1853),
+	.B1(n_1999),
+	.B2(soc_top_u_spi_host_spi_host_clgen_n_89),
+	.Y(n_3133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003043 (
+	.A1(n_1247),
+	.A2(n_2182),
+	.B1(soc_top_u_uart_u_uart_core_rx_en),
+	.Y(n_3132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003044 (
+	.A1(n_1913),
+	.A2(n_1831),
+	.B1(n_2602),
+	.Y(n_3131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003045 (
+	.A1(n_1902),
+	.A2(\soc_top_GPIO_gen_filter[12].filter_diff_ctr_q [0]),
+	.B1(n_2617),
+	.Y(n_3130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1003046 (
+	.A1(n_2302),
+	.A2(n_29426),
+	.B1(n_3017),
+	.Y(n_3129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003047 (
+	.A1(n_1905),
+	.A2(\soc_top_GPIO_gen_filter[26].filter_diff_ctr_q [0]),
+	.B1(n_2620),
+	.Y(n_3128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003048 (
+	.A1(n_1895),
+	.A2(\soc_top_GPIO_gen_filter[27].filter_diff_ctr_q [0]),
+	.B1(n_2601),
+	.Y(n_3127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1003049 (
+	.A1(n_2307),
+	.A2(n_76),
+	.B1(n_1353),
+	.Y(n_3126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1003050 (
+	.A1(n_2249),
+	.A2(n_126),
+	.B1(n_29768),
+	.Y(n_3125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003051 (
+	.A1(n_1905),
+	.A2(n_1661),
+	.B1(n_2620),
+	.Y(n_3124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003052 (
+	.A1(n_1896),
+	.A2(n_1849),
+	.B1(n_2604),
+	.Y(n_3123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1003053 (
+	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [32]),
+	.A2(n_34663),
+	.B1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [32]),
+	.B2(n_34662),
+	.C1(n_2141),
+	.C2(soc_top_u_iccm_rdata1[31]),
+	.Y(n_3122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003054 (
+	.A1(n_1896),
+	.A2(\soc_top_GPIO_gen_filter[17].filter_diff_ctr_q [0]),
+	.B1(n_2604),
+	.Y(n_3121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1003055 (
+	.A1(n_68939),
+	.A2(n_1252),
+	.B1(n_1250),
+	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [0]),
+	.C1(n_29968),
+	.C2(n_28),
+	.Y(n_3120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003056 (
+	.A1(n_1907),
+	.A2(\soc_top_GPIO_gen_filter[11].filter_diff_ctr_q [0]),
+	.B1(n_2621),
+	.Y(n_3119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1003057 (
+	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [12]),
+	.A2(n_34663),
+	.B1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [12]),
+	.B2(n_34662),
+	.C1(n_2141),
+	.C2(soc_top_u_iccm_rdata1[11]),
+	.Y(n_3118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1003058 (
+	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [16]),
+	.A2(n_34663),
+	.B1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [16]),
+	.B2(n_34662),
+	.C1(n_2141),
+	.C2(soc_top_u_iccm_rdata1[15]),
+	.Y(n_3117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1003059 (
+	.A1(n_23),
+	.A2(n_1935),
+	.B1(n_77013),
+	.Y(n_3116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1003060 (
+	.A1(n_1252),
+	.A2(\soc_top_iccm_to_xbar[d_data] [1]),
+	.B1(n_1250),
+	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [1]),
+	.C1(n_29969),
+	.C2(n_28),
+	.Y(n_3115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1003061 (
+	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [28]),
+	.A2(n_34663),
+	.B1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [28]),
+	.B2(n_34662),
+	.C1(n_2141),
+	.C2(soc_top_u_iccm_rdata1[27]),
+	.Y(n_3114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1003062 (
+	.A1(n_2096),
+	.A2(n_1534),
+	.B1_N(n_2318),
+	.Y(n_3113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1003063 (
+	.A(n_1977),
+	.B(n_1786),
+	.C(n_37511),
+	.Y(n_3112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1003065 (
+	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [24]),
+	.A2(n_34663),
+	.B1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [24]),
+	.B2(n_34662),
+	.C1(n_2141),
+	.C2(soc_top_u_iccm_rdata1[23]),
+	.Y(n_3110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1003066 (
+	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [22]),
+	.A2(n_34663),
+	.B1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [22]),
+	.B2(n_34662),
+	.C1(n_2141),
+	.C2(soc_top_u_iccm_rdata1[21]),
+	.Y(n_3109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1003067 (
+	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [19]),
+	.A2(n_34663),
+	.B1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [19]),
+	.B2(n_34662),
+	.C1(n_2141),
+	.C2(soc_top_u_iccm_rdata1[18]),
+	.Y(n_3108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1003068 (
+	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [27]),
+	.A2(n_34663),
+	.B1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [27]),
+	.B2(n_34662),
+	.C1(n_2141),
+	.C2(soc_top_u_iccm_rdata1[26]),
+	.Y(n_3107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1003069 (
+	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [30]),
+	.A2(n_34663),
+	.B1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [30]),
+	.B2(n_34662),
+	.C1(n_2141),
+	.C2(soc_top_u_iccm_rdata1[29]),
+	.Y(n_3106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1003070 (
+	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [3]),
+	.A2(n_34663),
+	.B1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [3]),
+	.B2(n_34662),
+	.C1(n_2141),
+	.C2(soc_top_u_iccm_rdata1[2]),
+	.Y(n_3105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1003071 (
+	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [4]),
+	.A2(n_34663),
+	.B1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [4]),
+	.B2(n_34662),
+	.C1(n_2141),
+	.C2(soc_top_u_iccm_rdata1[3]),
+	.Y(n_3104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1003072 (
+	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [5]),
+	.A2(n_34663),
+	.B1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [5]),
+	.B2(n_34662),
+	.C1(n_2141),
+	.C2(soc_top_u_iccm_rdata1[4]),
+	.Y(n_3103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1003073 (
+	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [7]),
+	.A2(n_34663),
+	.B1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [7]),
+	.B2(n_34662),
+	.C1(n_2141),
+	.C2(soc_top_u_iccm_rdata1[6]),
+	.Y(n_3102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1003074 (
+	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [8]),
+	.A2(n_34663),
+	.B1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [8]),
+	.B2(n_34662),
+	.C1(n_2141),
+	.C2(soc_top_u_iccm_rdata1[7]),
+	.Y(n_3101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1003075 (
+	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [9]),
+	.A2(n_34663),
+	.B1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [9]),
+	.B2(n_34662),
+	.C1(n_2141),
+	.C2(soc_top_u_iccm_rdata1[8]),
+	.Y(n_3100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1003076 (
+	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [11]),
+	.A2(n_34663),
+	.B1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [11]),
+	.B2(n_34662),
+	.C1(n_2141),
+	.C2(soc_top_u_iccm_rdata1[10]),
+	.Y(n_3099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1003077 (
+	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [13]),
+	.A2(n_34663),
+	.B1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [13]),
+	.B2(n_34662),
+	.C1(n_2141),
+	.C2(soc_top_u_iccm_rdata1[12]),
+	.Y(n_3098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1003078 (
+	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [14]),
+	.A2(n_34663),
+	.B1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [14]),
+	.B2(n_34662),
+	.C1(n_2141),
+	.C2(soc_top_u_iccm_rdata1[13]),
+	.Y(n_3097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1003079 (
+	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [15]),
+	.A2(n_34663),
+	.B1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [15]),
+	.B2(n_34662),
+	.C1(n_2141),
+	.C2(soc_top_u_iccm_rdata1[14]),
+	.Y(n_3096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1003080 (
+	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [20]),
+	.A2(n_34663),
+	.B1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [20]),
+	.B2(n_34662),
+	.C1(n_2141),
+	.C2(soc_top_u_iccm_rdata1[19]),
+	.Y(n_3095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1003081 (
+	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [21]),
+	.A2(n_34663),
+	.B1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [21]),
+	.B2(n_34662),
+	.C1(n_2141),
+	.C2(soc_top_u_iccm_rdata1[20]),
+	.Y(n_3094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1003082 (
+	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [23]),
+	.A2(n_34663),
+	.B1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [23]),
+	.B2(n_34662),
+	.C1(n_2141),
+	.C2(soc_top_u_iccm_rdata1[22]),
+	.Y(n_3093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1003083 (
+	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [25]),
+	.A2(n_34663),
+	.B1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [25]),
+	.B2(n_34662),
+	.C1(n_2141),
+	.C2(soc_top_u_iccm_rdata1[24]),
+	.Y(n_3092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1003084 (
+	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [26]),
+	.A2(n_34663),
+	.B1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [26]),
+	.B2(n_34662),
+	.C1(n_2141),
+	.C2(soc_top_u_iccm_rdata1[25]),
+	.Y(n_3091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1003085 (
+	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [29]),
+	.A2(n_34663),
+	.B1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [29]),
+	.B2(n_34662),
+	.C1(n_2141),
+	.C2(soc_top_u_iccm_rdata1[28]),
+	.Y(n_3090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1003086 (
+	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [31]),
+	.A2(n_34663),
+	.B1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [31]),
+	.B2(n_34662),
+	.C1(n_2141),
+	.C2(soc_top_u_iccm_rdata1[30]),
+	.Y(n_3089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1003087 (
+	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [10]),
+	.A2(n_34663),
+	.B1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [10]),
+	.B2(n_34662),
+	.C1(n_2141),
+	.C2(soc_top_u_iccm_rdata1[9]),
+	.Y(n_3088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4bb_1 g1003088 (
+	.A(n_1594),
+	.B(n_3044),
+	.C_N(n_1579),
+	.D_N(n_1587),
+	.Y(n_3087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1003089 (
+	.A_N(n_1892),
+	.B(n_2249),
+	.C(n_2215),
+	.Y(n_3086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1003091 (
+	.A_N(n_29458),
+	.B(n_2234),
+	.C(soc_top_u_uart_u_uart_core_fifo_read_size[1]),
+	.Y(n_3084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g1003092 (
+	.A(n_1602),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [7]),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [4]),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [3]),
+	.X(n_3083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1003093 (
+	.A1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [6]),
+	.A2(n_34663),
+	.B1(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [6]),
+	.B2(n_34662),
+	.C1(n_2141),
+	.C2(soc_top_u_iccm_rdata1[5]),
+	.Y(n_3082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003094 (
+	.A1(n_1498),
+	.A2(soc_top_u_iccm_rdata4[31]),
+	.B1(n_1236),
+	.B2(soc_top_u_iccm_rdata3[31]),
+	.C1(n_1749),
+	.X(n_3390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g1003095 (
+	.A_N(n_29420),
+	.B(n_2234),
+	.C(soc_top_u_uart_u_uart_core_fifo_read_size[1]),
+	.X(n_3081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1003096 (
+	.A(n_61131),
+	.B(n_73582),
+	.X(n_3080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g1003097 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_data_we_q),
+	.B(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
+	.C(soc_top_u_top_u_core_load_store_unit_i_data_or_pmp_err),
+	.D(n_1624),
+	.Y(n_3388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1003098 (
+	.A(n_73576),
+	.B(n_1929),
+	.X(n_3079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003099 (
+	.A1(n_1498),
+	.A2(soc_top_u_iccm_rdata4[2]),
+	.B1(n_1236),
+	.B2(soc_top_u_iccm_rdata3[2]),
+	.C1(n_1741),
+	.X(n_3387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g1003100 (
+	.A1(n_359),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_tick_count [8]),
+	.B1(n_1621),
+	.C1(n_2292),
+	.Y(n_3386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g1003101 (
+	.A1(n_1012),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_tick_count [4]),
+	.B1(n_1365),
+	.C1(n_2290),
+	.Y(n_3384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4b_1 g1003102 (
+	.A(n_1574),
+	.B(n_1547),
+	.C(n_29421),
+	.D_N(n_1330),
+	.X(n_3383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003103 (
+	.A1(n_1498),
+	.A2(soc_top_u_iccm_rdata4[4]),
+	.B1(n_1236),
+	.B2(soc_top_u_iccm_rdata3[4]),
+	.C1(n_1737),
+	.X(n_3382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003104 (
+	.A1(n_1498),
+	.A2(soc_top_u_iccm_rdata4[5]),
+	.B1(n_1236),
+	.B2(soc_top_u_iccm_rdata3[5]),
+	.C1(n_1766),
+	.X(n_3381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003105 (
+	.A1(n_1498),
+	.A2(soc_top_u_iccm_rdata4[6]),
+	.B1(n_1236),
+	.B2(soc_top_u_iccm_rdata3[6]),
+	.C1(n_1738),
+	.X(n_3380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003106 (
+	.A1(n_1498),
+	.A2(soc_top_u_iccm_rdata4[7]),
+	.B1(n_1236),
+	.B2(soc_top_u_iccm_rdata3[7]),
+	.C1(n_1765),
+	.X(n_3379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003107 (
+	.A1(n_1498),
+	.A2(soc_top_u_iccm_rdata4[8]),
+	.B1(n_1236),
+	.B2(soc_top_u_iccm_rdata3[8]),
+	.C1(n_1739),
+	.X(n_3378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003108 (
+	.A1(n_1498),
+	.A2(soc_top_u_iccm_rdata4[9]),
+	.B1(n_1236),
+	.B2(soc_top_u_iccm_rdata3[9]),
+	.C1(n_1742),
+	.X(n_3377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003109 (
+	.A1(n_1498),
+	.A2(soc_top_u_iccm_rdata4[10]),
+	.B1(n_1236),
+	.B2(soc_top_u_iccm_rdata3[10]),
+	.C1(n_1764),
+	.X(n_3376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003110 (
+	.A1(n_1498),
+	.A2(soc_top_u_iccm_rdata4[11]),
+	.B1(n_1236),
+	.B2(soc_top_u_iccm_rdata3[11]),
+	.C1(n_1763),
+	.X(n_3375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003111 (
+	.A1(n_1498),
+	.A2(soc_top_u_iccm_rdata4[12]),
+	.B1(n_1236),
+	.B2(soc_top_u_iccm_rdata3[12]),
+	.C1(n_1762),
+	.X(n_3374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003112 (
+	.A1(n_1498),
+	.A2(soc_top_u_iccm_rdata4[13]),
+	.B1(n_1236),
+	.B2(soc_top_u_iccm_rdata3[13]),
+	.C1(n_1761),
+	.X(n_3373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003113 (
+	.A1(n_1498),
+	.A2(soc_top_u_iccm_rdata4[14]),
+	.B1(n_1236),
+	.B2(soc_top_u_iccm_rdata3[14]),
+	.C1(n_1779),
+	.X(n_3372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003114 (
+	.A1(n_1498),
+	.A2(soc_top_u_iccm_rdata4[15]),
+	.B1(n_1236),
+	.B2(soc_top_u_iccm_rdata3[15]),
+	.C1(n_1760),
+	.X(n_3371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g1003115 (
+	.A(n_29301),
+	.B(n_2738),
+	.C_N(n_1327),
+	.Y(n_3370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003116 (
+	.A1(n_1498),
+	.A2(soc_top_u_iccm_rdata4[18]),
+	.B1(n_1236),
+	.B2(soc_top_u_iccm_rdata3[18]),
+	.C1(n_1759),
+	.X(n_3369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1003117 (
+	.A_N(n_1272),
+	.B(n_3027),
+	.C(n_1303),
+	.D(n_1368),
+	.Y(n_3368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003118 (
+	.A1(n_1498),
+	.A2(soc_top_u_iccm_rdata4[19]),
+	.B1(n_1236),
+	.B2(soc_top_u_iccm_rdata3[19]),
+	.C1(n_1758),
+	.X(n_3367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003119 (
+	.A1(n_1498),
+	.A2(soc_top_u_iccm_rdata4[20]),
+	.B1(n_1236),
+	.B2(soc_top_u_iccm_rdata3[20]),
+	.C1(n_1757),
+	.X(n_3366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003120 (
+	.A1(n_1498),
+	.A2(soc_top_u_iccm_rdata4[21]),
+	.B1(n_1236),
+	.B2(soc_top_u_iccm_rdata3[21]),
+	.C1(n_1756),
+	.X(n_3365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003121 (
+	.A1(n_1498),
+	.A2(soc_top_u_iccm_rdata4[22]),
+	.B1(n_1236),
+	.B2(soc_top_u_iccm_rdata3[22]),
+	.C1(n_1781),
+	.X(n_3364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003122 (
+	.A1(n_1498),
+	.A2(soc_top_u_iccm_rdata4[23]),
+	.B1(n_1236),
+	.B2(soc_top_u_iccm_rdata3[23]),
+	.C1(n_1755),
+	.X(n_3363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003123 (
+	.A1(n_1498),
+	.A2(soc_top_u_iccm_rdata4[24]),
+	.B1(n_1236),
+	.B2(soc_top_u_iccm_rdata3[24]),
+	.C1(n_1783),
+	.X(n_3362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003124 (
+	.A1(n_1498),
+	.A2(soc_top_u_iccm_rdata4[25]),
+	.B1(n_1236),
+	.B2(soc_top_u_iccm_rdata3[25]),
+	.C1(n_1754),
+	.X(n_3361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003125 (
+	.A1(n_1498),
+	.A2(soc_top_u_iccm_rdata4[26]),
+	.B1(n_1236),
+	.B2(soc_top_u_iccm_rdata3[26]),
+	.C1(n_1753),
+	.X(n_3360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003126 (
+	.A1(n_1498),
+	.A2(soc_top_u_iccm_rdata4[27]),
+	.B1(n_1236),
+	.B2(soc_top_u_iccm_rdata3[27]),
+	.C1(n_1752),
+	.X(n_3359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003127 (
+	.A1(n_1498),
+	.A2(soc_top_u_iccm_rdata4[28]),
+	.B1(n_1236),
+	.B2(soc_top_u_iccm_rdata3[28]),
+	.C1(n_1789),
+	.X(n_3358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003128 (
+	.A1(n_1498),
+	.A2(soc_top_u_iccm_rdata4[29]),
+	.B1(n_1236),
+	.B2(soc_top_u_iccm_rdata3[29]),
+	.C1(n_1751),
+	.X(n_3357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003129 (
+	.A1(n_1498),
+	.A2(soc_top_u_iccm_rdata4[30]),
+	.B1(n_1236),
+	.B2(soc_top_u_iccm_rdata3[30]),
+	.C1(n_1780),
+	.X(n_3356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003130 (
+	.A1(n_1498),
+	.A2(soc_top_u_iccm_rdata4[3]),
+	.B1(n_1236),
+	.B2(soc_top_u_iccm_rdata3[3]),
+	.C1(n_1736),
+	.X(n_3355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1003131 (
+	.A1(n_2249),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_i_arbiter_gen_arbiter.rr_q [0]),
+	.B1(n_29699),
+	.Y(n_3354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 g1003132 (
+	.A1(soc_top_iccm_adapter_inst_mem_u_sramreqfifo_n_52),
+	.A2(n_1665),
+	.B1_N(n_34518),
+	.X(n_3353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g1003133 (
+	.A1(n_1373),
+	.A2(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B1(n_110),
+	.C1(n_74167),
+	.Y(n_3351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1003134 (
+	.A(n_2535),
+	.B(n_2536),
+	.X(n_3350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003135 (
+	.A(n_2522),
+	.B(n_2400),
+	.Y(n_3349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o311a_1 g1003136 (
+	.A1(n_123),
+	.A2(n_97),
+	.A3(n_35447),
+	.B1(n_1322),
+	.C1(n_2599),
+	.X(n_3348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1003138 (
+	.A(n_2360),
+	.B(n_2540),
+	.X(n_3346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1003139 (
+	.A_N(n_2285),
+	.B(n_2262),
+	.C(n_2298),
+	.Y(n_3345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1003140 (
+	.A(n_2324),
+	.B(n_2367),
+	.X(n_3344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1003142 (
+	.A(n_2322),
+	.B(n_2537),
+	.X(n_3342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003143 (
+	.A(n_2402),
+	.B(n_2404),
+	.Y(n_3341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1003152 (
+	.A(n_2365),
+	.B(n_2368),
+	.X(n_3332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1003153 (
+	.A(n_2355),
+	.B(n_2325),
+	.X(n_3331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1003156 (
+	.A(n_2547),
+	.B(n_2429),
+	.X(n_3328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_2 g1003157 (
+	.A1(n_614),
+	.A2(n_1237),
+	.B1(n_600),
+	.B2(n_1319),
+	.C1(n_2005),
+	.X(n_3327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1003158 (
+	.A(n_2533),
+	.B(n_2321),
+	.X(n_3326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003159 (
+	.A(n_2332),
+	.B(n_2534),
+	.Y(n_3325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1003160 (
+	.A_N(n_29320),
+	.B(n_1961),
+	.C(n_2232),
+	.Y(n_3324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g1003161 (
+	.A_N(n_1336),
+	.B(n_3006),
+	.C(n_2273),
+	.X(n_3323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4bb_1 g1003162 (
+	.A_N(n_72261),
+	.B_N(n_72257),
+	.C(n_1361),
+	.D(n_1339),
+	.X(n_3322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003163 (
+	.A(n_2999),
+	.B(n_2182),
+	.Y(n_3321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1003164 (
+	.A(n_2357),
+	.B(n_34952),
+	.Y(n_3319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g1003165 (
+	.A(n_2223),
+	.B(n_2092),
+	.C(n_74955),
+	.X(n_3317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g1003166 (
+	.A1(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.A2(n_1350),
+	.B1(n_1596),
+	.C1(n_2223),
+	.Y(n_3316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g1003167 (
+	.A1(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.A2(n_1366),
+	.B1(n_1616),
+	.C1(n_2223),
+	.Y(n_3315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003168 (
+	.A(n_2594),
+	.B(n_1500),
+	.Y(n_3314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1003169 (
+	.A1(n_2175),
+	.A2(n_1275),
+	.B1(soc_top_u_uart_u_uart_core_rx_fifo_clr),
+	.X(n_3312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1003170 (
+	.A1(n_2175),
+	.A2(n_1517),
+	.B1(soc_top_u_uart_u_uart_core_rx_fifo_clr),
+	.X(n_3311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1003171 (
+	.A1(n_2173),
+	.A2(n_1517),
+	.B1(soc_top_u_uart_u_uart_core_rx_fifo_clr),
+	.X(n_3310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1003172 (
+	.A1(n_2176),
+	.A2(n_1275),
+	.B1(soc_top_u_uart_u_uart_core_rx_fifo_clr),
+	.X(n_3309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1003173 (
+	.A(n_3002),
+	.B(n_16),
+	.Y(n_3308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1003174 (
+	.A(n_2124),
+	.B(n_3004),
+	.X(n_3306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1003175 (
+	.A(n_3026),
+	.B(n_1930),
+	.X(n_3305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1003176 (
+	.A1(n_2169),
+	.A2(n_1517),
+	.B1(soc_top_u_uart_u_uart_core_rx_fifo_clr),
+	.X(n_3304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1003177 (
+	.A1(n_2176),
+	.A2(n_1517),
+	.B1(soc_top_u_uart_u_uart_core_rx_fifo_clr),
+	.X(n_3303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1003178 (
+	.A1(n_2173),
+	.A2(n_1275),
+	.B1(soc_top_u_uart_u_uart_core_rx_fifo_clr),
+	.X(n_3302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1003179 (
+	.A1(n_2169),
+	.A2(n_1275),
+	.B1(soc_top_u_uart_u_uart_core_rx_fifo_clr),
+	.X(n_3301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1003180 (
+	.A(n_3061),
+	.B(n_35363),
+	.X(n_3300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1003181 (
+	.A(n_3062),
+	.B(n_35363),
+	.X(n_3299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g1003182 (
+	.A_N(n_2597),
+	.B(n_1505),
+	.X(n_3298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g1003183 (
+	.A_N(n_2597),
+	.B(n_3000),
+	.X(n_3297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g1003184 (
+	.A_N(n_2597),
+	.B(n_1248),
+	.X(n_3296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g1003185 (
+	.A_N(n_2597),
+	.B(n_2152),
+	.X(n_3295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_2 g1003186 (
+	.A1(n_2145),
+	.A2(n_75503),
+	.B1(n_1507),
+	.X(n_3294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g1003187 (
+	.A_N(n_2597),
+	.B(n_2160),
+	.X(n_3293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g1003188 (
+	.A(n_2124),
+	.B(n_3000),
+	.X(n_3292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g1003189 (
+	.A(n_2161),
+	.B(n_3031),
+	.X(n_3291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1003191 (
+	.A(n_3054),
+	.Y(n_3055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1003192 (
+	.A(n_3050),
+	.Y(n_3051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1003197 (
+	.A(n_3019),
+	.Y(n_3020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1003198 (
+	.A(n_3004),
+	.Y(n_3003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1003199 (
+	.A(n_3000),
+	.Y(n_2999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1003200 (
+	.A(n_2954),
+	.Y(n_2953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g1003248 (
+	.A(n_374),
+	.B(soc_top_u_pwm_pwm_core_counter_p2[9]),
+	.COUT(n_2903),
+	.SUM(n_2904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g1003249 (
+	.A(n_913),
+	.B(soc_top_u_pwm_pwm_core_counter_p2[3]),
+	.COUT(n_2901),
+	.SUM(n_2902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g1003250 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[24]),
+	.B(n_29856),
+	.COUT(n_2899),
+	.SUM(n_2900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g1003251 (
+	.A(soc_top_u_uart_u_uart_core_rx_done),
+	.B(soc_top_u_uart_u_uart_core_rx_buffer_size[0]),
+	.COUT(n_2897),
+	.SUM(n_2898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g1003252 (
+	.A(n_917),
+	.B(soc_top_u_pwm_pwm_core_counter_p2[5]),
+	.COUT(n_3077),
+	.SUM(n_3078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g1003253 (
+	.A(n_903),
+	.B(soc_top_u_pwm_pwm_core_counter_p1[9]),
+	.COUT(n_3075),
+	.SUM(n_3076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g1003254 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[30]),
+	.B(n_29862),
+	.COUT(n_2895),
+	.SUM(n_2896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g1003255 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[28]),
+	.B(n_29860),
+	.COUT(n_2893),
+	.SUM(n_2894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g1003256 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[26]),
+	.B(n_29858),
+	.COUT(n_2891),
+	.SUM(n_2892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g1003257 (
+	.A(n_1002),
+	.B(soc_top_u_pwm_pwm_core_counter_p2[7]),
+	.COUT(n_2889),
+	.SUM(n_2890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g1003258 (
+	.A(n_368),
+	.B(soc_top_u_pwm_pwm_core_counter_p1[5]),
+	.COUT(n_3073),
+	.SUM(n_3074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g1003259 (
+	.A(n_995),
+	.B(soc_top_u_pwm_pwm_core_counter_p1[12]),
+	.COUT(n_3071),
+	.SUM(n_3072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g1003260 (
+	.A(soc_top_u_pwm_pwm_core_counter_p2[13]),
+	.B(n_914),
+	.COUT(n_3069),
+	.SUM(n_3070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g1003261 (
+	.A(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B(\soc_top_dccm_to_xbar[d_valid] ),
+	.COUT(n_3068),
+	.SUM(n_2888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g1003262 (
+	.A(n_365),
+	.B(soc_top_u_pwm_pwm_core_counter_p1[3]),
+	.COUT(n_2886),
+	.SUM(n_2887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g1003263 (
+	.A(soc_top_u_pwm_pwm_core_counter_p1[13]),
+	.B(n_337),
+	.COUT(n_3066),
+	.SUM(n_3067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g1003264 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[22]),
+	.B(n_29854),
+	.COUT(n_2884),
+	.SUM(n_2885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g1003265 (
+	.A(n_29832),
+	.B(n_25726),
+	.COUT(n_2882),
+	.SUM(n_2883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g1003266 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[27]),
+	.B(n_29859),
+	.COUT(n_2880),
+	.SUM(n_2881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g1003267 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[23]),
+	.B(n_29855),
+	.COUT(n_2878),
+	.SUM(n_2879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g1003268 (
+	.A(n_963),
+	.B(soc_top_u_pwm_pwm_core_counter_p2[12]),
+	.COUT(n_3064),
+	.SUM(n_3065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g1003269 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[29]),
+	.B(n_29861),
+	.COUT(n_2876),
+	.SUM(n_2877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g1003270 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[25]),
+	.B(n_29857),
+	.COUT(n_2874),
+	.SUM(n_2875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g1003271 (
+	.A(n_341),
+	.B(soc_top_u_pwm_pwm_core_counter_p1[7]),
+	.COUT(n_3063),
+	.SUM(n_2873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g1003272 (
+	.A(\soc_top_timer0_reg2hw[cfg0][prescale][q] [0]),
+	.B(n_752),
+	.COUT(n_2871),
+	.SUM(n_2872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g1003273 (
+	.A(\soc_top_timer0_gen_harts[0].u_core_tick_count [0]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_tick_count [1]),
+	.COUT(n_2869),
+	.SUM(n_2870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003274 (
+	.A(soc_top_GPIO_data_in_d[23]),
+	.B(n_2090),
+	.Y(n_2868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g1003276 (
+	.A1(\soc_top_GPIO_gen_filter[27].filter_diff_ctr_q [3]),
+	.A2(n_743),
+	.A3(n_737),
+	.B1(\soc_top_GPIO_gen_filter[27].filter_diff_ctr_q [2]),
+	.Y(n_2867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g1003277 (
+	.A1(\soc_top_GPIO_gen_filter[15].filter_diff_ctr_q [3]),
+	.A2(n_181),
+	.A3(n_177),
+	.B1(\soc_top_GPIO_gen_filter[15].filter_diff_ctr_q [2]),
+	.Y(n_2866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g1003278 (
+	.A1(\soc_top_GPIO_gen_filter[7].filter_diff_ctr_q [3]),
+	.A2(n_734),
+	.A3(n_185),
+	.B1(\soc_top_GPIO_gen_filter[7].filter_diff_ctr_q [2]),
+	.Y(n_2865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g1003279 (
+	.A1(\soc_top_GPIO_gen_filter[11].filter_diff_ctr_q [3]),
+	.A2(n_169),
+	.A3(n_742),
+	.B1(\soc_top_GPIO_gen_filter[11].filter_diff_ctr_q [2]),
+	.Y(n_2864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g1003280 (
+	.A1(\soc_top_GPIO_gen_filter[20].filter_diff_ctr_q [3]),
+	.A2(n_753),
+	.A3(n_172),
+	.B1(\soc_top_GPIO_gen_filter[20].filter_diff_ctr_q [2]),
+	.Y(n_2863), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g1003281 (
+	.A1(\soc_top_GPIO_gen_filter[23].filter_diff_ctr_q [3]),
+	.A2(n_739),
+	.A3(n_211),
+	.B1(\soc_top_GPIO_gen_filter[23].filter_diff_ctr_q [2]),
+	.Y(n_2862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g1003282 (
+	.A1(\soc_top_GPIO_gen_filter[1].filter_diff_ctr_q [3]),
+	.A2(n_763),
+	.A3(n_770),
+	.B1(\soc_top_GPIO_gen_filter[1].filter_diff_ctr_q [2]),
+	.Y(n_2861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g1003283 (
+	.A1(\soc_top_GPIO_gen_filter[2].filter_diff_ctr_q [3]),
+	.A2(n_193),
+	.A3(n_736),
+	.B1(\soc_top_GPIO_gen_filter[2].filter_diff_ctr_q [2]),
+	.Y(n_2860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g1003284 (
+	.A1(\soc_top_GPIO_gen_filter[13].filter_diff_ctr_q [3]),
+	.A2(n_776),
+	.A3(n_779),
+	.B1(\soc_top_GPIO_gen_filter[13].filter_diff_ctr_q [2]),
+	.Y(n_2859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g1003285 (
+	.A1(\soc_top_GPIO_gen_filter[30].filter_diff_ctr_q [3]),
+	.A2(n_774),
+	.A3(n_168),
+	.B1(\soc_top_GPIO_gen_filter[30].filter_diff_ctr_q [2]),
+	.Y(n_2858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g1003286 (
+	.A1(\soc_top_GPIO_gen_filter[9].filter_diff_ctr_q [3]),
+	.A2(n_740),
+	.A3(n_186),
+	.B1(\soc_top_GPIO_gen_filter[9].filter_diff_ctr_q [2]),
+	.Y(n_2857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g1003287 (
+	.A1(\soc_top_GPIO_gen_filter[5].filter_diff_ctr_q [3]),
+	.A2(n_206),
+	.A3(n_178),
+	.B1(\soc_top_GPIO_gen_filter[5].filter_diff_ctr_q [2]),
+	.Y(n_2856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g1003288 (
+	.A1(\soc_top_GPIO_gen_filter[25].filter_diff_ctr_q [3]),
+	.A2(n_769),
+	.A3(n_731),
+	.B1(\soc_top_GPIO_gen_filter[25].filter_diff_ctr_q [2]),
+	.Y(n_2855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g1003289 (
+	.A1(\soc_top_GPIO_gen_filter[31].filter_diff_ctr_q [3]),
+	.A2(n_182),
+	.A3(n_188),
+	.B1(\soc_top_GPIO_gen_filter[31].filter_diff_ctr_q [2]),
+	.Y(n_2854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g1003290 (
+	.A1(\soc_top_GPIO_gen_filter[29].filter_diff_ctr_q [3]),
+	.A2(n_196),
+	.A3(n_191),
+	.B1(\soc_top_GPIO_gen_filter[29].filter_diff_ctr_q [2]),
+	.Y(n_2853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g1003291 (
+	.A1(\soc_top_GPIO_gen_filter[6].filter_diff_ctr_q [3]),
+	.A2(n_767),
+	.A3(n_780),
+	.B1(\soc_top_GPIO_gen_filter[6].filter_diff_ctr_q [2]),
+	.Y(n_2852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g1003292 (
+	.A1(\soc_top_GPIO_gen_filter[28].filter_diff_ctr_q [3]),
+	.A2(n_777),
+	.A3(n_170),
+	.B1(\soc_top_GPIO_gen_filter[28].filter_diff_ctr_q [2]),
+	.Y(n_2851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g1003293 (
+	.A1(\soc_top_GPIO_gen_filter[24].filter_diff_ctr_q [3]),
+	.A2(n_744),
+	.A3(n_755),
+	.B1(\soc_top_GPIO_gen_filter[24].filter_diff_ctr_q [2]),
+	.Y(n_2850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g1003294 (
+	.A1(\soc_top_GPIO_gen_filter[22].filter_diff_ctr_q [3]),
+	.A2(n_735),
+	.A3(n_730),
+	.B1(\soc_top_GPIO_gen_filter[22].filter_diff_ctr_q [2]),
+	.Y(n_2849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g1003295 (
+	.A1(\soc_top_GPIO_gen_filter[26].filter_diff_ctr_q [3]),
+	.A2(n_772),
+	.A3(n_183),
+	.B1(\soc_top_GPIO_gen_filter[26].filter_diff_ctr_q [2]),
+	.Y(n_2848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g1003296 (
+	.A1(\soc_top_GPIO_gen_filter[21].filter_diff_ctr_q [3]),
+	.A2(n_768),
+	.A3(n_766),
+	.B1(\soc_top_GPIO_gen_filter[21].filter_diff_ctr_q [2]),
+	.Y(n_2847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g1003297 (
+	.A1(\soc_top_GPIO_gen_filter[17].filter_diff_ctr_q [3]),
+	.A2(n_166),
+	.A3(n_775),
+	.B1(\soc_top_GPIO_gen_filter[17].filter_diff_ctr_q [2]),
+	.Y(n_2846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g1003298 (
+	.A1(\soc_top_GPIO_gen_filter[16].filter_diff_ctr_q [3]),
+	.A2(n_217),
+	.A3(n_176),
+	.B1(\soc_top_GPIO_gen_filter[16].filter_diff_ctr_q [2]),
+	.Y(n_2845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g1003299 (
+	.A1(\soc_top_GPIO_gen_filter[14].filter_diff_ctr_q [3]),
+	.A2(n_210),
+	.A3(n_209),
+	.B1(\soc_top_GPIO_gen_filter[14].filter_diff_ctr_q [2]),
+	.Y(n_2844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g1003300 (
+	.A1(\soc_top_GPIO_gen_filter[10].filter_diff_ctr_q [3]),
+	.A2(n_197),
+	.A3(n_732),
+	.B1(\soc_top_GPIO_gen_filter[10].filter_diff_ctr_q [2]),
+	.Y(n_2843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g1003301 (
+	.A1(\soc_top_GPIO_gen_filter[8].filter_diff_ctr_q [3]),
+	.A2(n_733),
+	.A3(n_208),
+	.B1(\soc_top_GPIO_gen_filter[8].filter_diff_ctr_q [2]),
+	.Y(n_2842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g1003302 (
+	.A1(\soc_top_GPIO_gen_filter[4].filter_diff_ctr_q [3]),
+	.A2(n_171),
+	.A3(n_764),
+	.B1(\soc_top_GPIO_gen_filter[4].filter_diff_ctr_q [2]),
+	.Y(n_2841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g1003303 (
+	.A1(\soc_top_GPIO_gen_filter[3].filter_diff_ctr_q [3]),
+	.A2(n_167),
+	.A3(n_765),
+	.B1(\soc_top_GPIO_gen_filter[3].filter_diff_ctr_q [2]),
+	.Y(n_2840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g1003304 (
+	.A1(\soc_top_GPIO_gen_filter[0].filter_diff_ctr_q [3]),
+	.A2(n_192),
+	.A3(n_207),
+	.B1(\soc_top_GPIO_gen_filter[0].filter_diff_ctr_q [2]),
+	.Y(n_2839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g1003305 (
+	.A1(\soc_top_GPIO_gen_filter[12].filter_diff_ctr_q [3]),
+	.A2(n_202),
+	.A3(n_754),
+	.B1(\soc_top_GPIO_gen_filter[12].filter_diff_ctr_q [2]),
+	.Y(n_2838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g1003306 (
+	.A1(\soc_top_GPIO_gen_filter[19].filter_diff_ctr_q [3]),
+	.A2(n_180),
+	.A3(n_761),
+	.B1(\soc_top_GPIO_gen_filter[19].filter_diff_ctr_q [2]),
+	.Y(n_2837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1003307 (
+	.A(n_1893),
+	.B(n_1890),
+	.Y(n_2836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003308 (
+	.A(n_571),
+	.B(n_1721),
+	.Y(n_2835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003309 (
+	.A(n_571),
+	.B(n_1723),
+	.Y(n_2834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003310 (
+	.A(n_571),
+	.B(n_1703),
+	.Y(n_2833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003311 (
+	.A(n_571),
+	.B(n_1887),
+	.Y(n_2832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003312 (
+	.A(n_571),
+	.B(n_1705),
+	.Y(n_2831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003313 (
+	.A(n_571),
+	.B(n_1717),
+	.Y(n_2830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003314 (
+	.A(n_571),
+	.B(n_1725),
+	.Y(n_2829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003315 (
+	.A(n_571),
+	.B(n_1724),
+	.Y(n_2828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003316 (
+	.A(n_571),
+	.B(n_1730),
+	.Y(n_2827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003317 (
+	.A(n_571),
+	.B(n_1722),
+	.Y(n_2826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003318 (
+	.A(n_571),
+	.B(n_1702),
+	.Y(n_2825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003319 (
+	.A(n_571),
+	.B(n_1710),
+	.Y(n_2824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003320 (
+	.A(n_571),
+	.B(n_1711),
+	.Y(n_2823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003321 (
+	.A(n_571),
+	.B(n_1714),
+	.Y(n_2822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003322 (
+	.A(n_571),
+	.B(n_1734),
+	.Y(n_2821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003323 (
+	.A(n_571),
+	.B(n_1719),
+	.Y(n_2820), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003324 (
+	.A(n_571),
+	.B(n_1709),
+	.Y(n_2819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003325 (
+	.A(n_571),
+	.B(n_1718),
+	.Y(n_2818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003326 (
+	.A(n_571),
+	.B(n_1698),
+	.Y(n_2817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003327 (
+	.A(n_571),
+	.B(n_1708),
+	.Y(n_2816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003328 (
+	.A(n_571),
+	.B(n_1715),
+	.Y(n_2815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003329 (
+	.A(n_571),
+	.B(n_1707),
+	.Y(n_2814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003330 (
+	.A(n_571),
+	.B(n_1716),
+	.Y(n_2813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003331 (
+	.A(n_571),
+	.B(n_1735),
+	.Y(n_2812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003332 (
+	.A(n_571),
+	.B(n_1706),
+	.Y(n_2811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003333 (
+	.A(n_571),
+	.B(n_1726),
+	.Y(n_2810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003334 (
+	.A(n_571),
+	.B(n_1704),
+	.Y(n_2809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003335 (
+	.A(n_571),
+	.B(n_1732),
+	.Y(n_2808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003336 (
+	.A(n_571),
+	.B(n_1712),
+	.Y(n_2807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003337 (
+	.A(n_571),
+	.B(n_1729),
+	.Y(n_2806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003338 (
+	.A(n_571),
+	.B(n_1731),
+	.Y(n_2805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003339 (
+	.A(n_571),
+	.B(n_1700),
+	.Y(n_2804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003340 (
+	.A(n_571),
+	.B(n_1701),
+	.Y(n_2803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003341 (
+	.A(n_571),
+	.B(n_1733),
+	.Y(n_2802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003342 (
+	.A(n_571),
+	.B(n_1699),
+	.Y(n_2801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003343 (
+	.A(n_571),
+	.B(n_1728),
+	.Y(n_2800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003344 (
+	.A(n_571),
+	.B(n_1713),
+	.Y(n_2799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003345 (
+	.A(n_571),
+	.B(n_1720),
+	.Y(n_2798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003346 (
+	.A(n_1631),
+	.B(n_2125),
+	.Y(n_2797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003348 (
+	.A(n_73576),
+	.B(n_1929),
+	.Y(n_2795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003349 (
+	.A(soc_top_GPIO_data_in_d[9]),
+	.B(n_2040),
+	.Y(n_2794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g1003351 (
+	.A(n_35369),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[14]),
+	.X(n_2792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1003352 (
+	.A_N(soc_top_u_uart_u_uart_core_rx_timeout[2]),
+	.B(n_35369),
+	.Y(n_2791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1003353 (
+	.A(n_2142),
+	.B(soc_top_u_iccm_rdata4[14]),
+	.Y(n_2790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g1003354 (
+	.A(n_35369),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[26]),
+	.X(n_2789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g1003355 (
+	.A(n_35369),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[6]),
+	.X(n_2788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1003356 (
+	.A(n_2142),
+	.B(soc_top_u_iccm_rdata4[10]),
+	.Y(n_2787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g1003357 (
+	.A(n_35369),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[7]),
+	.X(n_2786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003359 (
+	.A(soc_top_GPIO_data_in_d[11]),
+	.B(n_2062),
+	.Y(n_2784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003360 (
+	.A(soc_top_GPIO_data_in_d[31]),
+	.B(n_2045),
+	.Y(n_2783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003361 (
+	.A(soc_top_GPIO_data_in_d[5]),
+	.B(n_2115),
+	.Y(n_2782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g1003362 (
+	.A(n_35369),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[25]),
+	.X(n_2781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g1003363 (
+	.A(n_35369),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[15]),
+	.X(n_2780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003364 (
+	.A(soc_top_GPIO_data_in_d[12]),
+	.B(n_2121),
+	.Y(n_2779), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003365 (
+	.A(soc_top_GPIO_data_in_d[13]),
+	.B(n_2066),
+	.Y(n_2778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003366 (
+	.A(soc_top_GPIO_data_in_d[14]),
+	.B(n_2118),
+	.Y(n_2777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1003367 (
+	.A(n_2171),
+	.B(\soc_top_intr_controller_reg2hw[prio10][q] [1]),
+	.Y(n_2776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003368 (
+	.A(soc_top_GPIO_data_in_d[15]),
+	.B(n_2116),
+	.Y(n_2775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003369 (
+	.A(soc_top_GPIO_data_in_d[30]),
+	.B(n_2065),
+	.Y(n_2774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g1003370 (
+	.A(n_35369),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[27]),
+	.X(n_2773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1003371 (
+	.A_N(soc_top_u_uart_u_uart_core_rx_timeout[3]),
+	.B(n_35369),
+	.Y(n_2772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g1003372 (
+	.A(n_35369),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[23]),
+	.X(n_2771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003373 (
+	.A(soc_top_GPIO_data_in_d[17]),
+	.B(n_2089),
+	.Y(n_2770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003374 (
+	.A(soc_top_GPIO_data_in_d[0]),
+	.B(n_2056),
+	.Y(n_2769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003375 (
+	.A(soc_top_GPIO_data_in_d[19]),
+	.B(n_2106),
+	.Y(n_2768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g1003376 (
+	.A(n_35369),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[17]),
+	.X(n_2767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003377 (
+	.A(soc_top_GPIO_data_in_d[20]),
+	.B(n_2101),
+	.Y(n_2766), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003378 (
+	.A(soc_top_GPIO_data_in_d[28]),
+	.B(n_2059),
+	.Y(n_2765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003379 (
+	.A(soc_top_GPIO_data_in_d[7]),
+	.B(n_2044),
+	.Y(n_2764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003380 (
+	.A(soc_top_GPIO_data_in_d[27]),
+	.B(n_2038),
+	.Y(n_2763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g1003381 (
+	.A(n_35369),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[5]),
+	.X(n_2762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g1003383 (
+	.A(n_35369),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[13]),
+	.X(n_2760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003384 (
+	.A(soc_top_GPIO_data_in_d[8]),
+	.B(n_2119),
+	.Y(n_2759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1003386 (
+	.A(n_2196),
+	.B(\soc_top_u_top_u_core_imd_val_q_ex[1] [12]),
+	.Y(n_2757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1003387 (
+	.A(n_2181),
+	.B(\soc_top_u_top_u_core_imd_val_q_ex[1] [16]),
+	.Y(n_2756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003388 (
+	.A(n_1327),
+	.B(n_2270),
+	.Y(n_2755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003389 (
+	.A(soc_top_GPIO_data_in_d[21]),
+	.B(n_2100),
+	.Y(n_2754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g1003390 (
+	.A(n_35369),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[18]),
+	.X(n_2753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003391 (
+	.A(n_29307),
+	.B(n_2178),
+	.Y(n_2752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g1003392 (
+	.A(n_35369),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[29]),
+	.X(n_2751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1003393 (
+	.A(n_2142),
+	.B(soc_top_u_iccm_rdata4[13]),
+	.Y(n_2750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003394 (
+	.A(soc_top_GPIO_data_in_d[22]),
+	.B(n_2093),
+	.Y(n_2749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1003395 (
+	.A(n_2142),
+	.B(soc_top_u_iccm_rdata4[28]),
+	.Y(n_2748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g1003396 (
+	.A(n_35369),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[9]),
+	.X(n_2747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003398 (
+	.A(soc_top_GPIO_data_in_d[4]),
+	.B(n_2058),
+	.Y(n_2745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g1003399 (
+	.A(n_35369),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[31]),
+	.X(n_2744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003400 (
+	.A(soc_top_GPIO_data_in_d[6]),
+	.B(n_2067),
+	.Y(n_2743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g1003401 (
+	.A(n_35369),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[30]),
+	.X(n_2742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003402 (
+	.A(soc_top_GPIO_data_in_d[3]),
+	.B(n_2122),
+	.Y(n_2741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003403 (
+	.A(n_17808),
+	.B(n_2305),
+	.Y(n_2740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003404 (
+	.A(soc_top_GPIO_data_in_d[24]),
+	.B(n_2050),
+	.Y(n_2739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003405 (
+	.A(n_35109),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_299 ),
+	.Y(n_2738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g1003406 (
+	.A(n_35369),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[19]),
+	.X(n_2737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003407 (
+	.A(soc_top_GPIO_data_in_d[1]),
+	.B(n_2052),
+	.Y(n_2736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1003408 (
+	.A(n_2279),
+	.B(n_1278),
+	.Y(n_2735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g1003409 (
+	.A(n_35369),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[22]),
+	.X(n_2734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003410 (
+	.A(soc_top_GPIO_data_in_d[25]),
+	.B(n_2110),
+	.Y(n_2733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1003411 (
+	.A_N(soc_top_u_uart_u_uart_core_rx_timeout[1]),
+	.B(n_35369),
+	.Y(n_2732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003412 (
+	.A(n_29488),
+	.B(n_2284),
+	.Y(n_2731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003413 (
+	.A(soc_top_GPIO_data_in_d[26]),
+	.B(n_2082),
+	.Y(n_2730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1003414 (
+	.A(n_2051),
+	.B(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Y(n_2729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1003415 (
+	.A_N(n_2272),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Zero_a_S ),
+	.Y(n_2728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g1003416 (
+	.A(n_35369),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[21]),
+	.X(n_2727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g1003417 (
+	.A(n_35369),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[8]),
+	.X(n_2726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g1003418 (
+	.A(n_35369),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[28]),
+	.X(n_2725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g1003419 (
+	.A(n_35369),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[24]),
+	.X(n_2724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g1003420 (
+	.A(n_35369),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[20]),
+	.X(n_2723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003421 (
+	.A(soc_top_GPIO_data_in_d[2]),
+	.B(n_2048),
+	.Y(n_2722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1003422 (
+	.A(n_2142),
+	.B(soc_top_u_iccm_rdata4[12]),
+	.Y(n_2721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g1003423 (
+	.A(n_35369),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[16]),
+	.X(n_2720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003424 (
+	.A(soc_top_GPIO_data_in_d[16]),
+	.B(n_2068),
+	.Y(n_2719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g1003425 (
+	.A(n_35369),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[12]),
+	.X(n_2718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g1003426 (
+	.A(n_35369),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[11]),
+	.X(n_2717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g1003427 (
+	.A(n_35369),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[10]),
+	.X(n_2716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003428 (
+	.A(soc_top_GPIO_data_in_d[10]),
+	.B(n_2016),
+	.Y(n_2715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g1003429 (
+	.A(n_35369),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[4]),
+	.X(n_2714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1003430 (
+	.A_N(soc_top_u_uart_u_uart_core_rx_timeout[0]),
+	.B(n_35369),
+	.Y(n_2713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003431 (
+	.A(soc_top_GPIO_data_in_d[29]),
+	.B(n_2120),
+	.Y(n_2712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003433 (
+	.A(\soc_top_timer_to_xbar[d_valid] ),
+	.B(n_2128),
+	.Y(n_2711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1003434 (
+	.A_N(n_1530),
+	.B(n_2295),
+	.Y(n_2710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1003436 (
+	.A(n_2177),
+	.B(n_21),
+	.Y(n_3062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1003437 (
+	.A(n_35362),
+	.B(n_21),
+	.Y(n_3061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g1003438 (
+	.A(soc_top_u_uart_u_uart_core_rx_fifo_clr),
+	.B(n_2175),
+	.X(n_3060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003442 (
+	.A(\soc_top_gpio_to_xbarp[d_valid] ),
+	.B(n_2124),
+	.Y(n_2709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003445 (
+	.A(soc_top_u_uart_u_uart_core_rx_fifo_clr),
+	.B(n_2173),
+	.Y(n_3058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g1003447 (
+	.A1(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.A2(n_29487),
+	.B1(n_136),
+	.B2(n_29482),
+	.C1(n_842),
+	.Y(n_3057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1003448 (
+	.A_N(n_2256),
+	.B(n_600),
+	.Y(n_3056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003449 (
+	.A(n_55),
+	.B(n_2153),
+	.Y(n_3054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1003450 (
+	.A(n_1892),
+	.B(soc_top_u_top_u_core_fp_alu_operator[2]),
+	.Y(n_3053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1003452 (
+	.A(n_84186),
+	.B(n_2249),
+	.Y(n_3052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1003454 (
+	.A(n_2215),
+	.B(soc_top_u_top_u_core_is_fp_instr),
+	.Y(n_3050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003456 (
+	.A(n_2034),
+	.B(n_29697),
+	.Y(n_3049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1003458 (
+	.A(n_2272),
+	.B_N(n_2262),
+	.Y(n_3048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003460 (
+	.A(n_29698),
+	.B(n_2284),
+	.Y(n_3047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1003461 (
+	.A_N(n_29792),
+	.B(n_2269),
+	.Y(n_3046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003471 (
+	.A(\soc_top_plic_resp[d_valid] ),
+	.B(n_2130),
+	.Y(n_2708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1003487 (
+	.A(n_29321),
+	.B_N(n_2232),
+	.Y(n_3045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1003491 (
+	.A(n_2232),
+	.B(n_29326),
+	.Y(n_3044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1003492 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [5]),
+	.B(n_2256),
+	.Y(n_3042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1003496 (
+	.A(n_2313),
+	.B(soc_top_u_pwm_pwm_core_period_counter2[3]),
+	.Y(n_3041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1003497 (
+	.A_N(n_2281),
+	.B(soc_top_u_pwm_pwm_core_counter_p1[3]),
+	.Y(n_3040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1003502 (
+	.A(n_2315),
+	.B(soc_top_u_pwm_pwm_core_period_counter1[3]),
+	.Y(n_3039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003505 (
+	.A(n_1681),
+	.B(n_68746),
+	.Y(n_3038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1003507 (
+	.A_N(n_2305),
+	.B(n_2212),
+	.Y(n_3037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1003510 (
+	.A1(n_1149),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [0]),
+	.B1(n_1573),
+	.Y(n_3036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003512 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [4]),
+	.B(n_2180),
+	.Y(n_3035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003514 (
+	.A(n_2297),
+	.B(n_31545),
+	.Y(n_3034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1003519 (
+	.A_N(n_2267),
+	.B(soc_top_u_pwm_pwm_core_counter_p2[3]),
+	.Y(n_3032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1003560 (
+	.A_N(n_2182),
+	.B(soc_top_system_rst_ni),
+	.Y(n_3031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1003566 (
+	.A(n_2266),
+	.B(n_21),
+	.Y(n_3030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003567 (
+	.A(n_1559),
+	.B(n_2311),
+	.Y(n_3029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g1003568 (
+	.A(n_2300),
+	.B(n_2153),
+	.X(n_3028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003569 (
+	.A(n_35257),
+	.B(n_2131),
+	.Y(n_3027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g1003570 (
+	.A(soc_top_u_uart_u_uart_core_rx_fifo_clr),
+	.B(n_2213),
+	.X(n_3026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1003571 (
+	.A(n_2232),
+	.B(n_2273),
+	.X(n_3025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003572 (
+	.A(soc_top_u_uart_u_uart_core_rx_fifo_clr),
+	.B(n_2282),
+	.Y(n_3024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003573 (
+	.A(soc_top_u_uart_u_uart_core_rx_fifo_clr),
+	.B(n_2287),
+	.Y(n_3022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003574 (
+	.A(n_107),
+	.B(n_2288),
+	.Y(n_3019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1003575 (
+	.A(n_1961),
+	.B(n_1678),
+	.Y(n_3018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003576 (
+	.A(n_29426),
+	.B(n_2302),
+	.Y(n_3017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1003577 (
+	.A_N(n_2182),
+	.B(n_84419),
+	.Y(n_3016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1003578 (
+	.A(n_2282),
+	.B(n_1314),
+	.Y(n_3015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1003579 (
+	.A(n_1311),
+	.B(n_2287),
+	.Y(n_3014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g1003580 (
+	.A(n_1313),
+	.B(n_2266),
+	.X(n_3013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1003581 (
+	.A(n_2287),
+	.B(n_1314),
+	.Y(n_3012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1003582 (
+	.A(n_2213),
+	.B(n_1314),
+	.Y(n_3011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1003583 (
+	.A_N(n_2266),
+	.B(n_1311),
+	.Y(n_3010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1003584 (
+	.A(n_2282),
+	.B(n_1311),
+	.Y(n_3009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1003585 (
+	.A(n_1311),
+	.B(n_2213),
+	.Y(n_3008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1003586 (
+	.A(n_1549),
+	.B(n_2165),
+	.X(n_3007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1003587 (
+	.A(n_2296),
+	.B(n_29329),
+	.X(n_3006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1003588 (
+	.A(n_2124),
+	.B(n_2150),
+	.X(n_3005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1003589 (
+	.A(n_2214),
+	.B_N(n_69927),
+	.Y(n_3004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g1003590 (
+	.A_N(n_2286),
+	.B(n_2124),
+	.X(n_3002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1003591 (
+	.A(n_2124),
+	.B(n_2156),
+	.X(n_3001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g1003592 (
+	.A_N(n_2214),
+	.B(n_589),
+	.X(n_3000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g1003593 (
+	.A(n_1506),
+	.B(n_2308),
+	.X(n_2998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g1003594 (
+	.A(n_2127),
+	.B(soc_top_u_top_u_core_csr_save_wb),
+	.X(n_2997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g1003595 (
+	.A(n_2127),
+	.B(soc_top_u_top_u_core_csr_save_if),
+	.X(n_2996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g1003596 (
+	.A(n_2124),
+	.B(n_1248),
+	.X(n_2995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g1003597 (
+	.A(n_2127),
+	.B(n_2308),
+	.X(n_2994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g1003598 (
+	.A(n_2124),
+	.B(n_2162),
+	.X(n_2993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g1003599 (
+	.A(n_1549),
+	.B(n_2160),
+	.X(n_2992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g1003600 (
+	.A_N(n_2294),
+	.B(n_2124),
+	.X(n_2991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g1003601 (
+	.A_N(n_2182),
+	.B(n_1505),
+	.X(n_2990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g1003602 (
+	.A(n_2124),
+	.B(n_2163),
+	.X(n_2989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g1003603 (
+	.A(n_2124),
+	.B(n_1505),
+	.X(n_2988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g1003604 (
+	.A(n_2124),
+	.B(n_2168),
+	.X(n_2987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g1003605 (
+	.A(n_2214),
+	.B(n_29413),
+	.X(n_2986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g1003606 (
+	.A(n_2245),
+	.B(n_2174),
+	.X(n_2985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g1003607 (
+	.A(n_2253),
+	.B(n_2174),
+	.X(n_2984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g1003608 (
+	.A(n_2228),
+	.B(n_2172),
+	.X(n_2983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g1003609 (
+	.A(n_2245),
+	.B(n_35362),
+	.X(n_2982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g1003610 (
+	.A(n_2245),
+	.B(n_2177),
+	.X(n_2981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g1003611 (
+	.A(n_2228),
+	.B(n_2174),
+	.X(n_2980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g1003612 (
+	.A(n_2228),
+	.B(n_2177),
+	.X(n_2979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g1003613 (
+	.A(n_2230),
+	.B(n_2177),
+	.X(n_2978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g1003614 (
+	.A(n_2230),
+	.B(n_35362),
+	.X(n_2977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g1003615 (
+	.A(n_2228),
+	.B(n_35362),
+	.X(n_2976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g1003616 (
+	.A(n_2174),
+	.B(n_2235),
+	.X(n_2975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g1003617 (
+	.A(n_2254),
+	.B(n_2177),
+	.X(n_2974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g1003618 (
+	.A(n_2174),
+	.B(n_2248),
+	.X(n_2973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g1003619 (
+	.A(n_2254),
+	.B(n_2174),
+	.X(n_2972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g1003620 (
+	.A(n_2230),
+	.B(n_2174),
+	.X(n_2971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g1003621 (
+	.A(n_2230),
+	.B(n_2172),
+	.X(n_2970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g1003622 (
+	.A(n_2177),
+	.B(n_2235),
+	.X(n_2969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g1003623 (
+	.A(n_2253),
+	.B(n_2172),
+	.X(n_2968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g1003624 (
+	.A(n_2172),
+	.B(n_2235),
+	.X(n_2967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g1003625 (
+	.A(n_35362),
+	.B(n_2248),
+	.X(n_2966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g1003626 (
+	.A(n_2254),
+	.B(n_35362),
+	.X(n_2965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g1003627 (
+	.A(n_2177),
+	.B(n_2248),
+	.X(n_2964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g1003628 (
+	.A(n_2255),
+	.B(n_2172),
+	.X(n_2963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g1003629 (
+	.A(n_2255),
+	.B(n_2174),
+	.X(n_2962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g1003630 (
+	.A(n_2172),
+	.B(n_2248),
+	.X(n_2961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g1003631 (
+	.A(n_2254),
+	.B(n_2172),
+	.X(n_2960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g1003632 (
+	.A(n_2253),
+	.B(n_35362),
+	.X(n_2959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g1003633 (
+	.A(n_2255),
+	.B(n_2177),
+	.X(n_2958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g1003634 (
+	.A(n_35362),
+	.B(n_2235),
+	.X(n_2957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g1003635 (
+	.A(n_2253),
+	.B(n_2177),
+	.X(n_2956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g1003636 (
+	.A(n_2245),
+	.B(n_2172),
+	.X(n_2955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g1003637 (
+	.A(n_2255),
+	.B(n_35362),
+	.X(n_2954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1003657 (
+	.A(n_74167),
+	.Y(n_2599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1003658 (
+	.A(n_2592),
+	.Y(n_2591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1003659 (
+	.A(n_2590),
+	.Y(n_2589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1003660 (
+	.A(n_2554),
+	.Y(n_2553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003661 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_RM_dly_S [2]),
+	.A2(n_1476),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_RM_dly_S [1]),
+	.Y(n_2552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1003662 (
+	.A1(n_1235),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [5]),
+	.B1(n_1257),
+	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [21]),
+	.X(n_2551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1003664 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_580),
+	.A2(n_1231),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[27]),
+	.X(n_2549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1003665 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_587),
+	.A2(n_1231),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[20]),
+	.X(n_2548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g1003666 (
+	.A1_N(n_623),
+	.A2_N(n_1246),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [17]),
+	.B2(n_1241),
+	.Y(n_2547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g1003667 (
+	.A1(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]),
+	.A2(n_29303),
+	.B1(\soc_top_xbar_to_lsu[d_error] ),
+	.B2(n_373),
+	.C1(n_218),
+	.Y(n_2546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g1003668 (
+	.A1(n_649),
+	.A2(n_1246),
+	.B1(n_57),
+	.B2(n_1242),
+	.X(n_2545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1003669 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_584),
+	.A2(n_1231),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[23]),
+	.X(n_2544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g1003670 (
+	.A1(n_75),
+	.A2(n_1246),
+	.B1(n_648),
+	.B2(n_1242),
+	.X(n_2543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1003671 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_577),
+	.A2(n_1231),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[30]),
+	.X(n_2542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1003672 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_601),
+	.A2(n_1231),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[6]),
+	.X(n_2541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1003673 (
+	.A1(n_1238),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [5]),
+	.B1(n_1244),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [4]),
+	.Y(n_2540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1003675 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_578),
+	.A2(n_1231),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[29]),
+	.X(n_2538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g1003676 (
+	.A1(n_634),
+	.A2(n_1237),
+	.B1(n_90),
+	.B2(n_1243),
+	.X(n_2537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g1003677 (
+	.A1_N(n_86),
+	.A2_N(n_1237),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [22]),
+	.B2(n_1244),
+	.Y(n_2536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g1003678 (
+	.A1_N(n_614),
+	.A2_N(n_1246),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [24]),
+	.B2(n_1241),
+	.Y(n_2535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g1003679 (
+	.A1(n_56),
+	.A2(n_1237),
+	.B1(FE_DBTN140_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_16),
+	.B2(n_1243),
+	.Y(n_2534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g1003680 (
+	.A1_N(n_613),
+	.A2_N(n_1242),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [21]),
+	.B2(n_1245),
+	.Y(n_2533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1003681 (
+	.A1(n_1235),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [4]),
+	.B1(n_1257),
+	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [20]),
+	.X(n_2532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1003682 (
+	.A1(n_1235),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [12]),
+	.B1(n_1257),
+	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [28]),
+	.X(n_2531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g1003684 (
+	.A1(n_648),
+	.A2(n_1246),
+	.B1(n_60),
+	.B2(n_1242),
+	.X(n_2529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1003685 (
+	.A1(n_1238),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [4]),
+	.B1(n_1244),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [3]),
+	.Y(n_2528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g1003687 (
+	.A1(n_90),
+	.A2(n_1246),
+	.B1(n_75),
+	.B2(n_1242),
+	.X(n_2526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1003689 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_588),
+	.A2(n_1231),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[19]),
+	.X(n_2524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1003690 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_604),
+	.A2(n_1231),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[3]),
+	.X(n_2523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g1003691 (
+	.A1(n_66),
+	.A2(n_1246),
+	.B1(n_86),
+	.B2(n_1242),
+	.Y(n_2522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1003692 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_582),
+	.A2(n_1231),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[25]),
+	.X(n_2521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1003693 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_590),
+	.A2(n_1231),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[17]),
+	.X(n_2520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1003694 (
+	.A1(n_1231),
+	.A2(soc_top_u_uart_u_uart_core_rx_time_n_606),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[1]),
+	.X(n_2519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1003695 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_591),
+	.A2(n_1231),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[16]),
+	.X(n_2518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1003696 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_583),
+	.A2(n_1231),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[24]),
+	.X(n_2517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1003697 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_594),
+	.A2(n_1231),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[13]),
+	.X(n_2516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1003698 (
+	.A1(n_1235),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [27]),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [11]),
+	.B2(n_1250),
+	.X(n_2515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1003699 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_597),
+	.A2(n_1231),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[10]),
+	.X(n_2514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g1003701 (
+	.A1(n_75),
+	.A2(n_1237),
+	.B1(n_648),
+	.B2(n_1243),
+	.X(n_2512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1003703 (
+	.A1(n_1630),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [0]),
+	.B1(n_595),
+	.Y(n_2510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003704 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [31]),
+	.A2(n_1445),
+	.B1(soc_top_GPIO_data_in_d[31]),
+	.Y(n_2509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003705 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [6]),
+	.A2(n_1162),
+	.B1(soc_top_GPIO_data_in_d[6]),
+	.Y(n_2508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003706 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [16]),
+	.A2(n_1450),
+	.B1(soc_top_GPIO_data_in_d[16]),
+	.Y(n_2507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003707 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [10]),
+	.A2(n_1454),
+	.B1(soc_top_GPIO_data_in_d[10]),
+	.Y(n_2506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003708 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [0]),
+	.A2(n_1463),
+	.B1(soc_top_GPIO_data_in_d[0]),
+	.Y(n_2505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003709 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [1]),
+	.A2(n_1418),
+	.B1(soc_top_GPIO_data_in_d[1]),
+	.Y(n_2504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1003710 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_598),
+	.A2(n_1231),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[9]),
+	.X(n_2503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003711 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [15]),
+	.A2(n_1214),
+	.B1(soc_top_GPIO_data_in_d[15]),
+	.Y(n_2502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003712 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [28]),
+	.A2(n_1221),
+	.B1(soc_top_GPIO_data_in_d[28]),
+	.Y(n_2501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003713 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [27]),
+	.A2(n_1481),
+	.B1(soc_top_GPIO_data_in_d[27]),
+	.Y(n_2500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003714 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [29]),
+	.A2(n_1444),
+	.B1(soc_top_GPIO_data_in_d[29]),
+	.Y(n_2499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003715 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [30]),
+	.A2(n_1461),
+	.B1(soc_top_GPIO_data_in_d[30]),
+	.Y(n_2498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003716 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [4]),
+	.A2(n_1475),
+	.B1(soc_top_GPIO_data_in_d[4]),
+	.Y(n_2497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003717 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [26]),
+	.A2(n_1491),
+	.B1(soc_top_GPIO_data_in_d[26]),
+	.Y(n_2496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003718 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [3]),
+	.A2(n_1405),
+	.B1(soc_top_GPIO_data_in_d[3]),
+	.Y(n_2495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003719 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [24]),
+	.A2(n_1207),
+	.B1(soc_top_GPIO_data_in_d[24]),
+	.Y(n_2494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003720 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [23]),
+	.A2(n_1201),
+	.B1(soc_top_GPIO_data_in_d[23]),
+	.Y(n_2493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003721 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [22]),
+	.A2(n_1478),
+	.B1(soc_top_GPIO_data_in_d[22]),
+	.Y(n_2492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003722 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [21]),
+	.A2(n_1488),
+	.B1(soc_top_GPIO_data_in_d[21]),
+	.Y(n_2491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003723 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [20]),
+	.A2(n_1171),
+	.B1(soc_top_GPIO_data_in_d[20]),
+	.Y(n_2490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003724 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [9]),
+	.A2(n_1435),
+	.B1(soc_top_GPIO_data_in_d[9]),
+	.Y(n_2489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003725 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [19]),
+	.A2(n_1156),
+	.B1(soc_top_GPIO_data_in_d[19]),
+	.Y(n_2488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003726 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [2]),
+	.A2(n_1226),
+	.B1(soc_top_GPIO_data_in_d[2]),
+	.Y(n_2487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003727 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [7]),
+	.A2(n_1174),
+	.B1(soc_top_GPIO_data_in_d[7]),
+	.Y(n_2486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003728 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [25]),
+	.A2(n_1142),
+	.B1(soc_top_GPIO_data_in_d[25]),
+	.Y(n_2485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003729 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [17]),
+	.A2(n_1148),
+	.B1(soc_top_GPIO_data_in_d[17]),
+	.Y(n_2484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003730 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [14]),
+	.A2(n_1150),
+	.B1(soc_top_GPIO_data_in_d[14]),
+	.Y(n_2483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003731 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [8]),
+	.A2(n_1144),
+	.B1(soc_top_GPIO_data_in_d[8]),
+	.Y(n_2482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003732 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [13]),
+	.A2(n_1163),
+	.B1(soc_top_GPIO_data_in_d[13]),
+	.Y(n_2481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003733 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [12]),
+	.A2(n_1212),
+	.B1(soc_top_GPIO_data_in_d[12]),
+	.Y(n_2480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003734 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [11]),
+	.A2(n_1193),
+	.B1(soc_top_GPIO_data_in_d[11]),
+	.Y(n_2479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003735 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [5]),
+	.A2(n_1477),
+	.B1(soc_top_GPIO_data_in_d[5]),
+	.Y(n_2478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1003736 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_596),
+	.A2(n_1231),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[11]),
+	.X(n_2477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g1003737 (
+	.A1(soc_top_u_top_u_core_csr_depc[29]),
+	.A2(n_29400),
+	.B1(soc_top_u_top_u_core_pc_mux_id[2]),
+	.C1(soc_top_u_top_u_core_pc_set),
+	.Y(n_2476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g1003738 (
+	.A1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
+	.A2(n_684),
+	.B1(n_29355),
+	.C1(n_37433),
+	.Y(n_2475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 g1003740 (
+	.A0(n_1382),
+	.A1(soc_top_u_top_u_core_alu_operator_ex[2]),
+	.S(soc_top_u_top_u_core_alu_operator_ex[1]),
+	.X(n_2473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g1003741 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [3]),
+	.A2(n_1499),
+	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [1]),
+	.Y(n_2472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g1003742 (
+	.A1(soc_top_u_pwm_pwm_core_period_counter1[11]),
+	.A2(n_1614),
+	.B1_N(soc_top_u_pwm_pwm_core_period[11]),
+	.Y(n_2471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g1003745 (
+	.A1(\soc_top_GPIO_gen_filter[8].filter_diff_ctr_q [1]),
+	.A2(\soc_top_GPIO_gen_filter[8].filter_diff_ctr_q [0]),
+	.A3(\soc_top_GPIO_gen_filter[8].filter_diff_ctr_q [2]),
+	.B1(\soc_top_GPIO_gen_filter[8].filter_diff_ctr_q [3]),
+	.Y(n_2468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g1003746 (
+	.A1(\soc_top_GPIO_gen_filter[23].filter_diff_ctr_q [1]),
+	.A2(\soc_top_GPIO_gen_filter[23].filter_diff_ctr_q [0]),
+	.A3(\soc_top_GPIO_gen_filter[23].filter_diff_ctr_q [2]),
+	.B1(\soc_top_GPIO_gen_filter[23].filter_diff_ctr_q [3]),
+	.Y(n_2467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003747 (
+	.A1(n_131),
+	.A2(n_1535),
+	.B1(n_126),
+	.Y(n_2466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1003748 (
+	.A1(n_1235),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [29]),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [13]),
+	.B2(n_1250),
+	.X(n_2465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1003749 (
+	.A1(n_30),
+	.A2(n_1318),
+	.B1(n_595),
+	.X(n_2464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g1003750 (
+	.A1(\soc_top_GPIO_gen_filter[1].filter_diff_ctr_q [1]),
+	.A2(\soc_top_GPIO_gen_filter[1].filter_diff_ctr_q [0]),
+	.A3(\soc_top_GPIO_gen_filter[1].filter_diff_ctr_q [2]),
+	.B1(\soc_top_GPIO_gen_filter[1].filter_diff_ctr_q [3]),
+	.Y(n_2463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g1003751 (
+	.A1(\soc_top_GPIO_gen_filter[2].filter_diff_ctr_q [1]),
+	.A2(\soc_top_GPIO_gen_filter[2].filter_diff_ctr_q [0]),
+	.A3(\soc_top_GPIO_gen_filter[2].filter_diff_ctr_q [2]),
+	.B1(\soc_top_GPIO_gen_filter[2].filter_diff_ctr_q [3]),
+	.Y(n_2462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g1003752 (
+	.A1(n_1356),
+	.A2(soc_top_u_pwm_pwm_core_period[13]),
+	.B1(n_1285),
+	.Y(n_2461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1003753 (
+	.A0(n_1324),
+	.A1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.S(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Y(n_2460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g1003754 (
+	.A1(\soc_top_GPIO_gen_filter[3].filter_diff_ctr_q [1]),
+	.A2(\soc_top_GPIO_gen_filter[3].filter_diff_ctr_q [0]),
+	.A3(\soc_top_GPIO_gen_filter[3].filter_diff_ctr_q [2]),
+	.B1(\soc_top_GPIO_gen_filter[3].filter_diff_ctr_q [3]),
+	.Y(n_2459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003755 (
+	.A1(n_1249),
+	.A2(n_29966),
+	.B1(n_1234),
+	.Y(n_2458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003756 (
+	.A1(n_29290),
+	.A2(soc_top_u_top_u_core_csr_mtvec[12]),
+	.B1(n_75064),
+	.B2(n_31622),
+	.C1(n_1798),
+	.X(n_2457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g1003757 (
+	.A1(\soc_top_GPIO_gen_filter[4].filter_diff_ctr_q [1]),
+	.A2(\soc_top_GPIO_gen_filter[4].filter_diff_ctr_q [0]),
+	.A3(\soc_top_GPIO_gen_filter[4].filter_diff_ctr_q [2]),
+	.B1(\soc_top_GPIO_gen_filter[4].filter_diff_ctr_q [3]),
+	.Y(n_2456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003758 (
+	.A1(n_29290),
+	.A2(soc_top_u_top_u_core_csr_mtvec[17]),
+	.B1(n_75064),
+	.B2(n_31627),
+	.C1(n_1795),
+	.X(n_2455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003759 (
+	.A1(n_29290),
+	.A2(soc_top_u_top_u_core_csr_mtvec[18]),
+	.B1(n_75064),
+	.B2(n_31628),
+	.C1(n_1771),
+	.X(n_2454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003760 (
+	.A1(n_29290),
+	.A2(soc_top_u_top_u_core_csr_mtvec[21]),
+	.B1(n_75064),
+	.B2(n_31631),
+	.C1(n_1772),
+	.X(n_2453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003761 (
+	.A1(n_29290),
+	.A2(soc_top_u_top_u_core_csr_mtvec[23]),
+	.B1(n_75064),
+	.B2(n_31633),
+	.C1(n_1791),
+	.X(n_2452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003762 (
+	.A1(n_29290),
+	.A2(soc_top_u_top_u_core_csr_mtvec[24]),
+	.B1(n_75064),
+	.B2(n_31634),
+	.C1(n_1769),
+	.X(n_2451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003763 (
+	.A1(n_29290),
+	.A2(soc_top_u_top_u_core_csr_mtvec[25]),
+	.B1(n_75064),
+	.B2(n_31635),
+	.C1(n_1790),
+	.X(n_2450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003764 (
+	.A1(n_29290),
+	.A2(soc_top_u_top_u_core_csr_mtvec[27]),
+	.B1(n_75064),
+	.B2(n_31637),
+	.C1(n_1777),
+	.X(n_2449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003765 (
+	.A1(n_29290),
+	.A2(soc_top_u_top_u_core_csr_mtvec[28]),
+	.B1(n_75064),
+	.B2(n_31638),
+	.C1(n_1775),
+	.X(n_2448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003766 (
+	.A1(n_29290),
+	.A2(soc_top_u_top_u_core_csr_mtvec[30]),
+	.B1(n_31640),
+	.B2(n_75064),
+	.C1(n_1770),
+	.X(n_2447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003767 (
+	.A1(n_29290),
+	.A2(soc_top_u_top_u_core_csr_mtvec[31]),
+	.B1(n_31641),
+	.B2(n_75064),
+	.C1(n_1778),
+	.X(n_2446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g1003768 (
+	.A1(n_1608),
+	.A2(soc_top_u_pwm_pwm_core_period_2[13]),
+	.B1(n_1550),
+	.Y(n_2445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g1003769 (
+	.A1(\soc_top_GPIO_gen_filter[6].filter_diff_ctr_q [1]),
+	.A2(\soc_top_GPIO_gen_filter[6].filter_diff_ctr_q [0]),
+	.A3(\soc_top_GPIO_gen_filter[6].filter_diff_ctr_q [2]),
+	.B1(\soc_top_GPIO_gen_filter[6].filter_diff_ctr_q [3]),
+	.Y(n_2444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g1003770 (
+	.A1(n_1608),
+	.A2(soc_top_u_pwm_pwm_core_DC_2[13]),
+	.B1(n_1550),
+	.Y(n_2443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g1003771 (
+	.A1(n_1533),
+	.A2(soc_top_u_pwm_pwm_core_DC_2[6]),
+	.B1(soc_top_u_pwm_pwm_core_DC_2[7]),
+	.Y(n_2442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g1003772 (
+	.A1(\soc_top_GPIO_gen_filter[10].filter_diff_ctr_q [1]),
+	.A2(\soc_top_GPIO_gen_filter[10].filter_diff_ctr_q [0]),
+	.A3(\soc_top_GPIO_gen_filter[10].filter_diff_ctr_q [2]),
+	.B1(\soc_top_GPIO_gen_filter[10].filter_diff_ctr_q [3]),
+	.Y(n_2441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g1003773 (
+	.A1(n_1356),
+	.A2(soc_top_u_pwm_pwm_core_DC_1[13]),
+	.B1(n_1285),
+	.Y(n_2440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1003774 (
+	.A_N(soc_top_u_pwm_pwm_core_DC_1[10]),
+	.B(n_1577),
+	.C(soc_top_u_pwm_pwm_core_period_counter1[10]),
+	.Y(n_2439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g1003775 (
+	.A1(\soc_top_GPIO_gen_filter[11].filter_diff_ctr_q [1]),
+	.A2(\soc_top_GPIO_gen_filter[11].filter_diff_ctr_q [0]),
+	.A3(\soc_top_GPIO_gen_filter[11].filter_diff_ctr_q [2]),
+	.B1(\soc_top_GPIO_gen_filter[11].filter_diff_ctr_q [3]),
+	.Y(n_2438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g1003776 (
+	.A1(\soc_top_GPIO_gen_filter[13].filter_diff_ctr_q [1]),
+	.A2(\soc_top_GPIO_gen_filter[13].filter_diff_ctr_q [0]),
+	.A3(\soc_top_GPIO_gen_filter[13].filter_diff_ctr_q [2]),
+	.B1(\soc_top_GPIO_gen_filter[13].filter_diff_ctr_q [3]),
+	.Y(n_2437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g1003777 (
+	.A1(\soc_top_GPIO_gen_filter[16].filter_diff_ctr_q [1]),
+	.A2(\soc_top_GPIO_gen_filter[16].filter_diff_ctr_q [0]),
+	.A3(\soc_top_GPIO_gen_filter[16].filter_diff_ctr_q [2]),
+	.B1(\soc_top_GPIO_gen_filter[16].filter_diff_ctr_q [3]),
+	.Y(n_2436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g1003778 (
+	.A1(\soc_top_GPIO_gen_filter[17].filter_diff_ctr_q [1]),
+	.A2(\soc_top_GPIO_gen_filter[17].filter_diff_ctr_q [0]),
+	.A3(\soc_top_GPIO_gen_filter[17].filter_diff_ctr_q [2]),
+	.B1(\soc_top_GPIO_gen_filter[17].filter_diff_ctr_q [3]),
+	.Y(n_2435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g1003779 (
+	.A1(\soc_top_GPIO_gen_filter[19].filter_diff_ctr_q [1]),
+	.A2(\soc_top_GPIO_gen_filter[19].filter_diff_ctr_q [0]),
+	.A3(\soc_top_GPIO_gen_filter[19].filter_diff_ctr_q [2]),
+	.B1(\soc_top_GPIO_gen_filter[19].filter_diff_ctr_q [3]),
+	.Y(n_2434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g1003780 (
+	.A1(n_626),
+	.A2(n_1246),
+	.B1(n_652),
+	.B2(n_1242),
+	.X(n_2433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g1003781 (
+	.A1(\soc_top_GPIO_gen_filter[21].filter_diff_ctr_q [1]),
+	.A2(\soc_top_GPIO_gen_filter[21].filter_diff_ctr_q [0]),
+	.A3(\soc_top_GPIO_gen_filter[21].filter_diff_ctr_q [2]),
+	.B1(\soc_top_GPIO_gen_filter[21].filter_diff_ctr_q [3]),
+	.Y(n_2432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g1003782 (
+	.A(\soc_top_u_top_u_core_fp_operands[2] [9]),
+	.B(\soc_top_u_top_u_core_fp_operands[2] [5]),
+	.C(n_34995),
+	.Y(n_2431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1003783 (
+	.A1(n_1513),
+	.A2(n_1522),
+	.B1(n_29307),
+	.Y(n_2430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g1003784 (
+	.A1(FE_DBTN140_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_16),
+	.A2(n_1237),
+	.B1(n_634),
+	.B2(n_1243),
+	.X(n_2429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g1003786 (
+	.A1(\soc_top_GPIO_gen_filter[26].filter_diff_ctr_q [1]),
+	.A2(\soc_top_GPIO_gen_filter[26].filter_diff_ctr_q [0]),
+	.A3(\soc_top_GPIO_gen_filter[26].filter_diff_ctr_q [2]),
+	.B1(\soc_top_GPIO_gen_filter[26].filter_diff_ctr_q [3]),
+	.Y(n_2427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g1003787 (
+	.A1(\soc_top_GPIO_gen_filter[27].filter_diff_ctr_q [1]),
+	.A2(\soc_top_GPIO_gen_filter[27].filter_diff_ctr_q [0]),
+	.A3(\soc_top_GPIO_gen_filter[27].filter_diff_ctr_q [2]),
+	.B1(\soc_top_GPIO_gen_filter[27].filter_diff_ctr_q [3]),
+	.Y(n_2426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g1003788 (
+	.A1(\soc_top_GPIO_gen_filter[20].filter_diff_ctr_q [1]),
+	.A2(\soc_top_GPIO_gen_filter[20].filter_diff_ctr_q [0]),
+	.A3(\soc_top_GPIO_gen_filter[20].filter_diff_ctr_q [2]),
+	.B1(\soc_top_GPIO_gen_filter[20].filter_diff_ctr_q [3]),
+	.Y(n_2425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1003790 (
+	.A(n_35383),
+	.B(n_35386),
+	.Y(n_2423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003791 (
+	.A1(n_29745),
+	.A2(n_1510),
+	.B1(soc_top_u_uart_u_uart_core_tx_fifo_clear),
+	.Y(n_2422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g1003792 (
+	.A1(\soc_top_GPIO_gen_filter[14].filter_diff_ctr_q [1]),
+	.A2(\soc_top_GPIO_gen_filter[14].filter_diff_ctr_q [0]),
+	.A3(\soc_top_GPIO_gen_filter[14].filter_diff_ctr_q [2]),
+	.B1(\soc_top_GPIO_gen_filter[14].filter_diff_ctr_q [3]),
+	.Y(n_2421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g1003793 (
+	.A1(\soc_top_GPIO_gen_filter[22].filter_diff_ctr_q [1]),
+	.A2(\soc_top_GPIO_gen_filter[22].filter_diff_ctr_q [0]),
+	.A3(\soc_top_GPIO_gen_filter[22].filter_diff_ctr_q [2]),
+	.B1(\soc_top_GPIO_gen_filter[22].filter_diff_ctr_q [3]),
+	.Y(n_2420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1003794 (
+	.A1(n_1523),
+	.A2(FE_DBTN103_n_71622),
+	.B1(n_2179),
+	.Y(n_2419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003795 (
+	.A1(n_29290),
+	.A2(soc_top_u_top_u_core_csr_mtvec[26]),
+	.B1(n_75064),
+	.B2(n_31636),
+	.C1(n_1776),
+	.X(n_2418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g1003796 (
+	.A1(\soc_top_GPIO_gen_filter[31].filter_diff_ctr_q [1]),
+	.A2(\soc_top_GPIO_gen_filter[31].filter_diff_ctr_q [0]),
+	.A3(\soc_top_GPIO_gen_filter[31].filter_diff_ctr_q [2]),
+	.B1(\soc_top_GPIO_gen_filter[31].filter_diff_ctr_q [3]),
+	.Y(n_2417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1003797 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_576),
+	.A2(n_1231),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[31]),
+	.X(n_2416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g1003798 (
+	.A1(\soc_top_GPIO_gen_filter[24].filter_diff_ctr_q [1]),
+	.A2(\soc_top_GPIO_gen_filter[24].filter_diff_ctr_q [0]),
+	.A3(\soc_top_GPIO_gen_filter[24].filter_diff_ctr_q [2]),
+	.B1(\soc_top_GPIO_gen_filter[24].filter_diff_ctr_q [3]),
+	.Y(n_2415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g1003799 (
+	.A1(\soc_top_GPIO_gen_filter[5].filter_diff_ctr_q [1]),
+	.A2(\soc_top_GPIO_gen_filter[5].filter_diff_ctr_q [0]),
+	.A3(\soc_top_GPIO_gen_filter[5].filter_diff_ctr_q [2]),
+	.B1(\soc_top_GPIO_gen_filter[5].filter_diff_ctr_q [3]),
+	.Y(n_2414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g1003800 (
+	.A1(FE_DBTN140_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_16),
+	.A2(n_1246),
+	.B1(n_634),
+	.B2(n_1242),
+	.X(n_2413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003801 (
+	.A1(n_29290),
+	.A2(soc_top_u_top_u_core_csr_mtvec[22]),
+	.B1(n_75064),
+	.B2(n_31632),
+	.C1(n_1792),
+	.X(n_2412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003802 (
+	.A1(n_29290),
+	.A2(soc_top_u_top_u_core_csr_mtvec[20]),
+	.B1(n_75064),
+	.B2(n_31630),
+	.C1(n_1793),
+	.X(n_2411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003803 (
+	.A1(n_29290),
+	.A2(soc_top_u_top_u_core_csr_mtvec[19]),
+	.B1(n_75064),
+	.B2(n_31629),
+	.C1(n_1794),
+	.X(n_2410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003804 (
+	.A1(n_29290),
+	.A2(soc_top_u_top_u_core_csr_mtvec[16]),
+	.B1(n_75064),
+	.B2(n_31626),
+	.C1(n_1796),
+	.X(n_2409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1003805 (
+	.A(n_1490),
+	.B(n_1486),
+	.C(n_1098),
+	.Y(n_2408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003806 (
+	.A1(n_29290),
+	.A2(soc_top_u_top_u_core_csr_mtvec[15]),
+	.B1(n_75064),
+	.B2(n_31625),
+	.C1(n_1768),
+	.X(n_2407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003807 (
+	.A1(n_29290),
+	.A2(soc_top_u_top_u_core_csr_mtvec[14]),
+	.B1(n_75064),
+	.B2(n_31624),
+	.C1(n_1803),
+	.X(n_2406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003808 (
+	.A1(n_29290),
+	.A2(soc_top_u_top_u_core_csr_mtvec[13]),
+	.B1(n_75064),
+	.B2(n_31623),
+	.C1(n_1797),
+	.X(n_2405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g1003809 (
+	.A1(n_623),
+	.A2(n_1237),
+	.B1(n_56),
+	.B2(n_1243),
+	.Y(n_2404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g1003811 (
+	.A1(n_613),
+	.A2(n_1246),
+	.B1(n_87),
+	.B2(n_1242),
+	.Y(n_2402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g1003812 (
+	.A1(\soc_top_GPIO_gen_filter[9].filter_diff_ctr_q [1]),
+	.A2(\soc_top_GPIO_gen_filter[9].filter_diff_ctr_q [0]),
+	.A3(\soc_top_GPIO_gen_filter[9].filter_diff_ctr_q [2]),
+	.B1(\soc_top_GPIO_gen_filter[9].filter_diff_ctr_q [3]),
+	.Y(n_2401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g1003813 (
+	.A1(n_658),
+	.A2(n_1237),
+	.B1(n_46),
+	.B2(n_1243),
+	.Y(n_2400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g1003814 (
+	.A1(\soc_top_GPIO_gen_filter[28].filter_diff_ctr_q [1]),
+	.A2(\soc_top_GPIO_gen_filter[28].filter_diff_ctr_q [0]),
+	.A3(\soc_top_GPIO_gen_filter[28].filter_diff_ctr_q [2]),
+	.B1(\soc_top_GPIO_gen_filter[28].filter_diff_ctr_q [3]),
+	.Y(n_2399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g1003815 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [3]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [4]),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
+	.D(n_1685),
+	.Y(n_2398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g1003816 (
+	.A1(\soc_top_GPIO_gen_filter[12].filter_diff_ctr_q [1]),
+	.A2(\soc_top_GPIO_gen_filter[12].filter_diff_ctr_q [0]),
+	.A3(\soc_top_GPIO_gen_filter[12].filter_diff_ctr_q [2]),
+	.B1(\soc_top_GPIO_gen_filter[12].filter_diff_ctr_q [3]),
+	.Y(n_2397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g1003817 (
+	.A1(\soc_top_GPIO_gen_filter[29].filter_diff_ctr_q [1]),
+	.A2(\soc_top_GPIO_gen_filter[29].filter_diff_ctr_q [0]),
+	.A3(\soc_top_GPIO_gen_filter[29].filter_diff_ctr_q [2]),
+	.B1(\soc_top_GPIO_gen_filter[29].filter_diff_ctr_q [3]),
+	.Y(n_2396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g1003818 (
+	.A1(\soc_top_GPIO_gen_filter[30].filter_diff_ctr_q [1]),
+	.A2(\soc_top_GPIO_gen_filter[30].filter_diff_ctr_q [0]),
+	.A3(\soc_top_GPIO_gen_filter[30].filter_diff_ctr_q [2]),
+	.B1(\soc_top_GPIO_gen_filter[30].filter_diff_ctr_q [3]),
+	.Y(n_2395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1003819 (
+	.A1(n_1612),
+	.A2(n_1512),
+	.B1(n_1327),
+	.Y(n_2394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g1003820 (
+	.A1(\soc_top_GPIO_gen_filter[0].filter_diff_ctr_q [1]),
+	.A2(\soc_top_GPIO_gen_filter[0].filter_diff_ctr_q [0]),
+	.A3(\soc_top_GPIO_gen_filter[0].filter_diff_ctr_q [2]),
+	.B1(\soc_top_GPIO_gen_filter[0].filter_diff_ctr_q [3]),
+	.Y(n_2393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g1003821 (
+	.A1(\soc_top_GPIO_gen_filter[7].filter_diff_ctr_q [1]),
+	.A2(\soc_top_GPIO_gen_filter[7].filter_diff_ctr_q [0]),
+	.A3(\soc_top_GPIO_gen_filter[7].filter_diff_ctr_q [2]),
+	.B1(\soc_top_GPIO_gen_filter[7].filter_diff_ctr_q [3]),
+	.Y(n_2392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g1003822 (
+	.A1(\soc_top_GPIO_gen_filter[25].filter_diff_ctr_q [1]),
+	.A2(\soc_top_GPIO_gen_filter[25].filter_diff_ctr_q [0]),
+	.A3(\soc_top_GPIO_gen_filter[25].filter_diff_ctr_q [2]),
+	.B1(\soc_top_GPIO_gen_filter[25].filter_diff_ctr_q [3]),
+	.Y(n_2391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1003823 (
+	.A1(n_1238),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [24]),
+	.B1(n_1244),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [23]),
+	.Y(n_2390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g1003824 (
+	.A1(\soc_top_GPIO_gen_filter[15].filter_diff_ctr_q [1]),
+	.A2(\soc_top_GPIO_gen_filter[15].filter_diff_ctr_q [0]),
+	.A3(\soc_top_GPIO_gen_filter[15].filter_diff_ctr_q [2]),
+	.B1(\soc_top_GPIO_gen_filter[15].filter_diff_ctr_q [3]),
+	.Y(n_2389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1003825 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_579),
+	.A2(n_1231),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[28]),
+	.X(n_2388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1003827 (
+	.A1(n_1325),
+	.A2(FE_DBTN144_soc_top_u_top_u_core_alu_operator_ex_1),
+	.B1(n_715),
+	.X(n_2386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1003828 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_585),
+	.A2(n_1231),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[22]),
+	.X(n_2385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1003829 (
+	.A1(n_1509),
+	.A2(soc_top_u_top_u_core_fp_alu_operator[0]),
+	.B1(n_2086),
+	.Y(n_2384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g1003830 (
+	.A(soc_top_u_pwm_pwm_core_DC_1[9]),
+	.B(n_1383),
+	.C(n_1578),
+	.Y(n_2383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g1003831 (
+	.A(soc_top_u_pwm_pwm_core_DC_2[9]),
+	.B(n_1632),
+	.C(n_1590),
+	.X(n_2382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g1003832 (
+	.A(n_29674),
+	.B(n_29676),
+	.C(n_29632),
+	.D(n_29630),
+	.X(n_2381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g1003833 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mid_pipe_info_q[0][is_inf] ),
+	.B(n_2317),
+	.C(n_1512),
+	.X(n_2380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g1003834 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [4]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [7]),
+	.C(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [5]),
+	.D(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [6]),
+	.X(n_2379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g1003835 (
+	.A(n_31545),
+	.B(n_1349),
+	.C_N(n_36121),
+	.Y(n_2378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1003836 (
+	.A1(soc_top_u_top_u_core_csr_mepc[1]),
+	.A2(n_34665),
+	.B1(soc_top_u_top_u_core_csr_depc[1]),
+	.B2(n_34664),
+	.C1(n_75064),
+	.C2(n_31611),
+	.Y(n_2377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g1003837 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [27]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [28]),
+	.C(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [29]),
+	.D(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [30]),
+	.X(n_2376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g1003839 (
+	.A1(n_70316),
+	.A2(n_513),
+	.B1(n_1101),
+	.B2(n_37500),
+	.C1(n_1468),
+	.Y(n_2374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g1003840 (
+	.A(n_1434),
+	.B(n_1420),
+	.C(n_35378),
+	.D(n_1411),
+	.Y(n_2373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1003841 (
+	.A_N(n_1336),
+	.B(n_1606),
+	.C(n_29331),
+	.Y(n_2372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1003845 (
+	.A1(n_1238),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [20]),
+	.B1(n_1244),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [19]),
+	.Y(n_2368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1003846 (
+	.A1(n_1238),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [3]),
+	.B1(n_1244),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [2]),
+	.Y(n_2367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g1003847 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [0]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [5]),
+	.C(n_29725),
+	.D(n_29730),
+	.Y(n_2366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1003848 (
+	.A1(n_1245),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [22]),
+	.B1(n_1241),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [21]),
+	.Y(n_2365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1003851 (
+	.A_N(n_29301),
+	.B(n_29300),
+	.C(n_29511),
+	.D(soc_top_u_top_u_core_is_fp_instr),
+	.Y(n_2362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g1003852 (
+	.A1(n_60),
+	.A2(n_1246),
+	.B1(n_626),
+	.B2(n_1242),
+	.X(n_2361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1003853 (
+	.A1(n_1245),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [7]),
+	.B1(n_1241),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [6]),
+	.Y(n_2360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g1003855 (
+	.A(n_29668),
+	.B(n_29664),
+	.C(n_29662),
+	.D(n_29660),
+	.X(n_2358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g1003856 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [0]),
+	.B(n_1291),
+	.C(n_29309),
+	.D(n_72256),
+	.X(n_2357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g1003857 (
+	.A(n_1617),
+	.B(n_1548),
+	.C(\soc_top_timer0_reg2hw[ctrl][0][q] ),
+	.X(n_2356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g1003858 (
+	.A1_N(n_86),
+	.A2_N(n_1246),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [22]),
+	.B2(n_1241),
+	.Y(n_2355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1003859 (
+	.A1(n_1235),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [26]),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [10]),
+	.B2(n_1250),
+	.X(n_2354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g1003860 (
+	.A1(n_1509),
+	.A2(n_1560),
+	.B1_N(n_2283),
+	.Y(n_2353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1003861 (
+	.A1(n_1609),
+	.A2(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B1(n_1834),
+	.Y(n_2352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1003862 (
+	.A1(n_1629),
+	.A2(n_1621),
+	.B1(n_1627),
+	.X(n_2351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1003863 (
+	.A(n_1971),
+	.B(n_1360),
+	.Y(n_2350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g1003864 (
+	.A(n_1628),
+	.B(n_1627),
+	.C(n_1629),
+	.Y(n_2349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g1003865 (
+	.A1(n_35447),
+	.A2(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.A3(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.B1(n_343931_BAR),
+	.B2(n_97),
+	.X(n_2348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g1003867 (
+	.A1(n_525),
+	.A2(n_29460),
+	.B1(n_1200),
+	.C1(n_1466),
+	.D1(n_1456),
+	.Y(n_2346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1003870 (
+	.A1(soc_top_u_pwm_pwm_core_period_2[5]),
+	.A2(n_718),
+	.B1(soc_top_u_pwm_pwm_core_period_2[7]),
+	.B2(n_702),
+	.C1(n_1635),
+	.X(n_2343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32a_1 g1003871 (
+	.A1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
+	.A3(n_29610),
+	.B1(n_684),
+	.B2(n_29706),
+	.X(n_2342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1003872 (
+	.A1(n_29300),
+	.A2(n_1508),
+	.B1(n_688),
+	.B2(soc_top_u_top_u_core_fp_alu_operator[1]),
+	.Y(n_2341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1003873 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_595),
+	.A2(n_1231),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[12]),
+	.X(n_2340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1003874 (
+	.A1(n_1231),
+	.A2(soc_top_u_uart_u_uart_core_rx_time_n_605),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[2]),
+	.X(n_2339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1003875 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_602),
+	.A2(n_1231),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[5]),
+	.X(n_2338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1003876 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_581),
+	.A2(n_1231),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[26]),
+	.X(n_2337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1003877 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_600),
+	.A2(n_1231),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[7]),
+	.X(n_2336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1003879 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_599),
+	.A2(n_1231),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[8]),
+	.X(n_2334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1003880 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_603),
+	.A2(n_1231),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[4]),
+	.X(n_2333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g1003881 (
+	.A1(n_87),
+	.A2(n_1246),
+	.B1(n_623),
+	.B2(n_1242),
+	.Y(n_2332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1003882 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_592),
+	.A2(n_1231),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[15]),
+	.X(n_2331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1003883 (
+	.A1(n_1235),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [18]),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [2]),
+	.B2(n_1250),
+	.X(n_2330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1003884 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_593),
+	.A2(n_1231),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[14]),
+	.X(n_2329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1003885 (
+	.A1(n_1235),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [20]),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [4]),
+	.B2(n_1250),
+	.X(n_2328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1003886 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_586),
+	.A2(n_1231),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[21]),
+	.X(n_2327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1003887 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_589),
+	.A2(n_1231),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[18]),
+	.X(n_2326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1003888 (
+	.A1(n_1238),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [21]),
+	.B1(n_1244),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [20]),
+	.Y(n_2325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1003889 (
+	.A1(n_1245),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [5]),
+	.B1(n_1241),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [4]),
+	.Y(n_2324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g1003890 (
+	.A1(n_57),
+	.A2(n_1237),
+	.B1(n_38),
+	.B2(n_1243),
+	.X(n_2323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1003891 (
+	.A1(n_1245),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [17]),
+	.B1(n_1241),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [16]),
+	.Y(n_2322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1003892 (
+	.A1(n_1238),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [19]),
+	.B1(n_1244),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [18]),
+	.Y(n_2321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g1003893 (
+	.A1(\soc_top_intr_controller_reg2hw[le][28][q] ),
+	.A2(soc_top_intr_controller_u_gateway_src_q[28]),
+	.B1(n_1128),
+	.C1(soc_top_intr_controller_u_gateway_ia[28]),
+	.Y(n_2705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1003894 (
+	.A(n_31545),
+	.B(n_1524),
+	.C(n_1508),
+	.Y(n_2704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1003895 (
+	.A(n_1829),
+	.B(n_1389),
+	.X(n_2703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g1003896 (
+	.A(n_29328),
+	.B(n_29331),
+	.C(n_1287),
+	.Y(n_2702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1003897 (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[16] [0]),
+	.A2(n_323),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo_buffer[8] [0]),
+	.B2(n_311),
+	.C1(n_888),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[24] [0]),
+	.Y(n_2701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g1003898 (
+	.A(\soc_top_spi_to_xbar[d_valid] ),
+	.B(soc_top_u_spi_host_spi_host_tip),
+	.C(\soc_top_xbar_to_timer[a_address] [6]),
+	.D(n_29733),
+	.Y(n_2700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g1003899 (
+	.A(n_29478),
+	.B(n_29479),
+	.C(n_29480),
+	.D(n_29481),
+	.Y(n_2699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1003900 (
+	.A1(n_1373),
+	.A2(n_123),
+	.B1(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.Y(n_2698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003901 (
+	.A1(n_35447),
+	.A2(n_1557),
+	.B1(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.Y(n_2697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g1003902 (
+	.A1(n_605),
+	.A2(n_1237),
+	.B1(n_614),
+	.B2(n_1243),
+	.X(n_2696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1003903 (
+	.A1(n_106),
+	.A2(soc_top_u_pwm_pwm_core_DC_2[6]),
+	.B1(n_718),
+	.B2(soc_top_u_pwm_pwm_core_DC_2[5]),
+	.C1(soc_top_u_pwm_pwm_core_DC_2[7]),
+	.C2(n_702),
+	.Y(n_2695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003904 (
+	.A1(soc_top_u_top_u_core_multdiv_operator_ex[0]),
+	.A2(n_1289),
+	.B1(n_1526),
+	.Y(n_2694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_2 g1003905 (
+	.A1(n_107),
+	.A2(n_1350),
+	.B1_N(n_1634),
+	.X(n_2693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g1003906 (
+	.A1(soc_top_u_pwm_pwm_core_DC_1[9]),
+	.A2(n_685),
+	.B1(n_1383),
+	.C1(n_1578),
+	.Y(n_2692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g1003907 (
+	.A(n_718),
+	.B(soc_top_u_pwm_pwm_core_period_2[5]),
+	.C(n_1635),
+	.X(n_2691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g1003908 (
+	.A(n_1517),
+	.B(soc_top_u_uart_u_uart_core_rx_buffer_size[4]),
+	.C(soc_top_u_uart_u_uart_core_rx_buffer_size[5]),
+	.D(soc_top_u_uart_u_uart_core_rx_buffer_size[3]),
+	.Y(n_2690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g1003909 (
+	.A1(soc_top_u_pwm_pwm_core_DC_2[9]),
+	.A2(n_724),
+	.B1(n_1632),
+	.C1(n_1590),
+	.Y(n_2689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1003910 (
+	.A1(n_1288),
+	.A2(n_1525),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_div_by_zero_q ),
+	.Y(n_2688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g1003911 (
+	.A1(n_72952),
+	.A2(n_69927),
+	.B1(n_598),
+	.B2(n_589),
+	.C1(n_1272),
+	.Y(n_2687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1003912 (
+	.A_N(n_29701),
+	.B(\soc_top_lsu_to_xbar[a_address] [19]),
+	.C(n_1593),
+	.Y(n_2686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g1003913 (
+	.A(soc_top_iccm_adapter_rvalid),
+	.B(n_1406),
+	.C(n_842),
+	.X(n_2685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1003914 (
+	.A_N(soc_top_intr_controller_u_gateway_ia[7]),
+	.B(n_1185),
+	.C(soc_top_intr_gpio[6]),
+	.Y(n_2684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1003915 (
+	.A_N(soc_top_intr_controller_u_gateway_ia[16]),
+	.B(n_1152),
+	.C(soc_top_intr_gpio[15]),
+	.Y(n_2683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g1003916 (
+	.A1(\soc_top_intr_controller_reg2hw[le][34][q] ),
+	.A2(soc_top_intr_controller_u_gateway_src_q[34]),
+	.B1(n_522),
+	.C1(soc_top_intr_controller_u_gateway_ia[34]),
+	.Y(n_2681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g1003917 (
+	.A1(\soc_top_intr_controller_reg2hw[le][33][q] ),
+	.A2(soc_top_intr_controller_u_gateway_src_q[33]),
+	.B1(soc_top_intr_controller_u_gateway_ia[33]),
+	.C1(n_849),
+	.Y(n_2679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g1003918 (
+	.A1(\soc_top_intr_controller_reg2hw[le][32][q] ),
+	.A2(soc_top_intr_controller_u_gateway_src_q[32]),
+	.B1(n_1114),
+	.C1(soc_top_intr_controller_u_gateway_ia[32]),
+	.Y(n_2677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g1003919 (
+	.A1(\soc_top_intr_controller_reg2hw[le][31][q] ),
+	.A2(soc_top_intr_controller_u_gateway_src_q[31]),
+	.B1(n_542),
+	.C1(soc_top_intr_controller_u_gateway_ia[31]),
+	.Y(n_2675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1003920 (
+	.A_N(soc_top_intr_controller_u_gateway_ia[30]),
+	.B(n_1170),
+	.C(soc_top_intr_gpio[29]),
+	.Y(n_2674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g1003921 (
+	.A1(\soc_top_intr_controller_reg2hw[le][29][q] ),
+	.A2(soc_top_intr_controller_u_gateway_src_q[29]),
+	.B1(n_1126),
+	.C1(soc_top_intr_controller_u_gateway_ia[29]),
+	.Y(n_2672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g1003922 (
+	.A1(\soc_top_intr_controller_reg2hw[le][27][q] ),
+	.A2(soc_top_intr_controller_u_gateway_src_q[27]),
+	.B1(n_1112),
+	.C1(soc_top_intr_controller_u_gateway_ia[27]),
+	.Y(n_2670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g1003923 (
+	.A1(\soc_top_intr_controller_reg2hw[le][26][q] ),
+	.A2(soc_top_intr_controller_u_gateway_src_q[26]),
+	.B1(n_557),
+	.C1(soc_top_intr_controller_u_gateway_ia[26]),
+	.Y(n_2668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g1003924 (
+	.A1(\soc_top_intr_controller_reg2hw[le][25][q] ),
+	.A2(soc_top_intr_controller_u_gateway_src_q[25]),
+	.B1(n_1132),
+	.C1(soc_top_intr_controller_u_gateway_ia[25]),
+	.Y(n_2666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g1003925 (
+	.A1(\soc_top_intr_controller_reg2hw[le][24][q] ),
+	.A2(soc_top_intr_controller_u_gateway_src_q[24]),
+	.B1(n_1135),
+	.C1(soc_top_intr_controller_u_gateway_ia[24]),
+	.Y(n_2664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1003926 (
+	.A_N(soc_top_intr_controller_u_gateway_ia[23]),
+	.B(n_1172),
+	.C(soc_top_intr_gpio[22]),
+	.Y(n_2663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1003927 (
+	.A_N(soc_top_intr_controller_u_gateway_ia[22]),
+	.B(n_1413),
+	.C(soc_top_intr_gpio[21]),
+	.Y(n_2662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g1003928 (
+	.A1(\soc_top_intr_controller_reg2hw[le][21][q] ),
+	.A2(soc_top_intr_controller_u_gateway_src_q[21]),
+	.B1(n_1134),
+	.C1(soc_top_intr_controller_u_gateway_ia[21]),
+	.Y(n_2660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1003929 (
+	.A_N(soc_top_intr_controller_u_gateway_ia[20]),
+	.B(n_1175),
+	.C(soc_top_intr_gpio[19]),
+	.Y(n_2659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g1003930 (
+	.A1(\soc_top_intr_controller_reg2hw[le][19][q] ),
+	.A2(soc_top_intr_controller_u_gateway_src_q[19]),
+	.B1(n_1120),
+	.C1(soc_top_intr_controller_u_gateway_ia[19]),
+	.Y(n_2657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1003931 (
+	.A_N(soc_top_intr_controller_u_gateway_ia[18]),
+	.B(n_1179),
+	.C(soc_top_intr_gpio[17]),
+	.Y(n_2656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g1003932 (
+	.A1(\soc_top_intr_controller_reg2hw[le][17][q] ),
+	.A2(soc_top_intr_controller_u_gateway_src_q[17]),
+	.B1(n_1115),
+	.C1(soc_top_intr_controller_u_gateway_ia[17]),
+	.Y(n_2654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1003933 (
+	.A_N(soc_top_intr_controller_u_gateway_ia[15]),
+	.B(n_1181),
+	.C(soc_top_intr_gpio[14]),
+	.Y(n_2653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1003934 (
+	.A_N(soc_top_intr_controller_u_gateway_ia[14]),
+	.B(n_1431),
+	.C(soc_top_intr_gpio[13]),
+	.Y(n_2652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g1003935 (
+	.A1(\soc_top_intr_controller_reg2hw[le][13][q] ),
+	.A2(soc_top_intr_controller_u_gateway_src_q[13]),
+	.B1(n_1117),
+	.C1(soc_top_intr_controller_u_gateway_ia[13]),
+	.Y(n_2650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1003936 (
+	.A_N(soc_top_intr_controller_u_gateway_ia[12]),
+	.B(n_1183),
+	.C(soc_top_intr_gpio[11]),
+	.Y(n_2649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g1003937 (
+	.A1(\soc_top_intr_controller_reg2hw[le][11][q] ),
+	.A2(soc_top_intr_controller_u_gateway_src_q[11]),
+	.B1(n_551),
+	.C1(soc_top_intr_controller_u_gateway_ia[11]),
+	.Y(n_2647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1003938 (
+	.A_N(soc_top_intr_controller_u_gateway_ia[10]),
+	.B(n_1432),
+	.C(soc_top_intr_gpio[9]),
+	.Y(n_2646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g1003939 (
+	.A1(\soc_top_intr_controller_reg2hw[le][9][q] ),
+	.A2(soc_top_intr_controller_u_gateway_src_q[9]),
+	.B1(n_1130),
+	.C1(soc_top_intr_controller_u_gateway_ia[9]),
+	.Y(n_2644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1003940 (
+	.A_N(soc_top_intr_controller_u_gateway_ia[8]),
+	.B(n_1416),
+	.C(soc_top_intr_gpio[7]),
+	.Y(n_2643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1003941 (
+	.A_N(soc_top_intr_controller_u_gateway_ia[6]),
+	.B(n_1186),
+	.C(soc_top_intr_gpio[5]),
+	.Y(n_2642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1003942 (
+	.A_N(soc_top_intr_controller_u_gateway_ia[5]),
+	.B(n_1187),
+	.C(soc_top_intr_gpio[4]),
+	.Y(n_2641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1003943 (
+	.A_N(soc_top_intr_controller_u_gateway_ia[4]),
+	.B(n_1439),
+	.C(soc_top_intr_gpio[3]),
+	.Y(n_2640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1003944 (
+	.A_N(soc_top_intr_controller_u_gateway_ia[3]),
+	.B(n_1189),
+	.C(soc_top_intr_gpio[2]),
+	.Y(n_2639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1003945 (
+	.A_N(soc_top_intr_controller_u_gateway_ia[2]),
+	.B(n_1190),
+	.C(soc_top_intr_gpio[1]),
+	.Y(n_2638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1003946 (
+	.A_N(soc_top_intr_controller_u_gateway_ia[1]),
+	.B(n_1194),
+	.C(soc_top_intr_gpio[0]),
+	.Y(n_2637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1003947 (
+	.A(soc_top_intr_controller_u_gateway_ia[36]),
+	.B_N(n_2319),
+	.Y(n_2320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g1003948 (
+	.A1(\soc_top_intr_controller_reg2hw[le][35][q] ),
+	.A2(soc_top_intr_controller_u_gateway_src_q[35]),
+	.B1(n_555),
+	.C1(soc_top_intr_controller_u_gateway_ia[35]),
+	.Y(n_2635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g1003949 (
+	.A(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(n_1458),
+	.C(n_29697),
+	.Y(n_2634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1003950 (
+	.A1(n_55),
+	.A2(n_1237),
+	.B1(n_1611),
+	.Y(n_2633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1003951 (
+	.A_N(n_1908),
+	.B(\soc_top_GPIO_gen_filter[5].filter_diff_ctr_q [1]),
+	.C(\soc_top_GPIO_gen_filter[5].filter_diff_ctr_q [2]),
+	.D(\soc_top_GPIO_gen_filter[5].filter_diff_ctr_q [3]),
+	.Y(n_2632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1003952 (
+	.A_N(n_1920),
+	.B(\soc_top_GPIO_gen_filter[14].filter_diff_ctr_q [1]),
+	.C(\soc_top_GPIO_gen_filter[14].filter_diff_ctr_q [2]),
+	.D(\soc_top_GPIO_gen_filter[14].filter_diff_ctr_q [3]),
+	.Y(n_2631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1003953 (
+	.A_N(n_1909),
+	.B(\soc_top_GPIO_gen_filter[21].filter_diff_ctr_q [1]),
+	.C(\soc_top_GPIO_gen_filter[21].filter_diff_ctr_q [2]),
+	.D(\soc_top_GPIO_gen_filter[21].filter_diff_ctr_q [3]),
+	.Y(n_2630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1003954 (
+	.A_N(n_1912),
+	.B(\soc_top_GPIO_gen_filter[10].filter_diff_ctr_q [1]),
+	.C(\soc_top_GPIO_gen_filter[10].filter_diff_ctr_q [2]),
+	.D(\soc_top_GPIO_gen_filter[10].filter_diff_ctr_q [3]),
+	.Y(n_2629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1003955 (
+	.A_N(n_1898),
+	.B(\soc_top_GPIO_gen_filter[0].filter_diff_ctr_q [1]),
+	.C(\soc_top_GPIO_gen_filter[0].filter_diff_ctr_q [2]),
+	.D(\soc_top_GPIO_gen_filter[0].filter_diff_ctr_q [3]),
+	.Y(n_2628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1003956 (
+	.A_N(n_1918),
+	.B(\soc_top_GPIO_gen_filter[3].filter_diff_ctr_q [1]),
+	.C(\soc_top_GPIO_gen_filter[3].filter_diff_ctr_q [2]),
+	.D(\soc_top_GPIO_gen_filter[3].filter_diff_ctr_q [3]),
+	.Y(n_2627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1003957 (
+	.A_N(n_1903),
+	.B(\soc_top_GPIO_gen_filter[23].filter_diff_ctr_q [1]),
+	.C(\soc_top_GPIO_gen_filter[23].filter_diff_ctr_q [2]),
+	.D(\soc_top_GPIO_gen_filter[23].filter_diff_ctr_q [3]),
+	.Y(n_2626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1003958 (
+	.A_N(n_1919),
+	.B(\soc_top_GPIO_gen_filter[19].filter_diff_ctr_q [1]),
+	.C(\soc_top_GPIO_gen_filter[19].filter_diff_ctr_q [2]),
+	.D(\soc_top_GPIO_gen_filter[19].filter_diff_ctr_q [3]),
+	.Y(n_2625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1003959 (
+	.A_N(n_1923),
+	.B(\soc_top_GPIO_gen_filter[30].filter_diff_ctr_q [1]),
+	.C(\soc_top_GPIO_gen_filter[30].filter_diff_ctr_q [2]),
+	.D(\soc_top_GPIO_gen_filter[30].filter_diff_ctr_q [3]),
+	.Y(n_2624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1003960 (
+	.A_N(n_1904),
+	.B(\soc_top_GPIO_gen_filter[24].filter_diff_ctr_q [1]),
+	.C(\soc_top_GPIO_gen_filter[24].filter_diff_ctr_q [2]),
+	.D(\soc_top_GPIO_gen_filter[24].filter_diff_ctr_q [3]),
+	.Y(n_2623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1003961 (
+	.A_N(n_1925),
+	.B(\soc_top_GPIO_gen_filter[2].filter_diff_ctr_q [1]),
+	.C(\soc_top_GPIO_gen_filter[2].filter_diff_ctr_q [2]),
+	.D(\soc_top_GPIO_gen_filter[2].filter_diff_ctr_q [3]),
+	.Y(n_2622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1003962 (
+	.A_N(n_1907),
+	.B(\soc_top_GPIO_gen_filter[11].filter_diff_ctr_q [1]),
+	.C(\soc_top_GPIO_gen_filter[11].filter_diff_ctr_q [2]),
+	.D(\soc_top_GPIO_gen_filter[11].filter_diff_ctr_q [3]),
+	.Y(n_2621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1003963 (
+	.A_N(n_1905),
+	.B(\soc_top_GPIO_gen_filter[26].filter_diff_ctr_q [1]),
+	.C(\soc_top_GPIO_gen_filter[26].filter_diff_ctr_q [2]),
+	.D(\soc_top_GPIO_gen_filter[26].filter_diff_ctr_q [3]),
+	.Y(n_2620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1003964 (
+	.A_N(n_1911),
+	.B(\soc_top_GPIO_gen_filter[20].filter_diff_ctr_q [1]),
+	.C(\soc_top_GPIO_gen_filter[20].filter_diff_ctr_q [2]),
+	.D(\soc_top_GPIO_gen_filter[20].filter_diff_ctr_q [3]),
+	.Y(n_2619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g1003965 (
+	.A1(n_40),
+	.A2(n_1246),
+	.B1(n_35),
+	.B2(n_1242),
+	.X(n_2618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1003966 (
+	.A_N(n_1902),
+	.B(\soc_top_GPIO_gen_filter[12].filter_diff_ctr_q [1]),
+	.C(\soc_top_GPIO_gen_filter[12].filter_diff_ctr_q [2]),
+	.D(\soc_top_GPIO_gen_filter[12].filter_diff_ctr_q [3]),
+	.Y(n_2617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1003967 (
+	.A_N(n_1910),
+	.B(\soc_top_GPIO_gen_filter[28].filter_diff_ctr_q [1]),
+	.C(\soc_top_GPIO_gen_filter[28].filter_diff_ctr_q [2]),
+	.D(\soc_top_GPIO_gen_filter[28].filter_diff_ctr_q [3]),
+	.Y(n_2616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1003968 (
+	.A_N(n_1916),
+	.B(\soc_top_GPIO_gen_filter[4].filter_diff_ctr_q [1]),
+	.C(\soc_top_GPIO_gen_filter[4].filter_diff_ctr_q [2]),
+	.D(\soc_top_GPIO_gen_filter[4].filter_diff_ctr_q [3]),
+	.Y(n_2615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1003969 (
+	.A_N(n_1922),
+	.B(\soc_top_GPIO_gen_filter[29].filter_diff_ctr_q [1]),
+	.C(\soc_top_GPIO_gen_filter[29].filter_diff_ctr_q [2]),
+	.D(\soc_top_GPIO_gen_filter[29].filter_diff_ctr_q [3]),
+	.Y(n_2614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1003970 (
+	.A_N(n_1900),
+	.B(\soc_top_GPIO_gen_filter[7].filter_diff_ctr_q [1]),
+	.C(\soc_top_GPIO_gen_filter[7].filter_diff_ctr_q [2]),
+	.D(\soc_top_GPIO_gen_filter[7].filter_diff_ctr_q [3]),
+	.Y(n_2613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1003971 (
+	.A_N(n_1924),
+	.B(\soc_top_GPIO_gen_filter[13].filter_diff_ctr_q [1]),
+	.C(\soc_top_GPIO_gen_filter[13].filter_diff_ctr_q [2]),
+	.D(\soc_top_GPIO_gen_filter[13].filter_diff_ctr_q [3]),
+	.Y(n_2612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1003972 (
+	.A_N(n_1899),
+	.B(\soc_top_GPIO_gen_filter[6].filter_diff_ctr_q [1]),
+	.C(\soc_top_GPIO_gen_filter[6].filter_diff_ctr_q [2]),
+	.D(\soc_top_GPIO_gen_filter[6].filter_diff_ctr_q [3]),
+	.Y(n_2611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1003973 (
+	.A_N(n_1914),
+	.B(\soc_top_GPIO_gen_filter[22].filter_diff_ctr_q [1]),
+	.C(\soc_top_GPIO_gen_filter[22].filter_diff_ctr_q [2]),
+	.D(\soc_top_GPIO_gen_filter[22].filter_diff_ctr_q [3]),
+	.Y(n_2610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1003974 (
+	.A_N(n_1906),
+	.B(\soc_top_GPIO_gen_filter[15].filter_diff_ctr_q [1]),
+	.C(\soc_top_GPIO_gen_filter[15].filter_diff_ctr_q [2]),
+	.D(\soc_top_GPIO_gen_filter[15].filter_diff_ctr_q [3]),
+	.Y(n_2609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1003975 (
+	.A_N(n_1915),
+	.B(\soc_top_GPIO_gen_filter[16].filter_diff_ctr_q [1]),
+	.C(\soc_top_GPIO_gen_filter[16].filter_diff_ctr_q [2]),
+	.D(\soc_top_GPIO_gen_filter[16].filter_diff_ctr_q [3]),
+	.Y(n_2608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1003976 (
+	.A_N(n_1901),
+	.B(\soc_top_GPIO_gen_filter[8].filter_diff_ctr_q [1]),
+	.C(\soc_top_GPIO_gen_filter[8].filter_diff_ctr_q [2]),
+	.D(\soc_top_GPIO_gen_filter[8].filter_diff_ctr_q [3]),
+	.Y(n_2607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1003977 (
+	.A_N(n_1897),
+	.B(\soc_top_GPIO_gen_filter[31].filter_diff_ctr_q [1]),
+	.C(\soc_top_GPIO_gen_filter[31].filter_diff_ctr_q [2]),
+	.D(\soc_top_GPIO_gen_filter[31].filter_diff_ctr_q [3]),
+	.Y(n_2606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1003978 (
+	.A_N(n_1921),
+	.B(\soc_top_GPIO_gen_filter[1].filter_diff_ctr_q [1]),
+	.C(\soc_top_GPIO_gen_filter[1].filter_diff_ctr_q [2]),
+	.D(\soc_top_GPIO_gen_filter[1].filter_diff_ctr_q [3]),
+	.Y(n_2605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1003979 (
+	.A_N(n_1896),
+	.B(\soc_top_GPIO_gen_filter[17].filter_diff_ctr_q [1]),
+	.C(\soc_top_GPIO_gen_filter[17].filter_diff_ctr_q [2]),
+	.D(\soc_top_GPIO_gen_filter[17].filter_diff_ctr_q [3]),
+	.Y(n_2604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1003980 (
+	.A_N(n_1917),
+	.B(\soc_top_GPIO_gen_filter[25].filter_diff_ctr_q [1]),
+	.C(\soc_top_GPIO_gen_filter[25].filter_diff_ctr_q [2]),
+	.D(\soc_top_GPIO_gen_filter[25].filter_diff_ctr_q [3]),
+	.Y(n_2603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1003981 (
+	.A_N(n_1913),
+	.B(\soc_top_GPIO_gen_filter[9].filter_diff_ctr_q [1]),
+	.C(\soc_top_GPIO_gen_filter[9].filter_diff_ctr_q [2]),
+	.D(\soc_top_GPIO_gen_filter[9].filter_diff_ctr_q [3]),
+	.Y(n_2602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1003982 (
+	.A_N(n_1895),
+	.B(\soc_top_GPIO_gen_filter[27].filter_diff_ctr_q [1]),
+	.C(\soc_top_GPIO_gen_filter[27].filter_diff_ctr_q [2]),
+	.D(\soc_top_GPIO_gen_filter[27].filter_diff_ctr_q [3]),
+	.Y(n_2601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1003984 (
+	.A(n_1744),
+	.B(n_1506),
+	.Y(n_2598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g1003985 (
+	.A(n_29745),
+	.B(n_32),
+	.C(n_2129),
+	.X(n_2597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g1003986 (
+	.A(n_198),
+	.B(n_72440),
+	.C(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [31]),
+	.X(n_2596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g1003988 (
+	.A_N(\soc_top_xbar_to_lsu[d_valid] ),
+	.B(n_534),
+	.C(n_74966),
+	.X(n_2594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g1003989 (
+	.A1(n_1331),
+	.A2(n_29444),
+	.B1(soc_top_u_top_u_core_pc_set),
+	.Y(n_2593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4b_2 g1003990 (
+	.A(\soc_top_pwm_to_xbar[d_valid] ),
+	.B(n_69314),
+	.C(n_68753),
+	.D_N(n_71266),
+	.X(n_2592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g1003991 (
+	.A(n_0),
+	.B(soc_top_u_top_u_core_id_stage_i_mv_instr),
+	.C(soc_top_u_top_u_core_id_stage_i_imm_a_mux_sel),
+	.D(soc_top_system_rst_ni),
+	.X(n_2590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1003993 (
+	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[20]),
+	.A1(n_29812),
+	.S(n_1494),
+	.X(n_2587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1003995 (
+	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[14]),
+	.A1(n_29806),
+	.S(n_1494),
+	.X(n_2585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1004001 (
+	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[4]),
+	.A1(n_29828),
+	.S(n_1494),
+	.X(n_2579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1004002 (
+	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[5]),
+	.A1(n_57651),
+	.S(n_1494),
+	.X(n_2578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1004003 (
+	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[9]),
+	.A1(n_87720),
+	.S(n_1494),
+	.X(n_2577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1004004 (
+	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[10]),
+	.A1(n_29802),
+	.S(n_1494),
+	.X(n_2576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1004007 (
+	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[13]),
+	.A1(n_58179),
+	.S(n_1494),
+	.X(n_2573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1004008 (
+	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[15]),
+	.A1(n_26200),
+	.S(n_1494),
+	.X(n_2572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1004019 (
+	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[28]),
+	.A1(n_64640),
+	.S(n_1494),
+	.X(n_2561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1004021 (
+	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[31]),
+	.A1(n_70607),
+	.S(n_1494),
+	.X(n_2559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g1004024 (
+	.A(n_2124),
+	.B(n_1503),
+	.X(n_2556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g1004025 (
+	.A(n_2124),
+	.B(n_2299),
+	.X(n_2555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_2 g1004026 (
+	.A1(n_29783),
+	.A2(n_72954),
+	.B1(n_29402),
+	.C1(n_2128),
+	.X(n_2554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1004027 (
+	.A(n_2277),
+	.Y(n_2276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1004029 (
+	.A(n_2264),
+	.Y(n_2263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1004030 (
+	.A(n_34953),
+	.Y(n_2260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1004032 (
+	.A(n_2221),
+	.Y(n_2220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1004033 (
+	.A(n_2216),
+	.Y(n_2217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1004034 (
+	.A(n_2211),
+	.Y(n_2212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1004035 (
+	.A(n_2210),
+	.Y(n_2209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1004036 (
+	.A(n_2208),
+	.Y(n_2207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1004037 (
+	.A(n_35366),
+	.Y(n_2205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1004038 (
+	.A(n_35365),
+	.Y(n_2203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1004039 (
+	.A(n_2201),
+	.Y(n_2200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1004040 (
+	.A(n_2199),
+	.Y(n_2198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1004041 (
+	.A(n_2194),
+	.Y(n_2193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1004042 (
+	.A(n_2190),
+	.Y(n_2189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1004043 (
+	.A(n_2188),
+	.Y(n_2187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1004044 (
+	.A(n_2186),
+	.Y(n_2185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1004045 (
+	.A(n_2184),
+	.Y(n_2183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1004046 (
+	.A(n_2181),
+	.Y(n_2180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1004047 (
+	.A(n_2179),
+	.Y(n_2178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1004048 (
+	.A(n_2177),
+	.Y(n_2176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1004049 (
+	.A(n_2175),
+	.Y(n_2174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1004050 (
+	.A(n_2173),
+	.Y(n_2172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1004051 (
+	.A(n_35362),
+	.Y(n_2169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1004052 (
+	.A(n_2166),
+	.Y(n_2165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1004053 (
+	.A(n_2164),
+	.Y(n_2163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1004054 (
+	.A(n_2162),
+	.Y(n_2161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1004055 (
+	.A(n_2160),
+	.Y(n_2159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1004056 (
+	.A(n_2158),
+	.Y(n_2157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g1004058 (
+	.A(n_35364),
+	.Y(n_2153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1004059 (
+	.A(n_2152),
+	.Y(n_2151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1004060 (
+	.A(n_2150),
+	.Y(n_2149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1004062 (
+	.A(n_2146),
+	.Y(n_2145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1004064 (
+	.A(n_2131),
+	.Y(n_2130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1004065 (
+	.A(n_2129),
+	.Y(n_2128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1004066 (
+	.A(n_2127),
+	.Y(n_2126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1004067 (
+	.A(n_2125),
+	.Y(n_2124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004068 (
+	.A(n_571),
+	.B(n_74958),
+	.Y(n_2123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004069 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [3]),
+	.A2(soc_top_GPIO_data_in_q[3]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [3]),
+	.Y(n_2122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004071 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [12]),
+	.A2(soc_top_GPIO_data_in_q[12]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [12]),
+	.Y(n_2121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004072 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [29]),
+	.A2(soc_top_GPIO_data_in_q[29]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [29]),
+	.Y(n_2120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004073 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [8]),
+	.A2(soc_top_GPIO_data_in_q[8]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [8]),
+	.Y(n_2119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004074 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [14]),
+	.A2(soc_top_GPIO_data_in_q[14]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [14]),
+	.Y(n_2118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004075 (
+	.A(n_1229),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[22]),
+	.Y(n_2117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004076 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [15]),
+	.A2(soc_top_GPIO_data_in_q[15]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [15]),
+	.Y(n_2116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004077 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [5]),
+	.A2(soc_top_GPIO_data_in_q[5]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [5]),
+	.Y(n_2115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1004078 (
+	.A1(n_22),
+	.A2(n_29857),
+	.B1(n_29505),
+	.X(n_2114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004079 (
+	.A(n_1229),
+	.B(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_count_q ),
+	.Y(n_2113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1004080 (
+	.A1(n_22),
+	.A2(n_29851),
+	.B1(n_29505),
+	.X(n_2112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004081 (
+	.A(n_592),
+	.B(n_1349),
+	.Y(n_2111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004082 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [25]),
+	.A2(soc_top_GPIO_data_in_q[25]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [25]),
+	.Y(n_2110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1004083 (
+	.A1(n_22),
+	.A2(n_29852),
+	.B1(n_29505),
+	.X(n_2109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1004084 (
+	.A1(n_22),
+	.A2(n_29834),
+	.B1(n_29505),
+	.X(n_2108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1004085 (
+	.A1(n_22),
+	.A2(n_29856),
+	.B1(n_29505),
+	.X(n_2107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004086 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [19]),
+	.A2(soc_top_GPIO_data_in_q[19]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [19]),
+	.Y(n_2106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1004087 (
+	.A_N(n_29966),
+	.B(n_1255),
+	.Y(n_2105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1004088 (
+	.A1(n_114),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B1(n_29453),
+	.Y(n_2104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1004089 (
+	.A1(n_22),
+	.A2(n_29863),
+	.B1(n_29505),
+	.X(n_2103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004090 (
+	.A(n_1610),
+	.B(soc_top_u_pwm_pwm_core_period_2[11]),
+	.Y(n_2102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004091 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [20]),
+	.A2(soc_top_GPIO_data_in_q[20]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [20]),
+	.Y(n_2101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004092 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [21]),
+	.A2(soc_top_GPIO_data_in_q[21]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [21]),
+	.Y(n_2100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1004093 (
+	.A1(n_22),
+	.A2(n_29835),
+	.B1(n_29505),
+	.X(n_2099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1004094 (
+	.A1(n_22),
+	.A2(n_29858),
+	.B1(n_29505),
+	.X(n_2098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004095 (
+	.A1(FE_DBTN143_soc_top_u_top_u_core_multdiv_operator_ex_1),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
+	.B1(n_37),
+	.Y(n_2097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1004096 (
+	.A1(FE_DBTN143_soc_top_u_top_u_core_multdiv_operator_ex_1),
+	.A2(n_491),
+	.B1(n_595),
+	.Y(n_2096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1004097 (
+	.A1(n_22),
+	.A2(n_29842),
+	.B1(n_29505),
+	.X(n_2095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1004098 (
+	.A1(n_22),
+	.A2(n_29838),
+	.B1(n_29505),
+	.X(n_2094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004099 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [22]),
+	.A2(soc_top_GPIO_data_in_q[22]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [22]),
+	.Y(n_2093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1004100 (
+	.A_N(n_1366),
+	.B(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Y(n_2092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004101 (
+	.A(n_571),
+	.B(n_1322),
+	.Y(n_2091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004102 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [23]),
+	.A2(soc_top_GPIO_data_in_q[23]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [23]),
+	.Y(n_2090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004103 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [17]),
+	.A2(soc_top_GPIO_data_in_q[17]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [17]),
+	.Y(n_2089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1004104 (
+	.A1(n_676),
+	.A2(soc_top_u_pwm_pwm_core_period[6]),
+	.B1(n_129),
+	.X(n_2088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004105 (
+	.A(n_1503),
+	.B(\soc_top_intr_controller_reg2hw[threshold0][q] [1]),
+	.Y(n_2087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004106 (
+	.A1(soc_top_u_top_u_core_fp_alu_operator[1]),
+	.A2(soc_top_u_top_u_core_is_fp_instr),
+	.B1(soc_top_u_top_u_core_fp_alu_operator[0]),
+	.Y(n_2086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1004107 (
+	.A1(n_22),
+	.A2(n_29844),
+	.B1(n_29505),
+	.X(n_2085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1004108 (
+	.A1(n_22),
+	.A2(n_29845),
+	.B1(n_29505),
+	.X(n_2084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1004109 (
+	.A1(n_22),
+	.A2(n_29846),
+	.B1(n_29505),
+	.X(n_2083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004110 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [26]),
+	.A2(soc_top_GPIO_data_in_q[26]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [26]),
+	.Y(n_2082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1004111 (
+	.A1(n_22),
+	.A2(n_29847),
+	.B1(n_29505),
+	.X(n_2081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1004112 (
+	.A1(n_22),
+	.A2(n_29853),
+	.B1(n_29505),
+	.X(n_2080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004113 (
+	.A(soc_top_u_pwm_pwm_core_DC_2[10]),
+	.B(n_1590),
+	.Y(n_2079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1004114 (
+	.A1(n_22),
+	.A2(n_29848),
+	.B1(n_29505),
+	.X(n_2078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004115 (
+	.A(n_1517),
+	.B(soc_top_u_uart_u_uart_core_rx_done),
+	.Y(n_2077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1004116 (
+	.A1(n_22),
+	.A2(n_29854),
+	.B1(n_29505),
+	.X(n_2076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1004118 (
+	.A1(n_22),
+	.A2(n_29840),
+	.B1(n_29505),
+	.X(n_2074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1004119 (
+	.A1(n_22),
+	.A2(n_29862),
+	.B1(n_29505),
+	.X(n_2073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1004120 (
+	.A1(n_22),
+	.A2(n_29837),
+	.B1(n_29505),
+	.X(n_2072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1004121 (
+	.A1(soc_top_u_uart_u_uart_core_rx_sbit),
+	.A2(soc_top_u_uart_u_uart_core_rx_time_n_217),
+	.B1(n_1346),
+	.Y(n_2071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1004122 (
+	.A1(n_22),
+	.A2(n_29855),
+	.B1(n_29505),
+	.X(n_2070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1004123 (
+	.A1(n_22),
+	.A2(n_29859),
+	.B1(n_29505),
+	.X(n_2069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004124 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [16]),
+	.A2(soc_top_GPIO_data_in_q[16]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [16]),
+	.Y(n_2068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004125 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [6]),
+	.A2(soc_top_GPIO_data_in_q[6]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [6]),
+	.Y(n_2067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004126 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [13]),
+	.A2(soc_top_GPIO_data_in_q[13]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [13]),
+	.Y(n_2066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004127 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [30]),
+	.A2(soc_top_GPIO_data_in_q[30]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [30]),
+	.Y(n_2065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1004128 (
+	.A(n_1325),
+	.B_N(n_1382),
+	.Y(n_2064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1004129 (
+	.A1(n_22),
+	.A2(n_29841),
+	.B1(n_29505),
+	.X(n_2063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004130 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [11]),
+	.A2(soc_top_GPIO_data_in_q[11]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [11]),
+	.Y(n_2062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1004131 (
+	.A1(n_22),
+	.A2(n_29843),
+	.B1(n_29505),
+	.X(n_2061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004132 (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_n_81 ),
+	.A2(\soc_top_timer0_reg2hw[ctrl][0][q] ),
+	.B1(\soc_top_timer0_reg2hw[intr_state0][0][q] ),
+	.Y(n_2060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004133 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [28]),
+	.A2(soc_top_GPIO_data_in_q[28]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [28]),
+	.Y(n_2059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004134 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [4]),
+	.A2(soc_top_GPIO_data_in_q[4]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [4]),
+	.Y(n_2058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1004135 (
+	.A1(n_22),
+	.A2(n_29849),
+	.B1(n_29505),
+	.X(n_2057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004136 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [0]),
+	.A2(soc_top_GPIO_data_in_q[0]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [0]),
+	.Y(n_2056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1004137 (
+	.A1(n_22),
+	.A2(n_29836),
+	.B1(n_29505),
+	.X(n_2055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1004139 (
+	.A1(n_22),
+	.A2(n_29833),
+	.B1(n_29505),
+	.X(n_2053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004140 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [1]),
+	.A2(soc_top_GPIO_data_in_q[1]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [1]),
+	.Y(n_2052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1004141 (
+	.A1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.A2(n_669),
+	.B1(n_29355),
+	.Y(n_2051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004142 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [24]),
+	.A2(soc_top_GPIO_data_in_q[24]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [24]),
+	.Y(n_2050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1004143 (
+	.A1(n_22),
+	.A2(n_29839),
+	.B1(n_29505),
+	.X(n_2049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004144 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [2]),
+	.A2(soc_top_GPIO_data_in_q[2]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [2]),
+	.Y(n_2048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1004145 (
+	.A1(n_676),
+	.A2(soc_top_u_pwm_pwm_core_DC_1[6]),
+	.B1(n_129),
+	.X(n_2047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g1004146 (
+	.A(n_1529),
+	.B(n_29349),
+	.X(n_2046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004147 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [31]),
+	.A2(soc_top_GPIO_data_in_q[31]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [31]),
+	.Y(n_2045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004148 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [7]),
+	.A2(soc_top_GPIO_data_in_q[7]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [7]),
+	.Y(n_2044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004149 (
+	.A1(soc_top_u_top_u_core_multdiv_operand_b_ex[0]),
+	.A2(n_30),
+	.B1(soc_top_u_top_u_core_multdiv_operator_ex[1]),
+	.Y(n_2043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g1004150 (
+	.A1(n_106),
+	.A2(soc_top_u_pwm_pwm_core_DC_2[6]),
+	.B1(n_702),
+	.Y(n_2042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1004151 (
+	.A1(n_22),
+	.A2(n_29832),
+	.B1(n_29505),
+	.X(n_2041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004152 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [9]),
+	.A2(soc_top_GPIO_data_in_q[9]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [9]),
+	.Y(n_2040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1004153 (
+	.A1(n_22),
+	.A2(n_29850),
+	.B1(n_29505),
+	.X(n_2039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004154 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [27]),
+	.A2(soc_top_GPIO_data_in_q[27]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [27]),
+	.Y(n_2038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g1004155 (
+	.A1(n_120),
+	.A2(soc_top_u_pwm_pwm_core_DC_2[13]),
+	.B1(soc_top_u_pwm_pwm_core_DC_2[12]),
+	.Y(n_2037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004156 (
+	.A(n_24),
+	.B(n_1600),
+	.Y(n_2036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g1004157 (
+	.A1(n_128),
+	.A2(soc_top_u_pwm_pwm_core_period[13]),
+	.B1(soc_top_u_pwm_pwm_core_period[12]),
+	.Y(n_2035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1004158 (
+	.A1(n_135),
+	.A2(soc_top_iccm_adapter_inst_mem_u_sramreqfifo_n_52),
+	.B1(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Y(n_2034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g1004159 (
+	.A1(n_120),
+	.A2(soc_top_u_pwm_pwm_core_period_2[13]),
+	.B1(soc_top_u_pwm_pwm_core_period_2[12]),
+	.Y(n_2033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g1004160 (
+	.A1(n_128),
+	.A2(soc_top_u_pwm_pwm_core_DC_1[13]),
+	.B1(soc_top_u_pwm_pwm_core_DC_1[12]),
+	.Y(n_2032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1004161 (
+	.A1(soc_top_u_top_u_core_fp_alu_operator[2]),
+	.A2(n_29770),
+	.B1(n_1530),
+	.Y(n_2031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g1004162 (
+	.A(pwm2_oe),
+	.B(n_1258),
+	.X(n_2030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g1004163 (
+	.A(pwm1_oe),
+	.B(n_1253),
+	.X(n_2029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g1004164 (
+	.A1(soc_top_u_uart_u_uart_core_fifo_read_size[2]),
+	.A2(n_729),
+	.B1(n_29458),
+	.Y(n_2028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004165 (
+	.A(soc_top_u_pwm_pwm_core_counter_p1[15]),
+	.B(n_1388),
+	.Y(n_2027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1004166 (
+	.A1(n_68216),
+	.A2(soc_top_GPIO_cio_gpio_en_q[28]),
+	.B1_N(n_1297),
+	.Y(n_2026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1004167 (
+	.A1(n_586),
+	.A2(soc_top_GPIO_cio_gpio_en_q[29]),
+	.B1_N(n_1300),
+	.Y(n_2025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1004168 (
+	.A1(n_18),
+	.A2(soc_top_GPIO_cio_gpio_en_q[30]),
+	.B1_N(n_1298),
+	.Y(n_2024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1004170 (
+	.A1(FE_DBTN164_soc_top_xbar_to_timer_a_data_27),
+	.A2(soc_top_GPIO_cio_gpio_en_q[27]),
+	.B1_N(n_1295),
+	.Y(n_2022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1004171 (
+	.A1(FE_DBTN37_n_68466),
+	.A2(soc_top_GPIO_cio_gpio_en_q[26]),
+	.B1_N(n_68464),
+	.Y(n_2021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1004172 (
+	.A1(FE_DBTN39_n_68259),
+	.A2(soc_top_GPIO_cio_gpio_en_q[25]),
+	.B1_N(n_1294),
+	.Y(n_2020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1004173 (
+	.A1(n_68317),
+	.A2(soc_top_GPIO_cio_gpio_en_q[24]),
+	.B1_N(n_93125),
+	.Y(n_2019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1004174 (
+	.A1(n_68266),
+	.A2(soc_top_GPIO_cio_gpio_en_q[31]),
+	.B1_N(n_1299),
+	.Y(n_2018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004175 (
+	.A(soc_top_u_pwm_pwm_core_counter_p2[15]),
+	.B(n_1355),
+	.Y(n_2017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004176 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [10]),
+	.A2(soc_top_GPIO_data_in_q[10]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [10]),
+	.Y(n_2016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1004177 (
+	.A1(FE_DBTN163_soc_top_xbar_to_timer_a_data_21),
+	.A2(soc_top_GPIO_cio_gpio_en_q[21]),
+	.B1_N(n_1304),
+	.Y(n_2015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1004178 (
+	.A1(n_68170),
+	.A2(soc_top_GPIO_cio_gpio_en_q[23]),
+	.B1_N(n_1292),
+	.Y(n_2014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1004179 (
+	.A1(FE_DBTN116_n_72527),
+	.A2(soc_top_GPIO_cio_gpio_en_q[17]),
+	.B1_N(n_1309),
+	.Y(n_2013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1004180 (
+	.A1(n_816),
+	.A2(n_84182),
+	.B1(n_1306),
+	.X(n_2012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1004182 (
+	.A1(n_840),
+	.A2(\soc_top_xbar_to_timer[a_data] [20]),
+	.B1(n_1308),
+	.X(n_2010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1004183 (
+	.A1(FE_DBTN38_n_72887),
+	.A2(soc_top_GPIO_cio_gpio_en_q[22]),
+	.B1_N(n_72891),
+	.Y(n_2009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1004184 (
+	.A1(n_299),
+	.A2(n_72527),
+	.B1(n_1309),
+	.X(n_2008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1004185 (
+	.A1(n_68213),
+	.A2(soc_top_GPIO_cio_gpio_en_q[20]),
+	.B1_N(n_1308),
+	.Y(n_2007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1004186 (
+	.A1(n_92650),
+	.A2(soc_top_GPIO_cio_gpio_en_q[19]),
+	.B1_N(n_1306),
+	.Y(n_2006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004187 (
+	.A(n_1244),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [24]),
+	.Y(n_2005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1004188 (
+	.A1(FE_DBTN2_n_93141),
+	.A2(soc_top_GPIO_cio_gpio_en_q[16]),
+	.B1_N(n_93154),
+	.Y(n_2004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1004189 (
+	.A1(n_22),
+	.A2(n_29860),
+	.B1(n_29505),
+	.X(n_2003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1004190 (
+	.A1(soc_top_main_swith_host_lsu_num_req_outstanding[14]),
+	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[15]),
+	.B1(n_1500),
+	.X(n_2002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1004191 (
+	.A1(n_832),
+	.A2(soc_top_u_top_u_core_alu_operator_ex[2]),
+	.B1(n_17730),
+	.Y(n_2001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1004192 (
+	.A_N(n_1631),
+	.B(n_1272),
+	.Y(n_2000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004193 (
+	.A1(FE_DBTN121_soc_top_u_spi_host_spi_host_tip),
+	.A2(soc_top_u_spi_host_spi_host_ctrl[8]),
+	.B1(io_out[4]),
+	.Y(n_1999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1004194 (
+	.A1(FE_DBTN2_n_93141),
+	.A2(soc_top_GPIO_cio_gpio_en_q[0]),
+	.B1_N(n_93154),
+	.Y(n_1998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1004195 (
+	.A1(FE_DBTN116_n_72527),
+	.A2(soc_top_GPIO_cio_gpio_en_q[1]),
+	.B1_N(n_1309),
+	.Y(n_1997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1004196 (
+	.A1(n_581),
+	.A2(soc_top_GPIO_cio_gpio_q[18]),
+	.B1_N(n_1307),
+	.Y(n_1996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1004197 (
+	.A1(n_68213),
+	.A2(soc_top_GPIO_cio_gpio_en_q[4]),
+	.B1_N(n_1308),
+	.Y(n_1995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1004198 (
+	.A1(n_581),
+	.A2(soc_top_GPIO_cio_gpio_en_q[18]),
+	.B1_N(n_1307),
+	.Y(n_1994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1004199 (
+	.A1(n_581),
+	.A2(soc_top_GPIO_cio_gpio_en_q[2]),
+	.B1_N(n_1307),
+	.Y(n_1993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1004200 (
+	.A1(n_92650),
+	.A2(soc_top_GPIO_cio_gpio_en_q[3]),
+	.B1_N(n_1306),
+	.Y(n_1992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g1004201 (
+	.A1(n_22),
+	.A2(n_29861),
+	.B1(n_29505),
+	.Y(n_1991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g1004202 (
+	.A_N(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
+	.B(n_29599),
+	.C(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]),
+	.X(n_1990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1004204 (
+	.A1(FE_DBTN163_soc_top_xbar_to_timer_a_data_21),
+	.A2(soc_top_GPIO_cio_gpio_en_q[5]),
+	.B1_N(n_1304),
+	.Y(n_1988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1004205 (
+	.A1(FE_DBTN38_n_72887),
+	.A2(soc_top_GPIO_cio_gpio_en_q[6]),
+	.B1_N(n_72891),
+	.Y(n_1987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1004206 (
+	.A1(n_68170),
+	.A2(soc_top_GPIO_cio_gpio_en_q[7]),
+	.B1_N(n_1292),
+	.Y(n_1986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1004207 (
+	.A1(FE_DBTN37_n_68466),
+	.A2(soc_top_GPIO_cio_gpio_en_q[10]),
+	.B1_N(n_68464),
+	.Y(n_1985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1004208 (
+	.A1(n_68317),
+	.A2(soc_top_GPIO_cio_gpio_en_q[8]),
+	.B1_N(n_93125),
+	.Y(n_1984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1004209 (
+	.A1(FE_DBTN39_n_68259),
+	.A2(soc_top_GPIO_cio_gpio_en_q[9]),
+	.B1_N(n_1294),
+	.Y(n_1983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1004210 (
+	.A1(FE_DBTN164_soc_top_xbar_to_timer_a_data_27),
+	.A2(soc_top_GPIO_cio_gpio_en_q[11]),
+	.B1_N(n_1295),
+	.Y(n_1982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1004211 (
+	.A1(n_586),
+	.A2(soc_top_GPIO_cio_gpio_en_q[13]),
+	.B1_N(n_1300),
+	.Y(n_1981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1004212 (
+	.A1(n_18),
+	.A2(soc_top_GPIO_cio_gpio_en_q[14]),
+	.B1_N(n_1298),
+	.Y(n_1980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1004213 (
+	.A1(n_68266),
+	.A2(soc_top_GPIO_cio_gpio_en_q[15]),
+	.B1_N(n_1299),
+	.Y(n_1979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1004214 (
+	.A1(n_68216),
+	.A2(soc_top_GPIO_cio_gpio_en_q[12]),
+	.B1_N(n_1297),
+	.Y(n_1978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g1004215 (
+	.A1(n_39600),
+	.A2(n_941),
+	.B1(n_36145),
+	.B2(n_1097),
+	.X(n_1977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g1004217 (
+	.A1(n_42633),
+	.A2(n_73460),
+	.B1(n_75604),
+	.Y(n_1975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1004218 (
+	.A1(\soc_top_intr_controller_reg2hw[le][36][q] ),
+	.A2(soc_top_intr_controller_u_gateway_src_q[36]),
+	.B1_N(soc_top_intr_srx),
+	.Y(n_2319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1004219 (
+	.A1(n_29452),
+	.A2(\soc_top_uart_to_xbar[d_opcode] [0]),
+	.B1_N(n_29394),
+	.X(n_1974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1004221 (
+	.A1(n_93140),
+	.A2(soc_top_u_top_u_core_load_store_unit_i_handle_misaligned_q),
+	.B1_N(n_29384),
+	.X(n_1972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1004222 (
+	.A_N(n_1618),
+	.B(n_1365),
+	.Y(n_1971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004223 (
+	.A(n_1326),
+	.B(n_35380),
+	.Y(n_1970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004224 (
+	.A(n_1291),
+	.B(n_1352),
+	.Y(n_2318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1004227 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.A2(n_29515),
+	.B1(n_29517),
+	.X(n_2317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004228 (
+	.A(n_1545),
+	.B(n_1516),
+	.Y(n_1969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004229 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Zero_a_S ),
+	.B(n_1282),
+	.Y(n_2316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004230 (
+	.A(n_134),
+	.B(n_1607),
+	.Y(n_2315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004231 (
+	.A(n_717),
+	.B(n_1285),
+	.Y(n_2314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004233 (
+	.A(n_690),
+	.B(n_1582),
+	.Y(n_2313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004234 (
+	.A(n_1525),
+	.B(soc_top_u_top_u_core_multdiv_operand_b_ex[0]),
+	.Y(n_2312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g1004235 (
+	.A1(FE_DBTN103_n_71622),
+	.A2(n_29553),
+	.B1_N(n_17808),
+	.Y(n_2311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004237 (
+	.A(n_29698),
+	.B(n_1560),
+	.Y(n_2310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004238 (
+	.A(n_1379),
+	.B(soc_top_u_top_u_core_fp_alu_operator[0]),
+	.Y(n_2309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g1004239 (
+	.A1(soc_top_u_top_u_core_csr_save_wb),
+	.A2(soc_top_u_top_u_core_csr_save_if),
+	.B1_N(soc_top_u_top_u_core_csr_save_id),
+	.Y(n_2308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g1004240 (
+	.A_N(n_71681),
+	.B(n_1291),
+	.X(n_2307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1004241 (
+	.A_N(n_1528),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_SNaN_S ),
+	.Y(n_2306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004242 (
+	.A(n_80790),
+	.B(n_1523),
+	.Y(n_2305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004243 (
+	.A(n_69931),
+	.B(n_1272),
+	.Y(n_2304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004244 (
+	.A1(soc_top_u_top_u_core_id_in_ready),
+	.A2(n_142),
+	.B1(n_667),
+	.Y(n_2303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004245 (
+	.A(n_29399),
+	.B(n_1331),
+	.Y(n_2302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1004246 (
+	.A_N(n_29751),
+	.B(n_1508),
+	.Y(n_2301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g1004247 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [1]),
+	.B(n_1319),
+	.X(n_2300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004248 (
+	.A(n_16),
+	.B(n_1273),
+	.Y(n_2299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004249 (
+	.A(n_1541),
+	.B(n_1282),
+	.Y(n_2298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004250 (
+	.A1(n_517),
+	.A2(soc_top_u_uart_u_uart_core_read_fifo_raddr[8]),
+	.B1(soc_top_u_uart_u_uart_core_rx_fifo_rst),
+	.Y(n_1968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004251 (
+	.A(n_1340),
+	.B(n_75112),
+	.Y(n_1967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004252 (
+	.A(n_1524),
+	.B(n_688),
+	.Y(n_2297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1004253 (
+	.A(n_1589),
+	.B_N(n_1579),
+	.Y(n_2296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004254 (
+	.A(n_1332),
+	.B(n_668),
+	.Y(n_2295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004255 (
+	.A(n_1274),
+	.B(\soc_top_xbar_to_timer[a_address] [3]),
+	.Y(n_2294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004256 (
+	.A(n_1318),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
+	.Y(n_2293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1004257 (
+	.A1(FE_DBTN98_n_26214),
+	.A2(n_34990),
+	.B1(n_29382),
+	.Y(n_1966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1004258 (
+	.A1(n_236),
+	.A2(\soc_top_timer0_reg2hw[cfg0][prescale][q] [10]),
+	.B1_N(n_1603),
+	.Y(n_2292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1004259 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
+	.B_N(n_1318),
+	.Y(n_2291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1004260 (
+	.A1(n_802),
+	.A2(\soc_top_timer0_reg2hw[cfg0][prescale][q] [6]),
+	.B1_N(n_1363),
+	.Y(n_2290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1004261 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sign_z_D ),
+	.A2(n_801),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_RM_dly_S [0]),
+	.Y(n_2289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1004262 (
+	.A1(n_29376),
+	.A2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B1_N(n_1529),
+	.X(n_2288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004263 (
+	.A(soc_top_u_uart_u_uart_core_rx_buffer_size[6]),
+	.B(n_1545),
+	.Y(n_2287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004264 (
+	.A(n_1268),
+	.B(n_598),
+	.Y(n_2286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1004265 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Zero_a_S ),
+	.B(n_1613),
+	.Y(n_2285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1004266 (
+	.A_N(soc_top_u_top_u_core_fp_frm_fpnew[2]),
+	.B(n_1338),
+	.Y(n_2284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1004267 (
+	.A(soc_top_u_top_u_core_fp_alu_operator[0]),
+	.B_N(n_1379),
+	.Y(n_2283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1004268 (
+	.A(soc_top_u_uart_u_uart_core_rx_buffer_size[6]),
+	.B_N(n_1563),
+	.Y(n_2282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1004269 (
+	.A_N(n_1581),
+	.B(soc_top_u_pwm_pwm_core_counter_p1[2]),
+	.Y(n_2281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1004270 (
+	.A_N(n_1272),
+	.B(n_69931),
+	.Y(n_2280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1004271 (
+	.A(n_1377),
+	.B(n_29299),
+	.X(n_2279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1004272 (
+	.A1(soc_top_u_top_u_core_fp_alu_operator[1]),
+	.A2(n_36),
+	.B1(n_80345),
+	.Y(n_2278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1004273 (
+	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_discard_req_q),
+	.A2(soc_top_u_top_u_core_pc_set),
+	.B1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_valid_req_q),
+	.Y(n_2277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004275 (
+	.A(n_1287),
+	.B(n_29322),
+	.Y(n_2273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g1004276 (
+	.A(n_1613),
+	.B(n_1282),
+	.X(n_2272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1004277 (
+	.A_N(n_80815),
+	.B(n_87553),
+	.Y(n_2271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004278 (
+	.A(soc_top_u_top_u_core_fp_src_fmt[0]),
+	.B(n_1512),
+	.Y(n_2270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1004279 (
+	.A_N(n_1624),
+	.B(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
+	.Y(n_2269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004280 (
+	.A(n_1600),
+	.B(n_29758),
+	.Y(n_2268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1004281 (
+	.A_N(n_1562),
+	.B(soc_top_u_pwm_pwm_core_counter_p2[2]),
+	.Y(n_2267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004282 (
+	.A(n_1563),
+	.B(soc_top_u_uart_u_uart_core_rx_buffer_size[6]),
+	.Y(n_2266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g1004284 (
+	.A(n_1634),
+	.B(soc_top_system_rst_ni),
+	.X(n_2265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004285 (
+	.A(n_1262),
+	.B(n_1556),
+	.Y(n_2264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004286 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Inf_a_S ),
+	.B(n_1527),
+	.Y(n_2262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004288 (
+	.A(n_1386),
+	.B(n_70008),
+	.Y(n_2259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004289 (
+	.A(n_571),
+	.B(n_1616),
+	.Y(n_2258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1004290 (
+	.A(n_850),
+	.B(n_153),
+	.C(n_723),
+	.Y(n_2256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004291 (
+	.A(n_1517),
+	.B(soc_top_u_uart_u_uart_core_rx_buffer_size[7]),
+	.Y(n_2255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004292 (
+	.A(n_1586),
+	.B(n_29),
+	.Y(n_2254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004293 (
+	.A(n_1586),
+	.B(soc_top_u_uart_u_uart_core_rx_buffer_size[7]),
+	.Y(n_2253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004294 (
+	.A(n_1362),
+	.B(n_70008),
+	.Y(n_2252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004295 (
+	.A(n_1312),
+	.B(n_1265),
+	.Y(n_2251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004296 (
+	.A(n_1312),
+	.B(n_1263),
+	.Y(n_2250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004297 (
+	.A1(n_29771),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [0]),
+	.B1(n_1573),
+	.Y(n_2249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004298 (
+	.A(n_1275),
+	.B(n_29),
+	.Y(n_2248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004299 (
+	.A(n_1312),
+	.B(n_1515),
+	.Y(n_2247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004300 (
+	.A(n_1364),
+	.B(n_70008),
+	.Y(n_2246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004301 (
+	.A(n_1333),
+	.B(soc_top_u_uart_u_uart_core_rx_buffer_size[7]),
+	.Y(n_2245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004303 (
+	.A(n_571),
+	.B(n_74955),
+	.Y(n_2243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004304 (
+	.A(n_1385),
+	.B(n_70008),
+	.Y(n_2242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004305 (
+	.A1(soc_top_u_uart_u_uart_core_rx_buffer_size[4]),
+	.A2(soc_top_u_uart_u_uart_core_rx_buffer_size[7]),
+	.B1(soc_top_u_uart_u_uart_core_rx_fifo_clr),
+	.Y(n_2241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1004306 (
+	.A1(soc_top_u_uart_u_uart_core_rx_buffer_size[4]),
+	.A2(soc_top_u_uart_u_uart_core_rx_buffer_size[7]),
+	.B1(n_21),
+	.Y(n_2240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004307 (
+	.A(n_1362),
+	.B(FE_DBTN126_n_70008),
+	.Y(n_2239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004308 (
+	.A(n_571),
+	.B(n_1596),
+	.Y(n_2238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004309 (
+	.A(n_1519),
+	.B(n_1556),
+	.Y(n_2237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004310 (
+	.A(n_1620),
+	.B(n_109),
+	.Y(n_2236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004311 (
+	.A(n_1275),
+	.B(soc_top_u_uart_u_uart_core_rx_buffer_size[7]),
+	.Y(n_2235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004312 (
+	.A(soc_top_u_uart_u_uart_core_write_fifo_buffer_empty),
+	.B(n_1370),
+	.Y(n_2234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1004314 (
+	.A(n_1336),
+	.B_N(n_29327),
+	.Y(n_2232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004315 (
+	.A(n_1555),
+	.B(n_1265),
+	.Y(n_2231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004316 (
+	.A(n_1333),
+	.B(n_29),
+	.Y(n_2230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004317 (
+	.A(soc_top_u_uart_u_uart_core_rx_fifo_clr),
+	.B(n_1333),
+	.Y(n_2229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004318 (
+	.A(n_1517),
+	.B(n_29),
+	.Y(n_2228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004319 (
+	.A(n_1386),
+	.B(FE_DBTN126_n_70008),
+	.Y(n_2227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004320 (
+	.A(n_1619),
+	.B(n_109),
+	.Y(n_2226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004321 (
+	.A(n_1619),
+	.B(soc_top_u_uart_u_uart_core_write_fifo_waddr[4]),
+	.Y(n_2225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004322 (
+	.A(n_1378),
+	.B(n_109),
+	.Y(n_2224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004323 (
+	.A(n_1280),
+	.B(soc_top_dccm_adapter_data_csbD),
+	.Y(n_2223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004324 (
+	.A(n_1378),
+	.B(soc_top_u_uart_u_uart_core_write_fifo_waddr[4]),
+	.Y(n_2222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004325 (
+	.A(n_1514),
+	.B(n_1556),
+	.Y(n_2221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004326 (
+	.A(n_1620),
+	.B(soc_top_u_uart_u_uart_core_write_fifo_waddr[4]),
+	.Y(n_2219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1004327 (
+	.A_N(n_1565),
+	.B(soc_top_u_uart_u_uart_core_write_fifo_waddr[4]),
+	.Y(n_2218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004328 (
+	.A(soc_top_u_uart_u_uart_core_write_fifo_waddr[4]),
+	.B(n_1565),
+	.Y(n_2216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004329 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_i_arbiter_gen_arbiter.rr_q [1]),
+	.B(n_1278),
+	.Y(n_2215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004330 (
+	.A(n_23),
+	.B(n_1277),
+	.Y(n_2214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1004331 (
+	.A(n_1545),
+	.B_N(soc_top_u_uart_u_uart_core_rx_buffer_size[6]),
+	.Y(n_2213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004332 (
+	.A(n_80788),
+	.B(n_1512),
+	.Y(n_2211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004333 (
+	.A(n_1284),
+	.B(n_1542),
+	.Y(n_2210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004334 (
+	.A(n_1554),
+	.B(n_1553),
+	.Y(n_2208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004337 (
+	.A(n_1539),
+	.B(n_1553),
+	.Y(n_2202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004338 (
+	.A(n_1305),
+	.B(n_1543),
+	.Y(n_2201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004339 (
+	.A(n_1532),
+	.B(n_1553),
+	.Y(n_2199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004340 (
+	.A(n_1543),
+	.B(n_1553),
+	.Y(n_2197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004341 (
+	.A(n_1554),
+	.B(n_1544),
+	.Y(n_2196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004342 (
+	.A(n_1385),
+	.B(FE_DBTN126_n_70008),
+	.Y(n_2195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004343 (
+	.A(n_1305),
+	.B(n_1532),
+	.Y(n_2194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004344 (
+	.A(n_1364),
+	.B(FE_DBTN126_n_70008),
+	.Y(n_2192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004345 (
+	.A(n_1305),
+	.B(n_1554),
+	.Y(n_2191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004346 (
+	.A(n_1305),
+	.B(n_1539),
+	.Y(n_2190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1004347 (
+	.A(n_1554),
+	.B_N(n_1284),
+	.Y(n_2188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004348 (
+	.A(n_1539),
+	.B(n_1544),
+	.Y(n_2186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004349 (
+	.A(n_1543),
+	.B(n_1544),
+	.Y(n_2184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004350 (
+	.A(n_1549),
+	.B(soc_top_u_top_data_we),
+	.Y(n_2182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004351 (
+	.A(n_1532),
+	.B(n_1544),
+	.Y(n_2181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004352 (
+	.A(n_1522),
+	.B(n_1513),
+	.Y(n_2179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004353 (
+	.A(n_1381),
+	.B(soc_top_u_uart_u_uart_core_rx_buffer_size[3]),
+	.Y(n_2177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1004354 (
+	.A(soc_top_u_uart_u_uart_core_rx_buffer_size[3]),
+	.B_N(n_1381),
+	.Y(n_2175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004355 (
+	.A(soc_top_u_uart_u_uart_core_rx_buffer_size[3]),
+	.B(n_1597),
+	.Y(n_2173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1004356 (
+	.A(n_29447),
+	.B_N(n_1274),
+	.Y(n_2171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1004358 (
+	.A(n_29456),
+	.B_N(n_1274),
+	.Y(n_2168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004359 (
+	.A1(n_218),
+	.A2(n_29303),
+	.B1(n_73406),
+	.Y(n_2167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004360 (
+	.A(n_1274),
+	.B(n_1277),
+	.Y(n_2166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004361 (
+	.A(n_1274),
+	.B(n_12421),
+	.Y(n_2164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1004362 (
+	.A(n_1283),
+	.B_N(n_69927),
+	.Y(n_2162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1004363 (
+	.A(n_1283),
+	.B_N(n_589),
+	.Y(n_2160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004364 (
+	.A(n_1268),
+	.B(n_1277),
+	.Y(n_2158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g1004365 (
+	.A_N(n_29456),
+	.B(n_1268),
+	.X(n_2156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1004367 (
+	.A(n_1268),
+	.B(n_12421),
+	.X(n_2152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g1004368 (
+	.A_N(n_29447),
+	.B(n_1268),
+	.X(n_2150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g1004370 (
+	.A_N(n_29335),
+	.B(n_1317),
+	.X(n_2146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g1004371 (
+	.A_N(n_29476),
+	.B(n_1551),
+	.X(n_2144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1004372 (
+	.A(n_29477),
+	.B(n_35142),
+	.Y(n_2143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1004373 (
+	.A(n_29485),
+	.B(n_35142),
+	.Y(n_2142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g1004374 (
+	.A_N(n_29486),
+	.B(n_1551),
+	.X(n_2141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g1004375 (
+	.A(n_1506),
+	.B(soc_top_u_top_u_core_csr_save_wb),
+	.X(n_2140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g1004376 (
+	.A(n_1506),
+	.B(soc_top_u_top_u_core_csr_save_if),
+	.X(n_2139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g1004377 (
+	.A(n_1633),
+	.B(soc_top_system_rst_ni),
+	.X(n_2138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g1004378 (
+	.A(n_1326),
+	.B(soc_top_system_rst_ni),
+	.X(n_2137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004379 (
+	.A(n_571),
+	.B(n_1324),
+	.Y(n_2136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g1004380 (
+	.A(n_35380),
+	.B(soc_top_system_rst_ni),
+	.X(n_2135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g1004382 (
+	.A(n_1283),
+	.B(n_29413),
+	.X(n_2132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1004383 (
+	.A(\soc_top_plic_resp[d_valid] ),
+	.B_N(n_1357),
+	.X(n_2131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g1004384 (
+	.A(n_1574),
+	.B(n_68743),
+	.X(n_2129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1004385 (
+	.A(n_1147),
+	.B(soc_top_u_top_u_core_csr_save_cause),
+	.X(n_2127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1004386 (
+	.A_N(n_86739),
+	.B(n_1593),
+	.Y(n_2125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1004392 (
+	.A(n_1926),
+	.Y(n_1927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1004393 (
+	.A(n_1891),
+	.Y(n_1890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1004394 (
+	.A(n_1889),
+	.Y(n_1888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1004395 (
+	.A0(soc_top_u_top_u_core_pc_id[9]),
+	.A1(soc_top_u_top_u_core_pc_wb[9]),
+	.S(n_28888),
+	.Y(n_1887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1004396 (
+	.A(n_91432),
+	.B(n_74984),
+	.X(n_1886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g1004398 (
+	.A(soc_top_u_top_u_core_csr_restore_mret_id),
+	.B(soc_top_u_top_u_core_pc_mux_id[2]),
+	.C_N(soc_top_u_top_u_core_priv_mode_id[0]),
+	.Y(n_1884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g1004399 (
+	.A(\soc_top_GPIO_gen_filter[12].filter_diff_ctr_q [2]),
+	.B(n_202),
+	.C(n_754),
+	.X(n_1883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g1004400 (
+	.A(\soc_top_GPIO_gen_filter[8].filter_diff_ctr_q [2]),
+	.B(n_733),
+	.C(n_208),
+	.X(n_1882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g1004402 (
+	.A(\soc_top_GPIO_gen_filter[3].filter_diff_ctr_q [2]),
+	.B(n_167),
+	.C(n_765),
+	.X(n_1880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g1004403 (
+	.A(\soc_top_GPIO_gen_filter[4].filter_diff_ctr_q [2]),
+	.B(n_171),
+	.C(n_764),
+	.X(n_1879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g1004404 (
+	.A(\soc_top_GPIO_gen_filter[7].filter_diff_ctr_q [2]),
+	.B(n_734),
+	.C(n_185),
+	.X(n_1878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g1004405 (
+	.A(\soc_top_GPIO_gen_filter[15].filter_diff_ctr_q [2]),
+	.B(n_181),
+	.C(n_177),
+	.X(n_1877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g1004406 (
+	.A(\soc_top_GPIO_gen_filter[16].filter_diff_ctr_q [2]),
+	.B(n_217),
+	.C(n_176),
+	.X(n_1876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g1004409 (
+	.A(\soc_top_GPIO_gen_filter[27].filter_diff_ctr_q [2]),
+	.B(n_743),
+	.C(n_737),
+	.X(n_1873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g1004410 (
+	.A(\soc_top_GPIO_gen_filter[31].filter_diff_ctr_q [2]),
+	.B(n_182),
+	.C(n_188),
+	.X(n_1872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g1004411 (
+	.A(\soc_top_GPIO_gen_filter[6].filter_diff_ctr_q [2]),
+	.B(n_767),
+	.C(n_780),
+	.X(n_1871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g1004413 (
+	.A(\soc_top_GPIO_gen_filter[28].filter_diff_ctr_q [2]),
+	.B(n_777),
+	.C(n_170),
+	.X(n_1869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g1004414 (
+	.A(\soc_top_GPIO_gen_filter[17].filter_diff_ctr_q [2]),
+	.B(n_166),
+	.C(n_775),
+	.X(n_1868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1004415 (
+	.A(n_743),
+	.B(n_737),
+	.Y(n_1867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g1004416 (
+	.A(\soc_top_GPIO_gen_filter[21].filter_diff_ctr_q [2]),
+	.B(n_768),
+	.C(n_766),
+	.X(n_1866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g1004418 (
+	.A(\soc_top_GPIO_gen_filter[14].filter_diff_ctr_q [2]),
+	.B(n_210),
+	.C(n_209),
+	.X(n_1864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g1004419 (
+	.A(\soc_top_GPIO_gen_filter[22].filter_diff_ctr_q [2]),
+	.B(n_735),
+	.C(n_730),
+	.X(n_1863), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g1004420 (
+	.A(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.C(soc_top_system_rst_ni),
+	.X(n_1862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g1004421 (
+	.A(\soc_top_GPIO_gen_filter[5].filter_diff_ctr_q [2]),
+	.B(n_206),
+	.C(n_178),
+	.X(n_1861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g1004422 (
+	.A(\soc_top_GPIO_gen_filter[24].filter_diff_ctr_q [2]),
+	.B(n_744),
+	.C(n_755),
+	.X(n_1860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g1004423 (
+	.A(\soc_top_GPIO_gen_filter[10].filter_diff_ctr_q [2]),
+	.B(n_197),
+	.C(n_732),
+	.X(n_1859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g1004424 (
+	.A(\soc_top_GPIO_gen_filter[30].filter_diff_ctr_q [2]),
+	.B(n_774),
+	.C(n_168),
+	.X(n_1858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g1004425 (
+	.A(soc_top_u_top_u_core_csr_restore_mret_id),
+	.B(soc_top_u_top_u_core_pc_mux_id[2]),
+	.C_N(soc_top_u_top_u_core_priv_mode_id[1]),
+	.Y(n_1857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g1004426 (
+	.A(\soc_top_GPIO_gen_filter[19].filter_diff_ctr_q [2]),
+	.B(n_180),
+	.C(n_761),
+	.X(n_1856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g1004427 (
+	.A(\soc_top_GPIO_gen_filter[11].filter_diff_ctr_q [2]),
+	.B(n_169),
+	.C(n_742),
+	.X(n_1855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g1004428 (
+	.A(\soc_top_GPIO_gen_filter[13].filter_diff_ctr_q [2]),
+	.B(n_776),
+	.C(n_779),
+	.X(n_1854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g1004429 (
+	.A(io_out[4]),
+	.B(n_29478),
+	.C(soc_top_u_spi_host_spi_host_clgen_n_172),
+	.Y(n_1853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g1004430 (
+	.A(\soc_top_GPIO_gen_filter[0].filter_diff_ctr_q [2]),
+	.B(n_192),
+	.C(n_207),
+	.X(n_1852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g1004432 (
+	.A(\soc_top_GPIO_gen_filter[1].filter_diff_ctr_q [2]),
+	.B(n_763),
+	.C(n_770),
+	.X(n_1850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1004433 (
+	.A(n_166),
+	.B(n_775),
+	.Y(n_1849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g1004434 (
+	.A(\soc_top_GPIO_gen_filter[2].filter_diff_ctr_q [2]),
+	.B(n_193),
+	.C(n_736),
+	.X(n_1848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g1004435 (
+	.A(n_69314),
+	.B(\soc_top_lsu_to_xbar[a_address] [9]),
+	.C(n_29419),
+	.Y(n_1847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g1004436 (
+	.A(\soc_top_GPIO_gen_filter[29].filter_diff_ctr_q [2]),
+	.B(n_196),
+	.C(n_191),
+	.X(n_1846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g1004437 (
+	.A(\soc_top_GPIO_gen_filter[9].filter_diff_ctr_q [2]),
+	.B(n_740),
+	.C(n_186),
+	.X(n_1845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1004438 (
+	.A(n_56980),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_84 ),
+	.Y(n_1844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g1004439 (
+	.A(soc_top_u_top_u_core_id_stage_i_ecall_insn_dec),
+	.B(soc_top_u_top_u_core_id_stage_i_ebrk_insn),
+	.C(soc_top_u_top_u_core_id_stage_i_illegal_insn_dec),
+	.X(n_1843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g1004440 (
+	.A(\soc_top_GPIO_gen_filter[26].filter_diff_ctr_q [2]),
+	.B(n_772),
+	.C(n_183),
+	.X(n_1842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g1004443 (
+	.A(\soc_top_GPIO_gen_filter[23].filter_diff_ctr_q [2]),
+	.B(n_739),
+	.C(n_211),
+	.X(n_1839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g1004444 (
+	.A(\soc_top_GPIO_gen_filter[25].filter_diff_ctr_q [2]),
+	.B(n_769),
+	.C(n_731),
+	.X(n_1838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1004445 (
+	.A(n_192),
+	.B(n_207),
+	.Y(n_1837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g1004446 (
+	.A(\soc_top_GPIO_gen_filter[20].filter_diff_ctr_q [2]),
+	.B(n_753),
+	.C(n_172),
+	.X(n_1836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1004447 (
+	.A(n_739),
+	.B(n_211),
+	.Y(n_1835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g1004448 (
+	.A(soc_top_u_uart_u_uart_core_rx_sbit),
+	.B(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.C_N(soc_top_u_uart_u_uart_core_rx_en),
+	.Y(n_1834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1004449 (
+	.A1(soc_top_u_spi_host_spi_host_clgen_cnt[1]),
+	.A2(soc_top_u_spi_host_spi_host_clgen_cnt[0]),
+	.B1_N(n_1584),
+	.X(n_1833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004450 (
+	.A1(soc_top_main_swith_host_lsu_num_req_outstanding[1]),
+	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[0]),
+	.B1(n_1328),
+	.Y(n_1832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1004451 (
+	.A(n_740),
+	.B(n_186),
+	.Y(n_1831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1004452 (
+	.A_N(soc_top_u_top_u_core_fp_src_fmt[0]),
+	.B(n_1512),
+	.C(FE_DBTN68_n_54295),
+	.Y(n_1830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1004453 (
+	.A1(n_253),
+	.A2(\soc_top_timer0_reg2hw[cfg0][prescale][q] [2]),
+	.B1(n_869),
+	.B2(\soc_top_timer0_reg2hw[cfg0][prescale][q] [1]),
+	.Y(n_1829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1004454 (
+	.A(n_763),
+	.B(n_770),
+	.Y(n_1828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1004455 (
+	.A(n_735),
+	.B(n_730),
+	.Y(n_1827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1004458 (
+	.A(n_75771),
+	.B(soc_top_u_top_u_core_lsu_wdata[19]),
+	.Y(n_1824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1004459 (
+	.A(n_167),
+	.B(n_765),
+	.Y(n_1823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1004462 (
+	.A(n_776),
+	.B(n_779),
+	.Y(n_1820), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1004464 (
+	.A(n_182),
+	.B(n_188),
+	.Y(n_1818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1004465 (
+	.A(n_210),
+	.B(n_209),
+	.Y(n_1817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1004467 (
+	.A(n_197),
+	.B(n_732),
+	.Y(n_1815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1004468 (
+	.A(n_169),
+	.B(n_742),
+	.Y(n_1814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1004469 (
+	.A(n_92783),
+	.B(n_77843),
+	.X(n_1813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1004470 (
+	.A(n_38885),
+	.B(n_29042),
+	.X(n_1812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1004471 (
+	.A(n_774),
+	.B(n_168),
+	.Y(n_1811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1004472 (
+	.A(n_79223),
+	.B(FE_DBTN98_n_26214),
+	.Y(n_1810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1004473 (
+	.A(n_58890),
+	.B(n_58897),
+	.X(n_1809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g1004474 (
+	.A1(n_718),
+	.A2(soc_top_u_pwm_pwm_core_DC_2[5]),
+	.B1(n_727),
+	.B2(soc_top_u_pwm_pwm_core_DC_2[4]),
+	.Y(n_1808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1004477 (
+	.A(n_84501),
+	.B(\soc_top_u_top_u_core_fp_operands[0] [28]),
+	.Y(n_1805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004479 (
+	.A1(n_34665),
+	.A2(soc_top_u_top_u_core_csr_mepc[14]),
+	.B1(n_34664),
+	.B2(soc_top_u_top_u_core_csr_depc[14]),
+	.X(n_1803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g1004480 (
+	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[3]),
+	.A2(n_29386),
+	.B1(n_36116),
+	.B2(n_29410),
+	.Y(n_1802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g1004481 (
+	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[4]),
+	.A2(n_29388),
+	.B1(n_36119),
+	.B2(n_29404),
+	.Y(n_1801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g1004482 (
+	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[5]),
+	.A2(n_29387),
+	.B1(n_36117),
+	.B2(n_29407),
+	.Y(n_1800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g1004483 (
+	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[7]),
+	.A2(n_29385),
+	.B1(n_36118),
+	.B2(n_29405),
+	.Y(n_1799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004484 (
+	.A1(n_34665),
+	.A2(soc_top_u_top_u_core_csr_mepc[12]),
+	.B1(n_34664),
+	.B2(soc_top_u_top_u_core_csr_depc[12]),
+	.X(n_1798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004485 (
+	.A1(n_34665),
+	.A2(soc_top_u_top_u_core_csr_mepc[13]),
+	.B1(n_34664),
+	.B2(soc_top_u_top_u_core_csr_depc[13]),
+	.X(n_1797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004486 (
+	.A1(n_34665),
+	.A2(soc_top_u_top_u_core_csr_mepc[16]),
+	.B1(n_34664),
+	.B2(soc_top_u_top_u_core_csr_depc[16]),
+	.X(n_1796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004487 (
+	.A1(n_34665),
+	.A2(soc_top_u_top_u_core_csr_mepc[17]),
+	.B1(n_34664),
+	.B2(soc_top_u_top_u_core_csr_depc[17]),
+	.X(n_1795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004488 (
+	.A1(n_34665),
+	.A2(soc_top_u_top_u_core_csr_mepc[19]),
+	.B1(n_34664),
+	.B2(soc_top_u_top_u_core_csr_depc[19]),
+	.X(n_1794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004489 (
+	.A1(n_34665),
+	.A2(soc_top_u_top_u_core_csr_mepc[20]),
+	.B1(n_34664),
+	.B2(soc_top_u_top_u_core_csr_depc[20]),
+	.X(n_1793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004490 (
+	.A1(n_34665),
+	.A2(soc_top_u_top_u_core_csr_mepc[22]),
+	.B1(n_34664),
+	.B2(soc_top_u_top_u_core_csr_depc[22]),
+	.X(n_1792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004491 (
+	.A1(n_34665),
+	.A2(soc_top_u_top_u_core_csr_mepc[23]),
+	.B1(n_34664),
+	.B2(soc_top_u_top_u_core_csr_depc[23]),
+	.X(n_1791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004492 (
+	.A1(n_34665),
+	.A2(soc_top_u_top_u_core_csr_mepc[25]),
+	.B1(n_34664),
+	.B2(soc_top_u_top_u_core_csr_depc[25]),
+	.X(n_1790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004493 (
+	.A1(n_14360),
+	.A2(soc_top_u_iccm_rdata2[28]),
+	.B1(n_14359),
+	.B2(soc_top_u_iccm_rdata1[28]),
+	.X(n_1789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1004495 (
+	.A0(n_332),
+	.A1(n_37490),
+	.S(n_29276),
+	.X(n_1787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g1004496 (
+	.A1(n_29284),
+	.A2(n_372),
+	.B1(n_39599),
+	.B2(n_1106),
+	.X(n_1786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g1004498 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [10]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [7]),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [11]),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [9]),
+	.Y(n_1784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004499 (
+	.A1(n_14360),
+	.A2(soc_top_u_iccm_rdata2[24]),
+	.B1(n_14359),
+	.B2(soc_top_u_iccm_rdata1[24]),
+	.X(n_1783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g1004500 (
+	.A1(n_29390),
+	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[10]),
+	.B1(n_76147),
+	.B2(n_29408),
+	.Y(n_1782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004501 (
+	.A1(n_14360),
+	.A2(soc_top_u_iccm_rdata2[22]),
+	.B1(n_14359),
+	.B2(soc_top_u_iccm_rdata1[22]),
+	.X(n_1781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004502 (
+	.A1(n_14360),
+	.A2(soc_top_u_iccm_rdata2[30]),
+	.B1(n_14359),
+	.B2(soc_top_u_iccm_rdata1[30]),
+	.X(n_1780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004503 (
+	.A1(n_14360),
+	.A2(soc_top_u_iccm_rdata2[14]),
+	.B1(n_14359),
+	.B2(soc_top_u_iccm_rdata1[14]),
+	.X(n_1779), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004504 (
+	.A1(n_34665),
+	.A2(soc_top_u_top_u_core_csr_mepc[31]),
+	.B1(n_34664),
+	.B2(soc_top_u_top_u_core_csr_depc[31]),
+	.X(n_1778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004505 (
+	.A1(n_34665),
+	.A2(soc_top_u_top_u_core_csr_mepc[27]),
+	.B1(n_34664),
+	.B2(soc_top_u_top_u_core_csr_depc[27]),
+	.X(n_1777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004506 (
+	.A1(n_34665),
+	.A2(soc_top_u_top_u_core_csr_mepc[26]),
+	.B1(n_34664),
+	.B2(soc_top_u_top_u_core_csr_depc[26]),
+	.X(n_1776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004507 (
+	.A1(n_34665),
+	.A2(soc_top_u_top_u_core_csr_mepc[28]),
+	.B1(n_34664),
+	.B2(soc_top_u_top_u_core_csr_depc[28]),
+	.X(n_1775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1004508 (
+	.A1(n_29290),
+	.A2(soc_top_u_top_u_core_csr_mtvec[29]),
+	.B1(n_34665),
+	.B2(soc_top_u_top_u_core_csr_mepc[29]),
+	.Y(n_1774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g1004509 (
+	.A1(n_31152),
+	.A2(n_1092),
+	.B1(n_1446),
+	.Y(n_1773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004510 (
+	.A1(n_34665),
+	.A2(soc_top_u_top_u_core_csr_mepc[21]),
+	.B1(n_34664),
+	.B2(soc_top_u_top_u_core_csr_depc[21]),
+	.X(n_1772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004511 (
+	.A1(n_34665),
+	.A2(soc_top_u_top_u_core_csr_mepc[18]),
+	.B1(n_34664),
+	.B2(soc_top_u_top_u_core_csr_depc[18]),
+	.X(n_1771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004512 (
+	.A1(n_34665),
+	.A2(soc_top_u_top_u_core_csr_mepc[30]),
+	.B1(n_34664),
+	.B2(soc_top_u_top_u_core_csr_depc[30]),
+	.X(n_1770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004513 (
+	.A1(n_34665),
+	.A2(soc_top_u_top_u_core_csr_mepc[24]),
+	.B1(n_34664),
+	.B2(soc_top_u_top_u_core_csr_depc[24]),
+	.X(n_1769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004514 (
+	.A1(n_34665),
+	.A2(soc_top_u_top_u_core_csr_mepc[15]),
+	.B1(n_34664),
+	.B2(soc_top_u_top_u_core_csr_depc[15]),
+	.X(n_1768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1004515 (
+	.A(n_78034),
+	.B(n_62568),
+	.Y(n_1767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004516 (
+	.A1(n_14360),
+	.A2(soc_top_u_iccm_rdata2[5]),
+	.B1(n_14359),
+	.B2(soc_top_u_iccm_rdata1[5]),
+	.X(n_1766), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004517 (
+	.A1(n_14360),
+	.A2(soc_top_u_iccm_rdata2[7]),
+	.B1(n_14359),
+	.B2(soc_top_u_iccm_rdata1[7]),
+	.X(n_1765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004518 (
+	.A1(n_14360),
+	.A2(soc_top_u_iccm_rdata2[10]),
+	.B1(n_14359),
+	.B2(soc_top_u_iccm_rdata1[10]),
+	.X(n_1764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004519 (
+	.A1(n_14360),
+	.A2(soc_top_u_iccm_rdata2[11]),
+	.B1(n_14359),
+	.B2(soc_top_u_iccm_rdata1[11]),
+	.X(n_1763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004520 (
+	.A1(n_14360),
+	.A2(soc_top_u_iccm_rdata2[12]),
+	.B1(n_14359),
+	.B2(soc_top_u_iccm_rdata1[12]),
+	.X(n_1762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004521 (
+	.A1(n_14360),
+	.A2(soc_top_u_iccm_rdata2[13]),
+	.B1(n_14359),
+	.B2(soc_top_u_iccm_rdata1[13]),
+	.X(n_1761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004522 (
+	.A1(n_14360),
+	.A2(soc_top_u_iccm_rdata2[15]),
+	.B1(n_14359),
+	.B2(soc_top_u_iccm_rdata1[15]),
+	.X(n_1760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004523 (
+	.A1(n_14360),
+	.A2(soc_top_u_iccm_rdata2[18]),
+	.B1(n_14359),
+	.B2(soc_top_u_iccm_rdata1[18]),
+	.X(n_1759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004524 (
+	.A1(n_14360),
+	.A2(soc_top_u_iccm_rdata2[19]),
+	.B1(n_14359),
+	.B2(soc_top_u_iccm_rdata1[19]),
+	.X(n_1758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004525 (
+	.A1(n_14360),
+	.A2(soc_top_u_iccm_rdata2[20]),
+	.B1(n_14359),
+	.B2(soc_top_u_iccm_rdata1[20]),
+	.X(n_1757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004526 (
+	.A1(n_14360),
+	.A2(soc_top_u_iccm_rdata2[21]),
+	.B1(n_14359),
+	.B2(soc_top_u_iccm_rdata1[21]),
+	.X(n_1756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004527 (
+	.A1(n_14360),
+	.A2(soc_top_u_iccm_rdata2[23]),
+	.B1(n_14359),
+	.B2(soc_top_u_iccm_rdata1[23]),
+	.X(n_1755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004528 (
+	.A1(n_14360),
+	.A2(soc_top_u_iccm_rdata2[25]),
+	.B1(n_14359),
+	.B2(soc_top_u_iccm_rdata1[25]),
+	.X(n_1754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004529 (
+	.A1(n_14360),
+	.A2(soc_top_u_iccm_rdata2[26]),
+	.B1(n_14359),
+	.B2(soc_top_u_iccm_rdata1[26]),
+	.X(n_1753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004530 (
+	.A1(n_14360),
+	.A2(soc_top_u_iccm_rdata2[27]),
+	.B1(n_14359),
+	.B2(soc_top_u_iccm_rdata1[27]),
+	.X(n_1752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004531 (
+	.A1(n_14360),
+	.A2(soc_top_u_iccm_rdata2[29]),
+	.B1(n_14359),
+	.B2(soc_top_u_iccm_rdata1[29]),
+	.X(n_1751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g1004532 (
+	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[6]),
+	.A2(n_29389),
+	.B1(n_36115),
+	.B2(n_29406),
+	.Y(n_1750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004533 (
+	.A1(n_14360),
+	.A2(soc_top_u_iccm_rdata2[31]),
+	.B1(n_14359),
+	.B2(soc_top_u_iccm_rdata1[31]),
+	.X(n_1749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g1004536 (
+	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[11]),
+	.A2(n_29391),
+	.B1(n_29875),
+	.B2(n_29409),
+	.Y(n_1746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g1004537 (
+	.A1(n_512),
+	.A2(n_37491),
+	.B1(n_87087),
+	.B2(n_1107),
+	.X(n_1745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1004538 (
+	.A1_N(soc_top_u_top_u_core_debug_mode),
+	.A2_N(soc_top_u_top_u_core_csr_save_cause),
+	.B1(soc_top_u_top_u_core_csr_restore_mret_id),
+	.B2(soc_top_u_top_u_core_csr_save_cause),
+	.Y(n_1744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g1004539 (
+	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[8]),
+	.A2(n_29403),
+	.B1(n_76148),
+	.B2(n_29412),
+	.Y(n_1743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004540 (
+	.A1(n_14360),
+	.A2(soc_top_u_iccm_rdata2[9]),
+	.B1(n_14359),
+	.B2(soc_top_u_iccm_rdata1[9]),
+	.X(n_1742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004541 (
+	.A1(n_14360),
+	.A2(soc_top_u_iccm_rdata2[2]),
+	.B1(n_14359),
+	.B2(soc_top_u_iccm_rdata1[2]),
+	.X(n_1741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004543 (
+	.A1(n_14360),
+	.A2(soc_top_u_iccm_rdata2[8]),
+	.B1(n_14359),
+	.B2(soc_top_u_iccm_rdata1[8]),
+	.X(n_1739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004544 (
+	.A1(n_14360),
+	.A2(soc_top_u_iccm_rdata2[6]),
+	.B1(n_14359),
+	.B2(soc_top_u_iccm_rdata1[6]),
+	.X(n_1738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004545 (
+	.A1(n_14360),
+	.A2(soc_top_u_iccm_rdata2[4]),
+	.B1(n_14359),
+	.B2(soc_top_u_iccm_rdata1[4]),
+	.X(n_1737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004546 (
+	.A1(n_14360),
+	.A2(soc_top_u_iccm_rdata2[3]),
+	.B1(n_14359),
+	.B2(soc_top_u_iccm_rdata1[3]),
+	.X(n_1736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1004547 (
+	.A0(soc_top_u_top_u_core_pc_id[13]),
+	.A1(soc_top_u_top_u_core_pc_wb[13]),
+	.S(n_28888),
+	.Y(n_1735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1004548 (
+	.A0(soc_top_u_top_u_core_pc_id[19]),
+	.A1(soc_top_u_top_u_core_pc_wb[19]),
+	.S(n_28888),
+	.Y(n_1734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1004549 (
+	.A0(soc_top_u_top_u_core_instr_rdata_id[7]),
+	.A1(soc_top_u_top_u_core_rf_waddr_wb[0]),
+	.S(n_28888),
+	.Y(n_1733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1004550 (
+	.A0(soc_top_u_top_u_core_pc_id[6]),
+	.A1(soc_top_u_top_u_core_pc_wb[6]),
+	.S(n_28888),
+	.Y(n_1732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1004551 (
+	.A0(soc_top_u_top_u_core_pc_id[2]),
+	.A1(soc_top_u_top_u_core_pc_wb[2]),
+	.S(n_28888),
+	.Y(n_1731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1004552 (
+	.A0(soc_top_u_top_u_core_pc_id[20]),
+	.A1(soc_top_u_top_u_core_pc_wb[20]),
+	.S(n_28888),
+	.Y(n_1730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1004553 (
+	.A0(soc_top_u_top_u_core_pc_id[3]),
+	.A1(soc_top_u_top_u_core_pc_wb[3]),
+	.S(n_28888),
+	.Y(n_1729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1004554 (
+	.A0(soc_top_u_top_u_core_fp_load),
+	.A1(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.fp_load_q ),
+	.S(n_28888),
+	.Y(n_1728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g1004555 (
+	.A(n_103),
+	.B(\soc_top_u_top_u_core_imd_val_q_ex[1] [31]),
+	.C(n_76),
+	.X(n_1727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1004556 (
+	.A0(soc_top_u_top_u_core_pc_id[11]),
+	.A1(soc_top_u_top_u_core_pc_wb[11]),
+	.S(n_28888),
+	.Y(n_1726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1004557 (
+	.A0(soc_top_u_top_u_core_pc_id[15]),
+	.A1(soc_top_u_top_u_core_pc_wb[15]),
+	.S(n_28888),
+	.Y(n_1725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1004558 (
+	.A0(soc_top_u_top_u_core_pc_id[21]),
+	.A1(soc_top_u_top_u_core_pc_wb[21]),
+	.S(n_28888),
+	.Y(n_1724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1004559 (
+	.A0(soc_top_u_top_u_core_pc_id[25]),
+	.A1(soc_top_u_top_u_core_pc_wb[25]),
+	.S(n_28888),
+	.Y(n_1723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1004560 (
+	.A0(soc_top_u_top_u_core_pc_id[27]),
+	.A1(soc_top_u_top_u_core_pc_wb[27]),
+	.S(n_28888),
+	.Y(n_1722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1004561 (
+	.A0(soc_top_u_top_u_core_pc_id[1]),
+	.A1(soc_top_u_top_u_core_pc_wb[1]),
+	.S(n_28888),
+	.Y(n_1721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1004562 (
+	.A0(soc_top_u_top_u_core_instr_rdata_id[9]),
+	.A1(soc_top_u_top_u_core_rf_waddr_wb[2]),
+	.S(n_28888),
+	.Y(n_1720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1004563 (
+	.A0(soc_top_u_top_u_core_pc_id[17]),
+	.A1(soc_top_u_top_u_core_pc_wb[17]),
+	.S(n_28888),
+	.Y(n_1719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1004564 (
+	.A0(soc_top_u_top_u_core_pc_id[26]),
+	.A1(soc_top_u_top_u_core_pc_wb[26]),
+	.S(n_28888),
+	.Y(n_1718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1004565 (
+	.A0(soc_top_u_top_u_core_pc_id[4]),
+	.A1(soc_top_u_top_u_core_pc_wb[4]),
+	.S(n_28888),
+	.Y(n_1717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1004566 (
+	.A0(soc_top_u_top_u_core_pc_id[14]),
+	.A1(soc_top_u_top_u_core_pc_wb[14]),
+	.S(n_28888),
+	.Y(n_1716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1004567 (
+	.A0(soc_top_u_top_u_core_pc_id[18]),
+	.A1(soc_top_u_top_u_core_pc_wb[18]),
+	.S(n_28888),
+	.Y(n_1715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1004568 (
+	.A0(soc_top_u_top_u_core_pc_id[23]),
+	.A1(soc_top_u_top_u_core_pc_wb[23]),
+	.S(n_28888),
+	.Y(n_1714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1004569 (
+	.A0(soc_top_u_top_u_core_instr_rdata_id[8]),
+	.A1(soc_top_u_top_u_core_rf_waddr_wb[1]),
+	.S(n_28888),
+	.Y(n_1713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1004570 (
+	.A0(soc_top_u_top_u_core_pc_id[5]),
+	.A1(soc_top_u_top_u_core_pc_wb[5]),
+	.S(n_28888),
+	.Y(n_1712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1004571 (
+	.A0(soc_top_u_top_u_core_pc_id[31]),
+	.A1(soc_top_u_top_u_core_pc_wb[31]),
+	.S(n_28888),
+	.Y(n_1711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1004572 (
+	.A0(soc_top_u_top_u_core_pc_id[29]),
+	.A1(soc_top_u_top_u_core_pc_wb[29]),
+	.S(n_28888),
+	.Y(n_1710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1004573 (
+	.A0(soc_top_u_top_u_core_pc_id[30]),
+	.A1(soc_top_u_top_u_core_pc_wb[30]),
+	.S(n_28888),
+	.Y(n_1709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1004574 (
+	.A0(soc_top_u_top_u_core_pc_id[22]),
+	.A1(soc_top_u_top_u_core_pc_wb[22]),
+	.S(n_28888),
+	.Y(n_1708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1004575 (
+	.A0(soc_top_u_top_u_core_pc_id[16]),
+	.A1(soc_top_u_top_u_core_pc_wb[16]),
+	.S(n_28888),
+	.Y(n_1707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1004576 (
+	.A0(soc_top_u_top_u_core_pc_id[12]),
+	.A1(soc_top_u_top_u_core_pc_wb[12]),
+	.S(n_28888),
+	.Y(n_1706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1004577 (
+	.A0(soc_top_u_top_u_core_pc_id[10]),
+	.A1(soc_top_u_top_u_core_pc_wb[10]),
+	.S(n_28888),
+	.Y(n_1705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1004578 (
+	.A0(soc_top_u_top_u_core_pc_id[8]),
+	.A1(soc_top_u_top_u_core_pc_wb[8]),
+	.S(n_28888),
+	.Y(n_1704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1004579 (
+	.A0(soc_top_u_top_u_core_pc_id[7]),
+	.A1(soc_top_u_top_u_core_pc_wb[7]),
+	.S(n_28888),
+	.Y(n_1703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1004580 (
+	.A0(soc_top_u_top_u_core_pc_id[28]),
+	.A1(soc_top_u_top_u_core_pc_wb[28]),
+	.S(n_28888),
+	.Y(n_1702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1004581 (
+	.A0(soc_top_u_top_u_core_instr_rdata_id[10]),
+	.A1(soc_top_u_top_u_core_rf_waddr_wb[3]),
+	.S(n_28888),
+	.Y(n_1701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1004582 (
+	.A0(soc_top_u_top_u_core_instr_rdata_id[11]),
+	.A1(soc_top_u_top_u_core_rf_waddr_wb[4]),
+	.S(n_28888),
+	.Y(n_1700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1004583 (
+	.A0(soc_top_u_top_u_core_fp_rf_wen_id),
+	.A1(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.fp_rf_we_wb_q ),
+	.S(n_28888),
+	.Y(n_1699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1004584 (
+	.A0(soc_top_u_top_u_core_pc_id[24]),
+	.A1(soc_top_u_top_u_core_pc_wb[24]),
+	.S(n_28888),
+	.Y(n_1698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g1004585 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [10]),
+	.B(n_1154),
+	.C(n_600),
+	.X(n_1697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g1004586 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [13]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [16]),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [14]),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [15]),
+	.Y(n_1696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g1004592 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [9]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [10]),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [11]),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [12]),
+	.Y(n_1690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g1004593 (
+	.A(\soc_top_u_top_u_core_fp_operands[2] [3]),
+	.B(\soc_top_u_top_u_core_fp_operands[2] [11]),
+	.C(\soc_top_u_top_u_core_fp_operands[2] [22]),
+	.D(n_40066),
+	.X(n_1689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g1004595 (
+	.A(n_87),
+	.B(n_623),
+	.C(n_613),
+	.D(n_56),
+	.X(n_1687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g1004596 (
+	.A(n_66),
+	.B(n_86),
+	.C(n_658),
+	.D(n_46),
+	.X(n_1686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g1004597 (
+	.A(n_57),
+	.B(n_649),
+	.C(n_640),
+	.D(n_38),
+	.Y(n_1685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1004600 (
+	.A(n_45527),
+	.B(n_80190),
+	.Y(n_1682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1004601 (
+	.A_N(\soc_top_plic_resp[d_valid] ),
+	.B(n_111),
+	.C(n_71266),
+	.D(n_87477),
+	.Y(n_1681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1004602 (
+	.A(n_769),
+	.B(n_731),
+	.Y(n_1680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1004603 (
+	.A(n_81530),
+	.B(n_61199),
+	.Y(n_1679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4bb_1 g1004604 (
+	.A(n_29320),
+	.B(n_29328),
+	.C_N(n_29323),
+	.D_N(n_29327),
+	.Y(n_1678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1004607 (
+	.A(n_202),
+	.B(n_754),
+	.Y(n_1675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1004608 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [2]),
+	.B(n_15828),
+	.X(n_1674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1004609 (
+	.A(n_81728),
+	.B(n_58418),
+	.X(n_1673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1004611 (
+	.A(n_80790),
+	.B(n_80788),
+	.X(n_1671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1004612 (
+	.A(n_767),
+	.B(n_780),
+	.Y(n_1670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1004613 (
+	.A(n_61337),
+	.B(n_87514),
+	.Y(n_1669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1004614 (
+	.A(n_81664),
+	.B(n_61467),
+	.X(n_1668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1004615 (
+	.A(n_744),
+	.B(n_755),
+	.Y(n_1667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1004617 (
+	.A(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.X(n_1665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1004618 (
+	.A(n_61246),
+	.B(n_41953),
+	.X(n_1664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1004620 (
+	.A(soc_top_u_top_u_core_lsu_wdata[16]),
+	.B(\soc_top_u_top_u_core_fp_operands[0] [16]),
+	.Y(n_1662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1004621 (
+	.A(n_772),
+	.B(n_183),
+	.Y(n_1661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1004622 (
+	.A(n_753),
+	.B(n_172),
+	.Y(n_1660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1004623 (
+	.A(n_181),
+	.B(n_177),
+	.Y(n_1659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1004624 (
+	.A(n_734),
+	.B(n_185),
+	.Y(n_1658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1004625 (
+	.A(n_777),
+	.B(n_170),
+	.Y(n_1657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1004626 (
+	.A(n_206),
+	.B(n_178),
+	.Y(n_1656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1004627 (
+	.A(n_171),
+	.B(n_764),
+	.Y(n_1655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1004628 (
+	.A(n_193),
+	.B(n_736),
+	.Y(n_1654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1004629 (
+	.A(n_768),
+	.B(n_766),
+	.Y(n_1653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1004630 (
+	.A(n_217),
+	.B(n_176),
+	.Y(n_1652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1004631 (
+	.A(n_196),
+	.B(n_191),
+	.Y(n_1651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1004632 (
+	.A(n_733),
+	.B(n_208),
+	.Y(n_1650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1004634 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [0]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [2]),
+	.Y(n_1648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1004635 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [0]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [1]),
+	.Y(n_1647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1004636 (
+	.A(n_180),
+	.B(n_761),
+	.Y(n_1646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1004639 (
+	.A(n_72188),
+	.B(n_69927),
+	.Y(n_1643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004640 (
+	.A1(n_149),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[11]),
+	.B1(n_1588),
+	.Y(n_1642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1004641 (
+	.A(n_832),
+	.B(FE_DBTN144_soc_top_u_top_u_core_alu_operator_ex_1),
+	.Y(n_1962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g1004642 (
+	.A(n_29326),
+	.B(n_29322),
+	.C_N(soc_top_u_top_u_core_cs_registers_i_n_6282),
+	.Y(n_1961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g1004643 (
+	.A1(\soc_top_timer0_reg2hw[cfg0][prescale][q] [3]),
+	.A2(n_817),
+	.B1(\soc_top_timer0_reg2hw[cfg0][prescale][q] [2]),
+	.B2(n_253),
+	.Y(n_1960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1004644 (
+	.A(n_34992),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_638 ),
+	.Y(n_1641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g1004645 (
+	.A1(\soc_top_timer0_reg2hw[cfg0][prescale][q] [7]),
+	.A2(n_826),
+	.B1(\soc_top_timer0_reg2hw[cfg0][prescale][q] [6]),
+	.B2(n_802),
+	.Y(n_1959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1004646 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [3]),
+	.B(n_1566),
+	.Y(n_1957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1004647 (
+	.A1(soc_top_u_pwm_pwm_core_period_2[15]),
+	.A2(n_304),
+	.B1(soc_top_u_pwm_pwm_core_period_2[14]),
+	.B2(n_725),
+	.Y(n_1956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g1004648 (
+	.A(soc_top_u_uart_u_uart_core_write_fifo_waddr[8]),
+	.B(soc_top_u_uart_u_uart_core_write_fifo_waddr[7]),
+	.C(soc_top_u_uart_u_uart_core_write_fifo_waddr[6]),
+	.Y(n_1954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004649 (
+	.A1(n_283),
+	.A2(soc_top_u_pwm_pwm_core_divisor[6]),
+	.B1(n_1575),
+	.Y(n_1953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004650 (
+	.A1(n_811),
+	.A2(soc_top_u_pwm_pwm_core_divisor[10]),
+	.B1(n_1576),
+	.Y(n_1952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1004651 (
+	.A1(soc_top_u_pwm_pwm_core_period[11]),
+	.A2(n_687),
+	.B1(soc_top_u_pwm_pwm_core_period[10]),
+	.B2(n_686),
+	.Y(n_1951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1004652 (
+	.A1(soc_top_u_pwm_pwm_core_DC_1[7]),
+	.A2(n_129),
+	.B1(soc_top_u_pwm_pwm_core_DC_1[6]),
+	.B2(n_676),
+	.Y(n_1950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1004653 (
+	.A1(soc_top_u_pwm_pwm_core_period[7]),
+	.A2(n_129),
+	.B1(soc_top_u_pwm_pwm_core_period[6]),
+	.B2(n_676),
+	.Y(n_1949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1004654 (
+	.A1(soc_top_u_pwm_pwm_core_period_2[11]),
+	.A2(n_151),
+	.B1(soc_top_u_pwm_pwm_core_period_2[10]),
+	.B2(n_677),
+	.X(n_1948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1004655 (
+	.A1(soc_top_u_pwm_pwm_core_period[15]),
+	.A2(n_762),
+	.B1(soc_top_u_pwm_pwm_core_period[14]),
+	.B2(n_717),
+	.Y(n_1947), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004656 (
+	.A1(n_831),
+	.A2(soc_top_u_pwm_pwm_core_divisor[4]),
+	.B1(n_1571),
+	.Y(n_1640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004657 (
+	.A1(n_822),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[8]),
+	.B1(n_1558),
+	.Y(n_1639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1004658 (
+	.A1(n_228),
+	.A2(soc_top_u_pwm_pwm_core_divisor[1]),
+	.B1(n_1572),
+	.X(n_1946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004659 (
+	.A1(n_851),
+	.A2(soc_top_u_pwm_pwm_core_divisor[8]),
+	.B1(n_1591),
+	.Y(n_1945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1004660 (
+	.A1(n_858),
+	.A2(soc_top_u_pwm_pwm_core_divisor[14]),
+	.B1_N(n_1561),
+	.Y(n_1944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004661 (
+	.A1(n_233),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[6]),
+	.B1(n_1341),
+	.Y(n_1943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004662 (
+	.A1(n_875),
+	.A2(soc_top_u_pwm_pwm_core_divisor[2]),
+	.B1(n_1342),
+	.Y(n_1942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004663 (
+	.A1(n_282),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[10]),
+	.B1(n_1337),
+	.Y(n_1638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1004664 (
+	.A1(n_220),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[14]),
+	.B1_N(n_1334),
+	.Y(n_1941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1004665 (
+	.A1(n_818),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[1]),
+	.B1(n_1580),
+	.X(n_1940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004666 (
+	.A1(n_300),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[2]),
+	.B1(n_1583),
+	.Y(n_1939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004667 (
+	.A1(n_141),
+	.A2(soc_top_u_pwm_pwm_core_divisor[11]),
+	.B1(n_1335),
+	.Y(n_1938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1004668 (
+	.A1(n_54294),
+	.A2(n_74735),
+	.B1(n_1323),
+	.X(n_1937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g1004669 (
+	.A1_N(FE_DBTN122_n_59102),
+	.A2_N(n_85120),
+	.B1(FE_DBTN122_n_59102),
+	.B2(n_85120),
+	.Y(n_1936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1004670 (
+	.A1_N(n_29760),
+	.A2_N(n_29451),
+	.B1(soc_top_u_top_u_core_load_store_unit_i_n_861),
+	.B2(n_29451),
+	.Y(n_1935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1004671 (
+	.A(n_69268),
+	.B(n_69266),
+	.Y(n_1934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1004672 (
+	.A(n_40712),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
+	.Y(n_1933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1004675 (
+	.A1(n_29),
+	.A2(soc_top_u_uart_u_uart_core_rx_buffer_size[4]),
+	.B1(n_21),
+	.Y(n_1930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1004678 (
+	.A1(n_29),
+	.A2(soc_top_u_uart_u_uart_core_rx_buffer_size[4]),
+	.B1(soc_top_u_uart_u_uart_core_rx_fifo_clr),
+	.Y(n_1926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1004679 (
+	.A(\soc_top_GPIO_gen_filter[2].filter_filter_q ),
+	.B(io_in[10]),
+	.X(n_1925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1004680 (
+	.A(\soc_top_GPIO_gen_filter[13].filter_filter_q ),
+	.B(io_in[21]),
+	.X(n_1924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1004681 (
+	.A(\soc_top_GPIO_gen_filter[30].filter_filter_q ),
+	.B(io_in[1]),
+	.X(n_1923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1004682 (
+	.A(\soc_top_GPIO_gen_filter[29].filter_filter_q ),
+	.B(io_in[37]),
+	.X(n_1922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1004683 (
+	.A(\soc_top_GPIO_gen_filter[1].filter_filter_q ),
+	.B(io_in[9]),
+	.X(n_1921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1004684 (
+	.A(\soc_top_GPIO_gen_filter[14].filter_filter_q ),
+	.B(io_in[22]),
+	.X(n_1920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1004685 (
+	.A(\soc_top_GPIO_gen_filter[19].filter_filter_q ),
+	.B(io_in[27]),
+	.X(n_1919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1004686 (
+	.A(\soc_top_GPIO_gen_filter[3].filter_filter_q ),
+	.B(io_in[11]),
+	.X(n_1918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1004687 (
+	.A(\soc_top_GPIO_gen_filter[25].filter_filter_q ),
+	.B(io_in[33]),
+	.X(n_1917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1004688 (
+	.A(\soc_top_GPIO_gen_filter[4].filter_filter_q ),
+	.B(io_in[12]),
+	.X(n_1916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1004689 (
+	.A(\soc_top_GPIO_gen_filter[16].filter_filter_q ),
+	.B(io_in[24]),
+	.X(n_1915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1004690 (
+	.A(\soc_top_GPIO_gen_filter[22].filter_filter_q ),
+	.B(io_in[30]),
+	.X(n_1914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1004691 (
+	.A(\soc_top_GPIO_gen_filter[9].filter_filter_q ),
+	.B(io_in[17]),
+	.X(n_1913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1004692 (
+	.A(\soc_top_GPIO_gen_filter[10].filter_filter_q ),
+	.B(io_in[18]),
+	.X(n_1912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1004693 (
+	.A(\soc_top_GPIO_gen_filter[20].filter_filter_q ),
+	.B(io_in[28]),
+	.X(n_1911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1004694 (
+	.A(\soc_top_GPIO_gen_filter[28].filter_filter_q ),
+	.B(io_in[36]),
+	.X(n_1910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1004695 (
+	.A(\soc_top_GPIO_gen_filter[21].filter_filter_q ),
+	.B(io_in[29]),
+	.X(n_1909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1004696 (
+	.A(\soc_top_GPIO_gen_filter[5].filter_filter_q ),
+	.B(io_in[13]),
+	.X(n_1908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1004697 (
+	.A(\soc_top_GPIO_gen_filter[11].filter_filter_q ),
+	.B(io_in[19]),
+	.X(n_1907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1004698 (
+	.A(\soc_top_GPIO_gen_filter[15].filter_filter_q ),
+	.B(io_in[23]),
+	.X(n_1906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1004699 (
+	.A(\soc_top_GPIO_gen_filter[26].filter_filter_q ),
+	.B(io_in[34]),
+	.X(n_1905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1004700 (
+	.A(\soc_top_GPIO_gen_filter[24].filter_filter_q ),
+	.B(io_in[32]),
+	.X(n_1904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1004701 (
+	.A(\soc_top_GPIO_gen_filter[23].filter_filter_q ),
+	.B(io_in[31]),
+	.X(n_1903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1004702 (
+	.A(\soc_top_GPIO_gen_filter[12].filter_filter_q ),
+	.B(io_in[20]),
+	.X(n_1902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1004703 (
+	.A(\soc_top_GPIO_gen_filter[8].filter_filter_q ),
+	.B(io_in[16]),
+	.X(n_1901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1004704 (
+	.A(\soc_top_GPIO_gen_filter[7].filter_filter_q ),
+	.B(io_in[15]),
+	.X(n_1900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1004705 (
+	.A(\soc_top_GPIO_gen_filter[6].filter_filter_q ),
+	.B(io_in[14]),
+	.X(n_1899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1004706 (
+	.A(\soc_top_GPIO_gen_filter[0].filter_filter_q ),
+	.B(io_in[8]),
+	.X(n_1898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1004707 (
+	.A(\soc_top_GPIO_gen_filter[31].filter_filter_q ),
+	.B(io_in[3]),
+	.X(n_1897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1004708 (
+	.A(\soc_top_GPIO_gen_filter[17].filter_filter_q ),
+	.B(io_in[25]),
+	.X(n_1896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1004709 (
+	.A(\soc_top_GPIO_gen_filter[27].filter_filter_q ),
+	.B(io_in[35]),
+	.X(n_1895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1004711 (
+	.A0(n_29969),
+	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata[1]),
+	.S(n_28),
+	.X(n_1893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g1004712 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_i_arbiter_gen_arbiter.rr_q [1]),
+	.B(soc_top_u_top_u_core_fp_alu_operator[1]),
+	.C(soc_top_u_top_u_core_instr_valid_id),
+	.X(n_1892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1004713 (
+	.A0(n_29968),
+	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata[0]),
+	.S(n_28),
+	.Y(n_1891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_2 g1004714 (
+	.A_N(soc_top_u_top_u_core_id_stage_i_imm_a_mux_sel),
+	.B(n_0),
+	.C(soc_top_system_rst_ni),
+	.X(n_1889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1004716 (
+	.A(n_1601),
+	.Y(n_1602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1004719 (
+	.A(n_1577),
+	.Y(n_1578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1004723 (
+	.A(n_1555),
+	.Y(n_1556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1004724 (
+	.A(n_35142),
+	.Y(n_1551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1004725 (
+	.A(n_1548),
+	.Y(n_1547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1004726 (
+	.A(n_1542),
+	.Y(n_1543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1004727 (
+	.A(n_1540),
+	.Y(n_1541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1004730 (
+	.A(n_1527),
+	.Y(n_1528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1004731 (
+	.A(n_1526),
+	.Y(n_1525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1004732 (
+	.A(n_1523),
+	.Y(n_1522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1004733 (
+	.A(n_1521),
+	.Y(n_1520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1004735 (
+	.A(n_1516),
+	.Y(n_1517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1004736 (
+	.A(n_1515),
+	.Y(n_1514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1004737 (
+	.A(n_1513),
+	.Y(n_1512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1004738 (
+	.A(n_1511),
+	.Y(n_1510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1004739 (
+	.A(n_1509),
+	.Y(n_1508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1004740 (
+	.A(n_1507),
+	.Y(n_1506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1004741 (
+	.A(n_1505),
+	.Y(n_1504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1004743 (
+	.A(n_1501),
+	.Y(n_1500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1004744 (
+	.A(n_1496),
+	.Y(n_1495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004749 (
+	.A(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_19 ),
+	.B(n_37489),
+	.Y(n_1493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1004752 (
+	.A(soc_top_GPIO_data_in_q[26]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [26]),
+	.Y(n_1491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004753 (
+	.A(n_39599),
+	.B(n_39598),
+	.Y(n_1490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1004755 (
+	.A(soc_top_GPIO_data_in_q[21]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [21]),
+	.Y(n_1488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004756 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [25]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [26]),
+	.Y(n_1487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004757 (
+	.A(n_72497),
+	.B(n_70316),
+	.Y(n_1486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1004758 (
+	.A(n_888),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo_buffer[60] [0]),
+	.X(n_1485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004759 (
+	.A(n_1027),
+	.B(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Y(n_1484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004760 (
+	.A(n_1097),
+	.B(n_39600),
+	.Y(n_1483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1004762 (
+	.A(soc_top_GPIO_data_in_q[27]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [27]),
+	.Y(n_1481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004764 (
+	.A(n_69314),
+	.B(n_68743),
+	.Y(n_1479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1004765 (
+	.A(soc_top_GPIO_data_in_q[22]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [22]),
+	.Y(n_1478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1004766 (
+	.A(soc_top_GPIO_data_in_q[5]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [5]),
+	.Y(n_1477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004767 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sign_z_D ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_RM_dly_S [0]),
+	.Y(n_1476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1004768 (
+	.A(soc_top_GPIO_data_in_q[4]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [4]),
+	.Y(n_1475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1004770 (
+	.A(soc_top_dccm_adapter_data_csbD),
+	.B_N(soc_top_dccm_adapter_data_weD),
+	.Y(n_1473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004772 (
+	.A(FE_DBTN38_n_72887),
+	.B(io_out[30]),
+	.Y(n_1471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g1004773 (
+	.A(n_1030),
+	.B(n_29428),
+	.X(n_1470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g1004774 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[31]),
+	.B(n_29863),
+	.X(n_1469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004775 (
+	.A(n_70316),
+	.B(n_503),
+	.Y(n_1468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004777 (
+	.A(n_323),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo_buffer[20] [0]),
+	.Y(n_1466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004779 (
+	.A(soc_top_iccm_ctrl_we),
+	.B(n_29697),
+	.Y(n_1464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1004780 (
+	.A(soc_top_GPIO_data_in_q[0]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [0]),
+	.Y(n_1463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g1004781 (
+	.A(soc_top_system_rst_ni),
+	.B(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.X(n_1462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1004782 (
+	.A(soc_top_GPIO_data_in_q[30]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [30]),
+	.Y(n_1461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004784 (
+	.A(FE_DBTN39_n_68259),
+	.B(gpio_o[25]),
+	.Y(n_1459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004785 (
+	.A(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B(soc_top_iccm_adapter_inst_mem_u_sramreqfifo_n_52),
+	.Y(n_1458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004786 (
+	.A(n_28888),
+	.B(n_72256),
+	.Y(n_1457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004787 (
+	.A(n_311),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo_buffer[12] [0]),
+	.Y(n_1456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004788 (
+	.A(FE_DBTN37_n_68466),
+	.B(gpio_o[26]),
+	.Y(n_1455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1004789 (
+	.A(soc_top_GPIO_data_in_q[10]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [10]),
+	.Y(n_1454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g1004790 (
+	.A(n_29593),
+	.B(soc_top_system_rst_ni),
+	.X(n_1453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1004791 (
+	.A(n_29314),
+	.B_N(n_29782),
+	.Y(n_1452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004792 (
+	.A(n_888),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo_buffer[56] [0]),
+	.Y(n_1451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1004793 (
+	.A(soc_top_GPIO_data_in_q[16]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [16]),
+	.Y(n_1450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004794 (
+	.A(n_212),
+	.B(n_571),
+	.Y(n_1449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004796 (
+	.A(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(n_571),
+	.Y(n_1447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004797 (
+	.A(n_1092),
+	.B(n_75597),
+	.Y(n_1446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1004798 (
+	.A(soc_top_GPIO_data_in_q[31]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [31]),
+	.Y(n_1445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1004799 (
+	.A(soc_top_GPIO_data_in_q[29]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [29]),
+	.Y(n_1444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g1004800 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
+	.B(n_29720),
+	.X(n_1443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004802 (
+	.A(n_29762),
+	.B(soc_top_u_top_u_core_id_stage_i_rf_we_dec),
+	.Y(n_1441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004804 (
+	.A(\soc_top_intr_controller_reg2hw[le][4][q] ),
+	.B(soc_top_intr_controller_u_gateway_src_q[4]),
+	.Y(n_1439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004806 (
+	.A(FE_DBTN163_soc_top_xbar_to_timer_a_data_21),
+	.B(gpio_o[21]),
+	.Y(n_1437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1004808 (
+	.A(soc_top_GPIO_data_in_q[9]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [9]),
+	.Y(n_1435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004809 (
+	.A(n_37059),
+	.B(n_35172),
+	.Y(n_1434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004811 (
+	.A(\soc_top_intr_controller_reg2hw[le][10][q] ),
+	.B(soc_top_intr_controller_u_gateway_src_q[10]),
+	.Y(n_1432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004812 (
+	.A(\soc_top_intr_controller_reg2hw[le][14][q] ),
+	.B(soc_top_intr_controller_u_gateway_src_q[14]),
+	.Y(n_1431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004814 (
+	.A(n_18),
+	.B(gpio_o[30]),
+	.Y(n_1429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004816 (
+	.A(n_323),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo_buffer[48] [0]),
+	.Y(n_1427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1004817 (
+	.A(n_29463),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo_buffer[36] [0]),
+	.Y(n_1426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004818 (
+	.A(n_73460),
+	.B(n_87087),
+	.Y(n_1425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1004819 (
+	.A(n_323),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo_buffer[52] [0]),
+	.X(n_1424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004822 (
+	.A(n_68216),
+	.B(io_out[36]),
+	.Y(n_1421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004823 (
+	.A(n_941),
+	.B(n_29283),
+	.Y(n_1420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1004825 (
+	.A(soc_top_GPIO_data_in_q[1]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [1]),
+	.Y(n_1418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004827 (
+	.A(\soc_top_intr_controller_reg2hw[le][8][q] ),
+	.B(soc_top_intr_controller_u_gateway_src_q[8]),
+	.Y(n_1416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1004829 (
+	.A(soc_top_u_pwm_pwm_core_period_2[8]),
+	.B(n_144),
+	.X(n_1414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004830 (
+	.A(\soc_top_intr_controller_reg2hw[le][22][q] ),
+	.B(soc_top_intr_controller_u_gateway_src_q[22]),
+	.Y(n_1413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004832 (
+	.A(n_521),
+	.B(n_29284),
+	.Y(n_1411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1004837 (
+	.A_N(n_29457),
+	.B(soc_top_iccm_adapter_inst_mem_u_reqfifo_n_68),
+	.Y(n_1406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1004838 (
+	.A(soc_top_GPIO_data_in_q[3]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [3]),
+	.Y(n_1405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004839 (
+	.A(n_68317),
+	.B(io_out[32]),
+	.Y(n_1404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1004840 (
+	.A(n_994),
+	.B(soc_top_u_pwm_pwm_core_divisor_2[15]),
+	.X(n_1637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004842 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [2]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [3]),
+	.Y(n_1403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004843 (
+	.A(soc_top_u_pwm_pwm_core_period_counter2[11]),
+	.B(soc_top_u_pwm_pwm_core_period_counter2[10]),
+	.Y(n_1636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1004844 (
+	.A(soc_top_u_pwm_pwm_core_period_2[6]),
+	.B(n_106),
+	.X(n_1635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004845 (
+	.A(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.B(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Y(n_1634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004846 (
+	.A(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
+	.B(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Y(n_1633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1004847 (
+	.A(soc_top_u_pwm_pwm_core_DC_2[10]),
+	.B(n_677),
+	.X(n_1632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1004848 (
+	.A(soc_top_u_pwm_pwm_core_period_counter2[0]),
+	.B_N(soc_top_u_pwm_pwm_core_DC_2[0]),
+	.Y(n_1402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004849 (
+	.A(n_12421),
+	.B(n_69927),
+	.Y(n_1631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004850 (
+	.A(soc_top_u_top_u_core_multdiv_operator_ex[1]),
+	.B(soc_top_u_top_u_core_multdiv_operator_ex[0]),
+	.Y(n_1630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1004851 (
+	.A(\soc_top_timer0_reg2hw[cfg0][prescale][q] [8]),
+	.B_N(\soc_top_timer0_gen_harts[0].u_core_tick_count [8]),
+	.Y(n_1629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004852 (
+	.A(\soc_top_timer0_reg2hw[cfg0][prescale][q] [10]),
+	.B(n_236),
+	.Y(n_1628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1004853 (
+	.A(\soc_top_timer0_reg2hw[cfg0][prescale][q] [9]),
+	.B_N(\soc_top_timer0_gen_harts[0].u_core_tick_count [9]),
+	.Y(n_1627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1004854 (
+	.A(\soc_top_timer0_reg2hw[cfg0][prescale][q] [11]),
+	.B_N(\soc_top_timer0_gen_harts[0].u_core_tick_count [11]),
+	.Y(n_1625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1004855 (
+	.A_N(n_71109),
+	.B(\soc_top_xbar_to_lsu[d_valid] ),
+	.Y(n_1624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1004856 (
+	.A(soc_top_u_pwm_pwm_core_period_counter1[0]),
+	.B_N(soc_top_u_pwm_pwm_core_DC_1[0]),
+	.Y(n_1401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004857 (
+	.A(soc_top_u_pwm_pwm_core_counter_p1[12]),
+	.B(soc_top_u_pwm_pwm_core_counter_p1[11]),
+	.Y(n_1623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004858 (
+	.A(n_29338),
+	.B(n_715),
+	.Y(n_1622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1004859 (
+	.A_N(\soc_top_timer0_gen_harts[0].u_core_tick_count [9]),
+	.B(\soc_top_timer0_reg2hw[cfg0][prescale][q] [9]),
+	.Y(n_1621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004860 (
+	.A(soc_top_u_uart_u_uart_core_write_fifo_waddr[2]),
+	.B(soc_top_u_uart_u_uart_core_write_fifo_waddr[3]),
+	.Y(n_1620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1004861 (
+	.A(soc_top_u_uart_u_uart_core_write_fifo_waddr[3]),
+	.B_N(soc_top_u_uart_u_uart_core_write_fifo_waddr[2]),
+	.Y(n_1619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004862 (
+	.A(\soc_top_timer0_gen_harts[0].u_core_tick_count [4]),
+	.B(n_1012),
+	.Y(n_1618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004863 (
+	.A(n_29577),
+	.B(n_77013),
+	.Y(n_1617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004864 (
+	.A(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.Y(n_1616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004866 (
+	.A(n_686),
+	.B(soc_top_u_pwm_pwm_core_period[10]),
+	.Y(n_1614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004867 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Zero_b_S ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Div_enable_S ),
+	.Y(n_1613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1004868 (
+	.A(soc_top_u_top_u_core_fp_src_fmt[0]),
+	.B_N(n_29564),
+	.Y(n_1612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004869 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [1]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [2]),
+	.Y(n_1611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g1004870 (
+	.A(n_677),
+	.B(soc_top_u_pwm_pwm_core_period_2[10]),
+	.X(n_1610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g1004871 (
+	.A(n_717),
+	.B(soc_top_u_pwm_pwm_core_DC_1[14]),
+	.X(n_1400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1004872 (
+	.A_N(soc_top_u_uart_u_uart_core_rx_sbit),
+	.B(soc_top_u_uart_u_uart_core_rx_time_n_217),
+	.Y(n_1609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004873 (
+	.A(soc_top_u_pwm_pwm_core_period_counter2[12]),
+	.B(soc_top_u_pwm_pwm_core_period_counter2[13]),
+	.Y(n_1608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004874 (
+	.A(soc_top_u_pwm_pwm_core_period_counter1[1]),
+	.B(soc_top_u_pwm_pwm_core_period_counter1[0]),
+	.Y(n_1607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004875 (
+	.A(soc_top_u_uart_u_uart_core_read_fifo_buffer_empty),
+	.B(n_29418),
+	.Y(n_1399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1004876 (
+	.A_N(\soc_top_uart_to_xbar[d_valid] ),
+	.B(n_29395),
+	.Y(n_1398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g1004877 (
+	.A(n_144),
+	.B(soc_top_u_pwm_pwm_core_period_2[8]),
+	.X(n_1397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004878 (
+	.A(soc_top_u_top_u_core_cs_registers_i_n_6223),
+	.B(n_29324),
+	.Y(n_1606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1004879 (
+	.A(n_310),
+	.B(soc_top_u_pwm_pwm_core_divisor[15]),
+	.X(n_1605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1004880 (
+	.A(\soc_top_intr_controller_irq_id_o[0] [3]),
+	.B_N(\soc_top_intr_controller_irq_id_o[0] [4]),
+	.Y(n_1604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1004881 (
+	.A_N(\soc_top_timer0_gen_harts[0].u_core_tick_count [11]),
+	.B(\soc_top_timer0_reg2hw[cfg0][prescale][q] [11]),
+	.Y(n_1603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1004882 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [1]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [2]),
+	.Y(n_1601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004883 (
+	.A(n_29754),
+	.B(n_29451),
+	.Y(n_1600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1004884 (
+	.A(\soc_top_intr_controller_irq_id_o[0] [4]),
+	.B_N(\soc_top_intr_controller_irq_id_o[0] [3]),
+	.Y(n_1599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1004885 (
+	.A(soc_top_u_pwm_pwm_core_period_counter2[0]),
+	.B_N(soc_top_u_pwm_pwm_core_period_2[0]),
+	.Y(n_1396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1004886 (
+	.A_N(soc_top_u_uart_u_uart_core_rx_buffer_size[8]),
+	.B(soc_top_u_uart_u_uart_core_rx_buffer_size[5]),
+	.Y(n_1597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1004887 (
+	.A(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B_N(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.Y(n_1596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004888 (
+	.A(\soc_top_spi_to_xbar[d_valid] ),
+	.B(n_572),
+	.Y(n_1395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1004889 (
+	.A(n_30040),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_unit_done ),
+	.Y(n_1595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g1004890 (
+	.A(n_725),
+	.B(soc_top_u_pwm_pwm_core_period_2[14]),
+	.X(n_1394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004891 (
+	.A(n_29334),
+	.B(n_29320),
+	.Y(n_1594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004892 (
+	.A(\soc_top_gpio_to_xbarp[d_valid] ),
+	.B(n_69314),
+	.Y(n_1593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004893 (
+	.A(soc_top_u_pwm_pwm_core_period_counter1[11]),
+	.B(soc_top_u_pwm_pwm_core_period_counter1[10]),
+	.Y(n_1592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004894 (
+	.A(soc_top_u_pwm_pwm_core_divisor[8]),
+	.B(n_851),
+	.Y(n_1591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1004895 (
+	.A(soc_top_u_pwm_pwm_core_DC_2[11]),
+	.B(n_151),
+	.X(n_1590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1004896 (
+	.A(n_15828),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [2]),
+	.Y(n_1393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004897 (
+	.A(n_29330),
+	.B(n_29333),
+	.Y(n_1589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004898 (
+	.A(soc_top_u_pwm_pwm_core_divisor_2[11]),
+	.B(n_149),
+	.Y(n_1588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004899 (
+	.A(n_29329),
+	.B(n_29333),
+	.Y(n_1587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004900 (
+	.A(soc_top_u_uart_u_uart_core_rx_buffer_size[0]),
+	.B(n_187),
+	.Y(n_1586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1004901 (
+	.A_N(soc_top_u_spi_host_spi_host_clgen_cnt[1]),
+	.B(n_157),
+	.Y(n_1584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004902 (
+	.A(soc_top_u_pwm_pwm_core_divisor_2[2]),
+	.B(n_300),
+	.Y(n_1583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004903 (
+	.A(soc_top_u_pwm_pwm_core_period_counter2[1]),
+	.B(soc_top_u_pwm_pwm_core_period_counter2[0]),
+	.Y(n_1582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004904 (
+	.A(soc_top_u_pwm_pwm_core_counter_p1[1]),
+	.B(soc_top_u_pwm_pwm_core_counter_p1[0]),
+	.Y(n_1581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004905 (
+	.A(soc_top_u_pwm_pwm_core_divisor_2[1]),
+	.B(n_818),
+	.Y(n_1580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1004906 (
+	.A(n_29331),
+	.B(n_29325),
+	.X(n_1579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004907 (
+	.A(soc_top_u_pwm_pwm_core_DC_1[11]),
+	.B(n_687),
+	.Y(n_1577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004908 (
+	.A(soc_top_u_pwm_pwm_core_divisor[10]),
+	.B(n_811),
+	.Y(n_1576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004909 (
+	.A(soc_top_u_pwm_pwm_core_divisor[6]),
+	.B(n_283),
+	.Y(n_1575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g1004910 (
+	.A(\soc_top_timer_to_xbar[d_valid] ),
+	.B(n_69314),
+	.X(n_1574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004911 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [0]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [1]),
+	.Y(n_1573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004912 (
+	.A(soc_top_u_pwm_pwm_core_divisor[1]),
+	.B(n_228),
+	.Y(n_1572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004913 (
+	.A(soc_top_u_pwm_pwm_core_divisor[4]),
+	.B(n_831),
+	.Y(n_1571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004914 (
+	.A(\soc_top_intr_controller_irq_id_o[0] [3]),
+	.B(\soc_top_intr_controller_irq_id_o[0] [4]),
+	.Y(n_1570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004915 (
+	.A(n_600),
+	.B(n_153),
+	.Y(n_1566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004916 (
+	.A(soc_top_u_uart_u_uart_core_write_fifo_waddr[3]),
+	.B(soc_top_u_uart_u_uart_core_write_fifo_waddr[2]),
+	.Y(n_1565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004917 (
+	.A(soc_top_u_pwm_pwm_core_period_counter1[7]),
+	.B(soc_top_u_pwm_pwm_core_period_counter1[6]),
+	.Y(n_1564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1004918 (
+	.A(soc_top_u_uart_u_uart_core_rx_buffer_size[2]),
+	.B_N(soc_top_u_uart_u_uart_core_rx_done),
+	.Y(n_1563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004919 (
+	.A(soc_top_u_pwm_pwm_core_counter_p2[1]),
+	.B(soc_top_u_pwm_pwm_core_counter_p2[0]),
+	.Y(n_1562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1004920 (
+	.A_N(soc_top_u_pwm_pwm_core_divisor[14]),
+	.B(soc_top_u_pwm_pwm_core_counter_p1[14]),
+	.Y(n_1561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g1004921 (
+	.A(n_36),
+	.B(n_29768),
+	.X(n_1560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004922 (
+	.A(n_29351),
+	.B(n_47832),
+	.Y(n_1559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004923 (
+	.A(soc_top_u_pwm_pwm_core_divisor_2[8]),
+	.B(n_822),
+	.Y(n_1558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004924 (
+	.A(n_123),
+	.B(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.Y(n_1557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1004925 (
+	.A_N(soc_top_u_top_u_core_rf_waddr_wb[4]),
+	.B(soc_top_u_top_u_core_rf_waddr_wb[2]),
+	.Y(n_1555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004926 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [3]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [2]),
+	.Y(n_1554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004927 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [1]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [0]),
+	.Y(n_1553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004929 (
+	.A(soc_top_u_pwm_pwm_core_period_counter2[13]),
+	.B(soc_top_u_pwm_pwm_core_period_counter2[12]),
+	.Y(n_1550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004931 (
+	.A(n_29745),
+	.B(n_29452),
+	.Y(n_1549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004932 (
+	.A(n_72188),
+	.B(n_29737),
+	.Y(n_1548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004933 (
+	.A(soc_top_u_top_u_core_rf_waddr_wb[4]),
+	.B(soc_top_u_top_u_core_rf_waddr_wb[2]),
+	.Y(n_1546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004934 (
+	.A(soc_top_u_uart_u_uart_core_rx_buffer_size[2]),
+	.B(soc_top_u_uart_u_uart_core_rx_done),
+	.Y(n_1545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004935 (
+	.A(n_261),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [0]),
+	.Y(n_1544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004936 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [2]),
+	.B(n_281),
+	.Y(n_1542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004937 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sign_z_D ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.Y(n_1540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004938 (
+	.A(n_281),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [2]),
+	.Y(n_1539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004940 (
+	.A(soc_top_u_top_u_core_pc_mux_id[0]),
+	.B(n_29450),
+	.Y(n_1536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004941 (
+	.A(soc_top_u_top_u_core_id_in_ready),
+	.B(soc_top_u_top_u_core_out_valid_fpu2c),
+	.Y(n_1535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004942 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [0]),
+	.Y(n_1534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004943 (
+	.A(soc_top_u_pwm_pwm_core_period_counter2[7]),
+	.B(soc_top_u_pwm_pwm_core_period_counter2[6]),
+	.Y(n_1533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004944 (
+	.A(n_738),
+	.B(n_281),
+	.Y(n_1532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g1004945 (
+	.A(n_667),
+	.B(n_29771),
+	.X(n_1530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004946 (
+	.A(n_29590),
+	.B(n_35999),
+	.Y(n_1529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g1004948 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_NaN_b_S ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_NaN_a_S ),
+	.X(n_1527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004949 (
+	.A(n_29679),
+	.B(soc_top_u_top_u_core_multdiv_signed_mode_ex[0]),
+	.Y(n_1526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1004950 (
+	.A(n_29300),
+	.B(n_29301),
+	.Y(n_1524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1004951 (
+	.A_N(n_29351),
+	.B(n_47832),
+	.Y(n_1523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004952 (
+	.A(\soc_top_intr_controller_irq_id_o[0] [1]),
+	.B(\soc_top_intr_controller_irq_id_o[0] [2]),
+	.Y(n_1521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1004953 (
+	.A(soc_top_u_top_u_core_rf_waddr_wb[3]),
+	.B(soc_top_u_top_u_core_rf_waddr_wb[1]),
+	.Y(n_1519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004954 (
+	.A(soc_top_u_uart_u_uart_core_rx_buffer_size[1]),
+	.B(soc_top_u_uart_u_uart_core_rx_buffer_size[0]),
+	.Y(n_1516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1004955 (
+	.A_N(soc_top_u_top_u_core_rf_waddr_wb[3]),
+	.B(soc_top_u_top_u_core_rf_waddr_wb[1]),
+	.Y(n_1515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g1004956 (
+	.A_N(n_38079),
+	.B(n_35476),
+	.X(n_1513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1004957 (
+	.A(n_147),
+	.B(n_69927),
+	.X(n_1511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004958 (
+	.A(soc_top_u_top_u_core_fp_alu_operator[2]),
+	.B(soc_top_u_top_u_core_is_fp_instr),
+	.Y(n_1509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004959 (
+	.A(soc_top_u_top_u_core_csr_save_cause),
+	.B(soc_top_u_top_u_core_debug_csr_save),
+	.Y(n_1507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1004960 (
+	.A(n_147),
+	.B(n_589),
+	.X(n_1505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g1004961 (
+	.A_N(n_29446),
+	.B(n_69927),
+	.X(n_1503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004962 (
+	.A(n_73406),
+	.B(\soc_top_xbar_to_lsu[d_valid] ),
+	.Y(n_1501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1004963 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Div_start_dly_S_8434 ),
+	.Y(n_1499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g1004964 (
+	.A_N(n_29485),
+	.B(n_29614),
+	.X(n_1498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004965 (
+	.A(n_749),
+	.B(n_571),
+	.Y(n_1497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004966 (
+	.A(n_29505),
+	.B(soc_top_u_top_u_core_csr_op[1]),
+	.Y(n_1496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1004967 (
+	.A(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
+	.B(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_we_wb_q ),
+	.Y(n_1494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1004971 (
+	.A(n_1375),
+	.Y(n_1376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1004974 (
+	.A(n_1321),
+	.Y(n_1322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1004975 (
+	.A(n_1315),
+	.Y(n_1316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1004976 (
+	.A(n_1313),
+	.Y(n_1314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1004977 (
+	.A(n_1289),
+	.Y(n_1288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1004978 (
+	.A(n_1287),
+	.Y(n_1286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1004979 (
+	.A(n_1281),
+	.Y(n_1282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1004980 (
+	.A(n_1280),
+	.Y(n_1279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1004981 (
+	.A(n_1276),
+	.Y(n_1277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1004982 (
+	.A(n_1273),
+	.Y(n_1274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1004983 (
+	.A(n_1271),
+	.Y(n_1270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1004984 (
+	.A(n_1267),
+	.Y(n_1266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1004985 (
+	.A(n_1265),
+	.Y(n_1264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1004986 (
+	.A(n_1263),
+	.Y(n_1262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1004987 (
+	.A(n_1261),
+	.Y(n_1260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1004988 (
+	.A(n_1259),
+	.Y(n_1258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1004989 (
+	.A(n_1256),
+	.Y(n_1255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1004990 (
+	.A(n_1254),
+	.Y(n_1253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1004991 (
+	.A(n_1252),
+	.Y(n_1251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1004992 (
+	.A(n_1250),
+	.Y(n_1249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1004993 (
+	.A(n_1248),
+	.Y(n_1247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g1004994 (
+	.A(n_1246),
+	.Y(n_1245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 g1004995 (
+	.A(n_1244),
+	.Y(n_1243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g1004996 (
+	.A(n_1242),
+	.Y(n_1241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1004997 (
+	.A(n_1240),
+	.Y(n_1239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g1004998 (
+	.A(n_1238),
+	.Y(n_1237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1004999 (
+	.A(n_1235),
+	.Y(n_1234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1005003 (
+	.A(soc_top_GPIO_data_in_q[2]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [2]),
+	.Y(n_1226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005004 (
+	.A(\soc_top_xbar_to_timer[a_data] [27]),
+	.B(n_16),
+	.Y(n_1225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005005 (
+	.A(\soc_top_xbar_to_timer[a_data] [30]),
+	.B(n_16),
+	.Y(n_1224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005006 (
+	.A(n_72578),
+	.B(n_16),
+	.Y(n_1223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005007 (
+	.A(n_68259),
+	.B(n_16),
+	.Y(n_1222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1005008 (
+	.A(soc_top_GPIO_data_in_q[28]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [28]),
+	.Y(n_1221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1005010 (
+	.A_N(n_29450),
+	.B(n_31639),
+	.Y(n_1219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005011 (
+	.A(\soc_top_xbar_to_timer[a_data] [28]),
+	.B(n_16),
+	.Y(n_1218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005012 (
+	.A(\soc_top_xbar_to_timer[a_data] [29]),
+	.B(n_16),
+	.Y(n_1217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005013 (
+	.A(n_74743),
+	.B(n_16),
+	.Y(n_1216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005014 (
+	.A(n_68268),
+	.B(n_16),
+	.Y(n_1215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1005015 (
+	.A(soc_top_GPIO_data_in_q[15]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [15]),
+	.Y(n_1214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1005017 (
+	.A(soc_top_GPIO_data_in_q[12]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [12]),
+	.Y(n_1212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1005018 (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo_rdata_o[0]_5752 ),
+	.B_N(soc_top_u_uart_u_uart_core_tx_done),
+	.Y(n_1211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005019 (
+	.A(\soc_top_xbar_to_timer[a_data] [21]),
+	.B(n_16),
+	.Y(n_1210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005020 (
+	.A(n_72527),
+	.B(n_16),
+	.Y(n_1209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005021 (
+	.A(n_68334),
+	.B(n_16),
+	.Y(n_1208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1005022 (
+	.A(soc_top_GPIO_data_in_q[24]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [24]),
+	.Y(n_1207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005023 (
+	.A(\soc_top_xbar_to_timer[a_data] [20]),
+	.B(n_16),
+	.Y(n_1206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1005026 (
+	.A(n_29726),
+	.B_N(soc_top_u_top_u_core_id_stage_i_lsu_req_dec),
+	.Y(n_1203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005027 (
+	.A(n_84182),
+	.B(n_16),
+	.Y(n_1202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1005028 (
+	.A(soc_top_GPIO_data_in_q[23]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [23]),
+	.Y(n_1201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1005029 (
+	.A_N(n_29463),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo_buffer[4] [0]),
+	.Y(n_1200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005031 (
+	.A(n_68170),
+	.B(io_out[31]),
+	.Y(n_1198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005032 (
+	.A(n_29759),
+	.B(n_29577),
+	.Y(n_1197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005033 (
+	.A(FE_DBTN164_soc_top_xbar_to_timer_a_data_27),
+	.B(io_out[35]),
+	.Y(n_1196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005034 (
+	.A(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(n_571),
+	.Y(n_1195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005035 (
+	.A(\soc_top_intr_controller_reg2hw[le][1][q] ),
+	.B(soc_top_intr_controller_u_gateway_src_q[1]),
+	.Y(n_1194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1005036 (
+	.A(soc_top_GPIO_data_in_q[11]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [11]),
+	.Y(n_1193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1005037 (
+	.A(n_311),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo_buffer[44] [0]),
+	.X(n_1192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g1005038 (
+	.A(\soc_top_timer0_reg2hw[intr_state0][0][q] ),
+	.B(\soc_top_timer0_reg2hw[intr_enable0][0][q] ),
+	.X(n_1191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005039 (
+	.A(\soc_top_intr_controller_reg2hw[le][2][q] ),
+	.B(soc_top_intr_controller_u_gateway_src_q[2]),
+	.Y(n_1190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005040 (
+	.A(\soc_top_intr_controller_reg2hw[le][3][q] ),
+	.B(soc_top_intr_controller_u_gateway_src_q[3]),
+	.Y(n_1189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005042 (
+	.A(\soc_top_intr_controller_reg2hw[le][5][q] ),
+	.B(soc_top_intr_controller_u_gateway_src_q[5]),
+	.Y(n_1187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005043 (
+	.A(\soc_top_intr_controller_reg2hw[le][6][q] ),
+	.B(soc_top_intr_controller_u_gateway_src_q[6]),
+	.Y(n_1186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005044 (
+	.A(\soc_top_intr_controller_reg2hw[le][7][q] ),
+	.B(soc_top_intr_controller_u_gateway_src_q[7]),
+	.Y(n_1185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005046 (
+	.A(\soc_top_intr_controller_reg2hw[le][12][q] ),
+	.B(soc_top_intr_controller_u_gateway_src_q[12]),
+	.Y(n_1183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005048 (
+	.A(\soc_top_intr_controller_reg2hw[le][15][q] ),
+	.B(soc_top_intr_controller_u_gateway_src_q[15]),
+	.Y(n_1181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005050 (
+	.A(\soc_top_intr_controller_reg2hw[le][18][q] ),
+	.B(soc_top_intr_controller_u_gateway_src_q[18]),
+	.Y(n_1179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1005052 (
+	.A_N(n_29427),
+	.B(n_31619),
+	.Y(n_1177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005054 (
+	.A(\soc_top_intr_controller_reg2hw[le][20][q] ),
+	.B(soc_top_intr_controller_u_gateway_src_q[20]),
+	.Y(n_1175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1005055 (
+	.A(soc_top_GPIO_data_in_q[7]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [7]),
+	.Y(n_1174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005057 (
+	.A(\soc_top_intr_controller_reg2hw[le][23][q] ),
+	.B(soc_top_intr_controller_u_gateway_src_q[23]),
+	.Y(n_1172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1005058 (
+	.A(soc_top_GPIO_data_in_q[20]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [20]),
+	.Y(n_1171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005059 (
+	.A(\soc_top_intr_controller_reg2hw[le][30][q] ),
+	.B(soc_top_intr_controller_u_gateway_src_q[30]),
+	.Y(n_1170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005060 (
+	.A(n_31234),
+	.B(n_29597),
+	.Y(n_1169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005061 (
+	.A(n_586),
+	.B(io_out[37]),
+	.Y(n_1168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005063 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[2] [1]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[2] [0]),
+	.Y(n_1166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1005066 (
+	.A(soc_top_GPIO_data_in_q[13]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [13]),
+	.Y(n_1163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1005067 (
+	.A(soc_top_GPIO_data_in_q[6]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [6]),
+	.Y(n_1162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005068 (
+	.A(n_68266),
+	.B(gpio_o[31]),
+	.Y(n_1161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g1005069 (
+	.A(n_29415),
+	.B(n_74447),
+	.X(n_1160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005070 (
+	.A(n_311),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo_buffer[40] [0]),
+	.Y(n_1159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005071 (
+	.A(n_29699),
+	.B(n_29770),
+	.Y(n_1158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1005073 (
+	.A(soc_top_GPIO_data_in_q[19]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [19]),
+	.Y(n_1156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005075 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [9]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [11]),
+	.Y(n_1154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1005076 (
+	.A_N(n_29463),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo_buffer[32] [0]),
+	.Y(n_1153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005077 (
+	.A(\soc_top_intr_controller_reg2hw[le][16][q] ),
+	.B(soc_top_intr_controller_u_gateway_src_q[16]),
+	.Y(n_1152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1005079 (
+	.A(soc_top_GPIO_data_in_q[14]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [14]),
+	.Y(n_1150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005080 (
+	.A(n_142),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_unit_done ),
+	.Y(n_1149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1005081 (
+	.A(soc_top_GPIO_data_in_q[17]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [17]),
+	.Y(n_1148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005082 (
+	.A(soc_top_u_top_u_core_debug_mode),
+	.B(soc_top_u_top_u_core_debug_csr_save),
+	.Y(n_1147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005084 (
+	.A(n_69314),
+	.B(n_35257),
+	.Y(n_1145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1005085 (
+	.A(soc_top_GPIO_data_in_q[8]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [8]),
+	.Y(n_1144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1005086 (
+	.A(FE_DBTN33_n_84501),
+	.B_N(n_56980),
+	.Y(n_1143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1005087 (
+	.A(soc_top_GPIO_data_in_q[25]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [25]),
+	.Y(n_1142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005088 (
+	.A(n_817),
+	.B(\soc_top_timer0_reg2hw[cfg0][prescale][q] [3]),
+	.Y(n_1389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005089 (
+	.A(soc_top_u_pwm_pwm_core_counter_p1[14]),
+	.B(soc_top_u_pwm_pwm_core_counter_p1[13]),
+	.Y(n_1388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005090 (
+	.A(FE_DBTN119_n_87578),
+	.B(n_84419),
+	.Y(n_1387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005091 (
+	.A(n_75549),
+	.B(FE_DBTN125_n_84427),
+	.Y(n_1386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005092 (
+	.A(n_84427),
+	.B(n_1),
+	.Y(n_1385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1005093 (
+	.A_N(n_29447),
+	.B(n_72952),
+	.Y(n_1384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1005094 (
+	.A(soc_top_u_pwm_pwm_core_DC_1[10]),
+	.B(n_686),
+	.X(n_1383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005095 (
+	.A(soc_top_u_top_u_core_alu_operator_ex[2]),
+	.B(soc_top_u_top_u_core_alu_operator_ex[0]),
+	.Y(n_1382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005096 (
+	.A(soc_top_u_uart_u_uart_core_rx_buffer_size[5]),
+	.B(soc_top_u_uart_u_uart_core_rx_buffer_size[8]),
+	.Y(n_1381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005097 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [18]),
+	.B(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [18]),
+	.Y(n_1380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005098 (
+	.A(n_29699),
+	.B(n_29769),
+	.Y(n_1379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1005099 (
+	.A(soc_top_u_uart_u_uart_core_write_fifo_waddr[2]),
+	.B_N(soc_top_u_uart_u_uart_core_write_fifo_waddr[3]),
+	.Y(n_1378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g1005100 (
+	.A(n_725),
+	.B(soc_top_u_pwm_pwm_core_DC_2[14]),
+	.X(n_1141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1005101 (
+	.A(n_31545),
+	.B_N(n_29511),
+	.Y(n_1377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005102 (
+	.A(soc_top_u_pwm_pwm_core_period[5]),
+	.B(n_728),
+	.Y(n_1375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005103 (
+	.A(soc_top_u_pwm_pwm_core_divisor_2[4]),
+	.B(n_194),
+	.Y(n_1374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1005104 (
+	.A(soc_top_u_pwm_pwm_core_period_counter1[0]),
+	.B_N(soc_top_u_pwm_pwm_core_period[0]),
+	.Y(n_1140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005105 (
+	.A(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B(n_35447),
+	.Y(n_1373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005106 (
+	.A(soc_top_u_top_u_core_cs_registers_i_n_6282),
+	.B(n_29332),
+	.Y(n_1372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005107 (
+	.A(\soc_top_intr_controller_irq_id_o[0] [4]),
+	.B(\soc_top_intr_controller_irq_id_o[0] [3]),
+	.Y(n_1371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1005108 (
+	.A(soc_top_u_uart_u_uart_core_tx_fifo_init),
+	.B_N(n_29433),
+	.Y(n_1370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005110 (
+	.A(n_721),
+	.B(soc_top_u_pwm_pwm_core_period[8]),
+	.Y(n_1139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005111 (
+	.A(n_69931),
+	.B(n_589),
+	.Y(n_1368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1005112 (
+	.A_N(\soc_top_timer0_reg2hw[cfg0][prescale][q] [1]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_tick_count [1]),
+	.Y(n_1367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1005113 (
+	.A_N(soc_top_dccm_adapter_data_mem_u_sramreqfifo_n_69),
+	.B(n_711),
+	.Y(n_1366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1005114 (
+	.A_N(\soc_top_timer0_gen_harts[0].u_core_tick_count [5]),
+	.B(\soc_top_timer0_reg2hw[cfg0][prescale][q] [5]),
+	.Y(n_1365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005115 (
+	.A(n_75549),
+	.B(n_84427),
+	.Y(n_1364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005116 (
+	.A(n_826),
+	.B(\soc_top_timer0_reg2hw[cfg0][prescale][q] [7]),
+	.Y(n_1363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005117 (
+	.A(FE_DBTN125_n_84427),
+	.B(n_1),
+	.Y(n_1362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005118 (
+	.A(n_571),
+	.B(soc_top_u_top_u_core_fp_flush),
+	.Y(n_1361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1005119 (
+	.A_N(\soc_top_timer0_reg2hw[cfg0][prescale][q] [5]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_tick_count [5]),
+	.Y(n_1360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005120 (
+	.A(soc_top_u_top_u_core_id_in_ready),
+	.B(n_597),
+	.Y(n_1359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005121 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [26]),
+	.B(n_34),
+	.Y(n_1358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005122 (
+	.A(n_69314),
+	.B(n_68752),
+	.Y(n_1357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005123 (
+	.A(soc_top_u_pwm_pwm_core_period_counter1[12]),
+	.B(soc_top_u_pwm_pwm_core_period_counter1[13]),
+	.Y(n_1356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005124 (
+	.A(soc_top_u_pwm_pwm_core_counter_p2[14]),
+	.B(soc_top_u_pwm_pwm_core_counter_p2[13]),
+	.Y(n_1355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005125 (
+	.A(n_849),
+	.B(soc_top_u_uart_u_uart_core_rx_clr),
+	.Y(n_1138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005126 (
+	.A(n_710),
+	.B(soc_top_u_pwm_pwm_core_DC_1[4]),
+	.Y(n_1137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005127 (
+	.A(soc_top_u_pwm_pwm_core_counter_p2[12]),
+	.B(soc_top_u_pwm_pwm_core_counter_p2[11]),
+	.Y(n_1354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005128 (
+	.A(n_37),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
+	.Y(n_1353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005129 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [0]),
+	.B(n_76),
+	.Y(n_1352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005130 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_busy[0]),
+	.B(n_599),
+	.Y(n_1351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1005131 (
+	.A_N(soc_top_dccm_adapter_data_mem_u_sramreqfifo_n_69),
+	.B(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.Y(n_1350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005132 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_i_arbiter_gen_arbiter.rr_q [0]),
+	.B(n_29314),
+	.Y(n_1349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1005133 (
+	.A(soc_top_u_top_u_core_alu_operator_ex[5]),
+	.B_N(soc_top_u_top_u_core_alu_operator_ex[4]),
+	.Y(n_1348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g1005134 (
+	.A(n_717),
+	.B(soc_top_u_pwm_pwm_core_period[14]),
+	.X(n_1136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005135 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_state_reg[0]),
+	.B(n_19),
+	.Y(n_1346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1005136 (
+	.A(soc_top_u_top_u_core_cs_registers_i_n_6223),
+	.B_N(n_29331),
+	.Y(n_1345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005138 (
+	.A(soc_top_u_pwm_pwm_core_divisor[2]),
+	.B(n_875),
+	.Y(n_1342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005139 (
+	.A(soc_top_u_pwm_pwm_core_divisor_2[6]),
+	.B(n_233),
+	.Y(n_1341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1005140 (
+	.A_N(n_29456),
+	.B(n_72952),
+	.Y(n_1340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1005141 (
+	.A(soc_top_u_top_u_core_id_stage_i_mv_instr),
+	.B_N(soc_top_u_top_u_core_id_stage_i_imm_a_mux_sel),
+	.Y(n_1339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005142 (
+	.A(soc_top_u_top_u_core_fp_alu_operator[0]),
+	.B(n_29768),
+	.Y(n_1338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005143 (
+	.A(soc_top_u_pwm_pwm_core_divisor_2[10]),
+	.B(n_282),
+	.Y(n_1337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005144 (
+	.A(n_29328),
+	.B(n_29323),
+	.Y(n_1336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005145 (
+	.A(soc_top_u_pwm_pwm_core_divisor[11]),
+	.B(n_141),
+	.Y(n_1335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1005146 (
+	.A_N(soc_top_u_pwm_pwm_core_divisor_2[14]),
+	.B(soc_top_u_pwm_pwm_core_counter_p2[14]),
+	.Y(n_1334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005147 (
+	.A(soc_top_u_uart_u_uart_core_rx_buffer_size[0]),
+	.B(soc_top_u_uart_u_uart_core_rx_buffer_size[1]),
+	.Y(n_1333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005148 (
+	.A(n_29770),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_i_arbiter_gen_arbiter.rr_q [0]),
+	.Y(n_1332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005149 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_valid_req_q),
+	.B(n_29396),
+	.Y(n_1331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005150 (
+	.A(n_85555),
+	.B(n_29702),
+	.Y(n_1330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005151 (
+	.A(n_20),
+	.B(soc_top_u_top_data_we),
+	.Y(n_1329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005152 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[0]),
+	.B(soc_top_main_swith_host_lsu_num_req_outstanding[1]),
+	.Y(n_1328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005153 (
+	.A(soc_top_u_top_u_core_fp_alu_operator[1]),
+	.B(soc_top_u_top_u_core_fp_alu_operator[0]),
+	.Y(n_1327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005154 (
+	.A(n_669),
+	.B(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Y(n_1326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005155 (
+	.A(soc_top_u_top_u_core_alu_operator_ex[0]),
+	.B(soc_top_u_top_u_core_alu_operator_ex[2]),
+	.Y(n_1325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005156 (
+	.A(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
+	.Y(n_1324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005157 (
+	.A(n_74735),
+	.B(n_54294),
+	.Y(n_1323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005158 (
+	.A(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.B(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Y(n_1321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005160 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [0]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [26]),
+	.Y(n_1319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005161 (
+	.A(n_37),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
+	.Y(n_1318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005162 (
+	.A(n_29333),
+	.B(n_689),
+	.Y(n_1317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1005163 (
+	.A_N(soc_top_u_top_u_core_rf_waddr_wb[2]),
+	.B(soc_top_u_top_u_core_rf_waddr_wb[4]),
+	.Y(n_1315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005164 (
+	.A(soc_top_u_uart_u_uart_core_rx_buffer_size[4]),
+	.B(n_21),
+	.Y(n_1313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005165 (
+	.A(soc_top_u_top_u_core_rf_waddr_wb[2]),
+	.B(soc_top_u_top_u_core_rf_waddr_wb[4]),
+	.Y(n_1312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005166 (
+	.A(soc_top_u_uart_u_uart_core_rx_fifo_clr),
+	.B(soc_top_u_uart_u_uart_core_rx_buffer_size[4]),
+	.Y(n_1311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005168 (
+	.A(n_75549),
+	.B(n_72527),
+	.Y(n_1309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005169 (
+	.A(n_70008),
+	.B(\soc_top_xbar_to_timer[a_data] [20]),
+	.Y(n_1308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005170 (
+	.A(n_87578),
+	.B(n_72578),
+	.Y(n_1307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005171 (
+	.A(n_84427),
+	.B(n_84182),
+	.Y(n_1306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005172 (
+	.A(n_179),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [1]),
+	.Y(n_1305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005173 (
+	.A(\soc_top_xbar_to_timer[a_data] [21]),
+	.B(n_84455),
+	.Y(n_1304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005174 (
+	.A(\soc_top_xbar_to_timer[a_address] [6]),
+	.B(FE_DBTN115_n_72188),
+	.Y(n_1303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005175 (
+	.A(n_30),
+	.B(soc_top_u_top_u_core_multdiv_operator_ex[1]),
+	.Y(n_1302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005177 (
+	.A(n_72176),
+	.B(\soc_top_xbar_to_timer[a_data] [29]),
+	.Y(n_1300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005178 (
+	.A(n_68268),
+	.B(n_70012),
+	.Y(n_1299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005179 (
+	.A(\soc_top_xbar_to_timer[a_data] [30]),
+	.B(\soc_top_xbar_to_timer[a_data] [14]),
+	.Y(n_1298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005180 (
+	.A(\soc_top_xbar_to_timer[a_data] [28]),
+	.B(n_74612),
+	.Y(n_1297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005182 (
+	.A(\soc_top_xbar_to_timer[a_data] [27]),
+	.B(n_69280),
+	.Y(n_1295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005183 (
+	.A(n_68259),
+	.B(n_72528),
+	.Y(n_1294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005185 (
+	.A(n_74743),
+	.B(n_70004),
+	.Y(n_1292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005186 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
+	.B(FE_DBTN143_soc_top_u_top_u_core_multdiv_operator_ex_1),
+	.Y(n_1291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005187 (
+	.A(n_69314),
+	.B(n_68754),
+	.Y(n_1290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005188 (
+	.A(n_29678),
+	.B(soc_top_u_top_u_core_multdiv_signed_mode_ex[1]),
+	.Y(n_1289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1005189 (
+	.A_N(n_29326),
+	.B(n_29320),
+	.Y(n_1287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005190 (
+	.A(soc_top_u_pwm_pwm_core_period_counter1[13]),
+	.B(soc_top_u_pwm_pwm_core_period_counter1[12]),
+	.Y(n_1285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005191 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [0]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [1]),
+	.Y(n_1284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g1005192 (
+	.A(n_29447),
+	.B(n_72952),
+	.X(n_1283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005193 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Inf_b_S ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Div_enable_S ),
+	.Y(n_1281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005194 (
+	.A(n_24),
+	.B(soc_top_system_rst_ni),
+	.Y(n_1280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1005195 (
+	.A(soc_top_u_top_u_core_fp_alu_operator[3]),
+	.B(soc_top_u_top_u_core_instr_valid_id),
+	.X(n_1278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005196 (
+	.A(n_598),
+	.B(n_69931),
+	.Y(n_1276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1005197 (
+	.A(n_187),
+	.B(soc_top_u_uart_u_uart_core_rx_buffer_size[0]),
+	.X(n_1275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005198 (
+	.A(n_69927),
+	.B(n_72952),
+	.Y(n_1273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005199 (
+	.A(n_72952),
+	.B(n_598),
+	.Y(n_1272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005200 (
+	.A(soc_top_u_top_u_core_fp_frm_fpnew[1]),
+	.B(soc_top_u_top_u_core_fp_frm_fpnew[2]),
+	.Y(n_1271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005201 (
+	.A(\soc_top_intr_controller_irq_id_o[0] [2]),
+	.B(\soc_top_intr_controller_irq_id_o[0] [1]),
+	.Y(n_1269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1005202 (
+	.A(n_69927),
+	.B(n_23),
+	.Y(n_1268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1005203 (
+	.A_N(\soc_top_intr_controller_irq_id_o[0] [1]),
+	.B(\soc_top_intr_controller_irq_id_o[0] [2]),
+	.Y(n_1267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005204 (
+	.A(soc_top_u_top_u_core_rf_waddr_wb[1]),
+	.B(soc_top_u_top_u_core_rf_waddr_wb[3]),
+	.Y(n_1265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1005205 (
+	.A_N(soc_top_u_top_u_core_rf_waddr_wb[1]),
+	.B(soc_top_u_top_u_core_rf_waddr_wb[3]),
+	.Y(n_1263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1005206 (
+	.A_N(\soc_top_intr_controller_irq_id_o[0] [2]),
+	.B(\soc_top_intr_controller_irq_id_o[0] [1]),
+	.Y(n_1261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005207 (
+	.A(soc_top_u_pwm_pwm_core_ctrl_2[1]),
+	.B(soc_top_u_pwm_pwm_core_ctrl_2[0]),
+	.Y(n_1259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1005208 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_valid_q[0]),
+	.B(soc_top_u_top_u_core_pc_if[1]),
+	.X(n_1257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005209 (
+	.A(n_599),
+	.B(soc_top_u_top_u_core_pc_if[1]),
+	.Y(n_1256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005210 (
+	.A(soc_top_u_pwm_pwm_core_ctrl[1]),
+	.B(soc_top_u_pwm_pwm_core_ctrl[0]),
+	.Y(n_1254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1005211 (
+	.A(n_79),
+	.B(soc_top_u_top_u_core_pc_if[1]),
+	.X(n_1252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1005212 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_busy[0]),
+	.B(soc_top_u_top_u_core_pc_if[1]),
+	.X(n_1250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g1005213 (
+	.A_N(n_29446),
+	.B(n_589),
+	.X(n_1248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g1005214 (
+	.A(n_34),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [1]),
+	.Y(n_1246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1005215 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [1]),
+	.B(n_34),
+	.Y(n_1244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1005216 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [0]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [1]),
+	.Y(n_1242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g1005217 (
+	.A(n_599),
+	.B(n_28),
+	.X(n_1240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1005218 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [1]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [0]),
+	.Y(n_1238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g1005219 (
+	.A_N(n_29476),
+	.B(n_29614),
+	.X(n_1236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g1005220 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_valid_q[0]),
+	.B(n_28),
+	.X(n_1235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1005221 (
+	.A(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(n_571),
+	.Y(n_1233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g1005222 (
+	.A_N(n_29332),
+	.B(n_112),
+	.X(n_1232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g1005223 (
+	.A(n_19),
+	.B(soc_top_u_uart_u_uart_core_rx_time_state_reg[0]),
+	.X(n_1231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g1005224 (
+	.A(n_28888),
+	.B(soc_top_system_rst_ni),
+	.X(n_1229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1005253 (
+	.A(n_37491),
+	.Y(n_1107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1005254 (
+	.A(n_36145),
+	.Y(n_1106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1005259 (
+	.A(n_84338),
+	.Y(n_1101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1005263 (
+	.A(n_72564),
+	.Y(n_1097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1005265 (
+	.A(n_30126),
+	.Y(n_1095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1005266 (
+	.A(n_29404),
+	.Y(n_1094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1005268 (
+	.A(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_0 ),
+	.Y(n_1092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1005271 (
+	.A(n_29548),
+	.Y(n_1089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1005276 (
+	.A(n_29917),
+	.Y(n_1084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1005333 (
+	.A(soc_top_iccm_adapter_inst_mem_u_rspfifo_n_108),
+	.Y(n_1027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1005348 (
+	.A(\soc_top_timer0_reg2hw[cfg0][prescale][q] [4]),
+	.Y(n_1012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1005419 (
+	.A(n_29284),
+	.Y(n_941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1005466 (
+	.A(n_37531),
+	.Y(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_19 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1005510 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [4]),
+	.Y(n_850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1005518 (
+	.A(n_34518),
+	.Y(n_842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1005545 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [6]),
+	.Y(n_815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1005559 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_RM_dly_S [1]),
+	.Y(n_801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1005582 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [5]),
+	.Y(n_778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1005634 (
+	.A(\soc_top_lsu_to_xbar[a_address] [13]),
+	.Y(n_726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1005635 (
+	.A(soc_top_u_pwm_pwm_core_period_counter2[14]),
+	.Y(n_725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1005637 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [3]),
+	.Y(n_723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1005638 (
+	.A(\soc_top_lsu_to_xbar[a_address] [12]),
+	.Y(n_722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1005639 (
+	.A(soc_top_u_pwm_pwm_core_period_counter1[8]),
+	.Y(n_721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1005640 (
+	.A(soc_top_u_top_u_core_alu_adder_result_ex[15]),
+	.Y(n_720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1005641 (
+	.A(\soc_top_lsu_to_xbar[a_address] [20]),
+	.Y(n_719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1005643 (
+	.A(soc_top_u_pwm_pwm_core_period_counter1[14]),
+	.Y(n_717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1005649 (
+	.A(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.Y(n_711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1005655 (
+	.A(n_70129),
+	.Y(n_705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1005670 (
+	.A(soc_top_u_pwm_pwm_core_period_counter2[2]),
+	.Y(n_690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1005671 (
+	.A(n_29329),
+	.Y(n_689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1005672 (
+	.A(n_29699),
+	.Y(n_688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1005674 (
+	.A(soc_top_u_pwm_pwm_core_period_counter1[10]),
+	.Y(n_686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1005675 (
+	.A(soc_top_u_pwm_pwm_core_period_counter1[9]),
+	.Y(n_685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1005676 (
+	.A(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
+	.Y(n_684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1005683 (
+	.A(soc_top_u_pwm_pwm_core_period_counter2[10]),
+	.Y(n_677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1005684 (
+	.A(soc_top_u_pwm_pwm_core_period_counter1[6]),
+	.Y(n_676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1005692 (
+	.A(soc_top_u_top_u_core_fp_alu_operator[2]),
+	.Y(n_668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1005702 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [22]),
+	.Y(n_658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1005708 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [9]),
+	.Y(n_652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1005711 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [8]),
+	.Y(n_649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1005712 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [12]),
+	.Y(n_648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 g1005720 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [5]),
+	.Y(n_640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1005726 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [15]),
+	.Y(n_634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1005734 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [10]),
+	.Y(n_626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1005737 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [18]),
+	.Y(n_623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1005746 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [25]),
+	.Y(n_614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1005747 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [20]),
+	.Y(n_613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1005755 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [26]),
+	.Y(n_605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1005758 (
+	.A(n_54294),
+	.Y(n_602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1005760 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [1]),
+	.Y(n_600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1005762 (
+	.A(\soc_top_xbar_to_timer[a_address] [3]),
+	.Y(n_598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1005763 (
+	.A(soc_top_u_top_u_core_is_fp_instr),
+	.Y(n_597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1005768 (
+	.A(n_31545),
+	.Y(n_592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1005769 (
+	.A(soc_top_u_pwm_pwm_core_clock_p2),
+	.Y(n_591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1005771 (
+	.A(n_69927),
+	.Y(n_589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1005774 (
+	.A(\soc_top_xbar_to_timer[a_data] [29]),
+	.Y(n_586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1005779 (
+	.A(n_72578),
+	.Y(n_581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1005784 (
+	.A(n_70064),
+	.Y(n_576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_12 g1005789 (
+	.A(soc_top_system_rst_ni),
+	.Y(n_571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1005811 (
+	.A(n_30072),
+	.Y(n_549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1005820 (
+	.A(n_80131),
+	.Y(n_540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1005837 (
+	.A(n_29410),
+	.Y(n_523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1005839 (
+	.A(n_76807),
+	.Y(n_521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1005841 (
+	.A(n_39152),
+	.Y(n_518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1005843 (
+	.A(n_29418),
+	.Y(n_517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1005846 (
+	.A(n_30134),
+	.Y(n_514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1005847 (
+	.A(n_37500),
+	.Y(n_513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1005848 (
+	.A(n_42634),
+	.Y(n_512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1005849 (
+	.A(n_29409),
+	.Y(n_511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1005850 (
+	.A(n_29405),
+	.Y(n_510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1005851 (
+	.A(n_29407),
+	.Y(n_509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1005852 (
+	.A(n_29406),
+	.Y(n_508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1005855 (
+	.A(n_37546),
+	.Y(n_505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1005856 (
+	.A(n_29412),
+	.Y(n_504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1005857 (
+	.A(n_76155),
+	.Y(n_503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1005858 (
+	.A(n_29408),
+	.Y(n_502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1005869 (
+	.A(n_71681),
+	.Y(n_491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1005906 (
+	.A(soc_top_u_spi_host_spi_host_clgen_cnt[3]),
+	.Y(n_454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1005921 (
+	.A(soc_top_dccm_adapter_data_csbD),
+	.Y(n_439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1005987 (
+	.A(n_29792),
+	.Y(n_373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1005988 (
+	.A(n_39599),
+	.Y(n_372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1006001 (
+	.A(\soc_top_timer0_reg2hw[cfg0][prescale][q] [8]),
+	.Y(n_359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1006028 (
+	.A(n_31152),
+	.Y(n_332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1006176 (
+	.A(soc_top_u_top_u_core_cs_registers_i_n_6269),
+	.Y(n_184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1006199 (
+	.A(\soc_top_timer0_reg2hw[ctrl][0][q] ),
+	.Y(n_161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1006203 (
+	.A(soc_top_u_spi_host_spi_host_clgen_cnt[0]),
+	.Y(n_157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1006207 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [2]),
+	.Y(n_153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1006208 (
+	.A(\soc_top_lsu_to_xbar[a_address] [26]),
+	.Y(n_152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1006210 (
+	.A(n_26377),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_84 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1006213 (
+	.A(n_29577),
+	.Y(n_147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1006215 (
+	.A(soc_top_u_top_u_core_alu_adder_result_ex[14]),
+	.Y(n_145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1006216 (
+	.A(soc_top_u_pwm_pwm_core_period_counter2[8]),
+	.Y(n_144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1006227 (
+	.A(soc_top_u_pwm_pwm_core_period_counter2[12]),
+	.Y(n_133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1006228 (
+	.A(soc_top_u_pwm_pwm_core_period_counter1[1]),
+	.Y(n_132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1006239 (
+	.A(soc_top_u_pwm_pwm_core_period_counter1[12]),
+	.Y(n_121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1006246 (
+	.A(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Y(n_114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1006248 (
+	.A(n_29336),
+	.Y(n_112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1006254 (
+	.A(soc_top_u_pwm_pwm_core_period_counter2[6]),
+	.Y(n_106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1006270 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [14]),
+	.Y(n_90), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1006273 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [19]),
+	.Y(n_87), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1006274 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [23]),
+	.Y(n_86), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1006284 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
+	.Y(n_76), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1006285 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [13]),
+	.Y(n_75), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1006294 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [24]),
+	.Y(n_66), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1006300 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [11]),
+	.Y(n_60), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1006303 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [7]),
+	.Y(n_57), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1006304 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [17]),
+	.Y(n_56), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1006314 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [21]),
+	.Y(n_46), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1006322 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [6]),
+	.Y(n_38), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1006324 (
+	.A(soc_top_u_top_u_core_fp_alu_operator[0]),
+	.Y(n_36), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1006325 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [3]),
+	.Y(n_35), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1006326 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [0]),
+	.Y(n_34), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g1006328 (
+	.A(n_77013),
+	.Y(n_32), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 g1006329 (
+	.A(\soc_top_xbar_to_timer[a_address] [6]),
+	.Y(n_31), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1006330 (
+	.A(soc_top_u_top_u_core_multdiv_operator_ex[0]),
+	.Y(n_30), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1006333 (
+	.A(soc_top_u_pwm_pwm_core_clock_p1),
+	.Y(n_27), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1006336 (
+	.A(soc_top_u_top_data_we),
+	.Y(n_24), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1006337 (
+	.A(n_72952),
+	.Y(n_23), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1006338 (
+	.A(n_29799),
+	.Y(n_22), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1006340 (
+	.A(n_29452),
+	.Y(n_20), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1006341 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.Y(n_19), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1006342 (
+	.A(\soc_top_xbar_to_timer[a_data] [30]),
+	.Y(n_18), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1006344 (
+	.A(n_69931),
+	.Y(n_16), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1006354 (
+	.A(n_69280),
+	.Y(n_6), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1006359 (
+	.A(n_75549),
+	.Y(n_1), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1006360 (
+	.A(n_28888),
+	.Y(n_0), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_reg  (
+	.CLK(CTS_106),
+	.D(n_69382),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1006361 (
+	.A(\soc_top_u_top_u_core_irqs[irq_timer] ),
+	.B(\soc_top_u_top_u_core_irqs[irq_external] ),
+	.Y(n_29681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1006362 (
+	.A(soc_top_intr_req),
+	.B(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[15]),
+	.X(\soc_top_u_top_u_core_irqs[irq_external] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1006363 (
+	.A(soc_top_intr_timer),
+	.B(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[16]),
+	.X(\soc_top_u_top_u_core_irqs[irq_timer] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 hi_fo_buf1006512 (
+	.A(n_13155),
+	.Y(n_13157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 hi_fo_buf1006527 (
+	.A(n_13139),
+	.Y(n_13141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 hi_fo_buf1006542 (
+	.A(n_13159),
+	.Y(n_13160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 hi_fo_buf1006557 (
+	.A(n_13423),
+	.Y(n_13424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 hi_fo_buf1006820 (
+	.A(n_13289),
+	.Y(n_29463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1007137 (
+	.A(n_72622),
+	.B(soc_top_u_top_u_core_alu_adder_result_ex[1]),
+	.Y(n_34508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1007140 (
+	.A_N(n_17930),
+	.B(n_17693),
+	.Y(n_18566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1007141 (
+	.A(soc_top_dccm_adapter_rvalid_o),
+	.B(n_34963),
+	.Y(n_34512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1007142 (
+	.A(n_34518),
+	.B(n_34512),
+	.Y(n_34513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_read_fifo_raddr_reg[5]  (
+	.CLK(CTS_49),
+	.D(n_10044),
+	.Q(soc_top_u_uart_u_uart_core_read_fifo_raddr[5]),
+	.Q_N(n_12975),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_read_fifo_raddr_reg[4]  (
+	.CLK(CTS_49),
+	.D(n_9400),
+	.Q(soc_top_u_uart_u_uart_core_read_fifo_raddr[4]),
+	.Q_N(n_12968),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_cio_gpio_en_q_reg[5]  (
+	.CLK(CTS_143),
+	.D(n_8837),
+	.Q(soc_top_GPIO_cio_gpio_en_q[5]),
+	.Q_N(io_oeb[13]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_cio_gpio_en_q_reg[10]  (
+	.CLK(CTS_142),
+	.D(n_8833),
+	.Q(soc_top_GPIO_cio_gpio_en_q[10]),
+	.Q_N(io_oeb[18]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_cio_gpio_en_q_reg[27]  (
+	.CLK(CTS_138),
+	.D(n_8767),
+	.Q(soc_top_GPIO_cio_gpio_en_q[27]),
+	.Q_N(io_oeb[35]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_cio_gpio_en_q_reg[16]  (
+	.CLK(CTS_142),
+	.D(n_8647),
+	.Q(soc_top_GPIO_cio_gpio_en_q[16]),
+	.Q_N(io_oeb[24]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_cio_gpio_en_q_reg[24]  (
+	.CLK(CTS_123),
+	.D(n_8782),
+	.Q(soc_top_GPIO_cio_gpio_en_q[24]),
+	.Q_N(io_oeb[32]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_cio_gpio_en_q_reg[9]  (
+	.CLK(CTS_142),
+	.D(n_8834),
+	.Q(soc_top_GPIO_cio_gpio_en_q[9]),
+	.Q_N(io_oeb[17]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_cio_gpio_en_q_reg[22]  (
+	.CLK(CTS_138),
+	.D(n_8793),
+	.Q(soc_top_GPIO_cio_gpio_en_q[22]),
+	.Q_N(io_oeb[30]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_cio_gpio_en_q_reg[17]  (
+	.CLK(CTS_142),
+	.D(n_8649),
+	.Q(soc_top_GPIO_cio_gpio_en_q[17]),
+	.Q_N(io_oeb[25]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_cio_gpio_en_q_reg[2]  (
+	.CLK(CTS_143),
+	.D(n_8655),
+	.Q(soc_top_GPIO_cio_gpio_en_q[2]),
+	.Q_N(io_oeb[10]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[48]  (
+	.CLK(CTS_115),
+	.D(n_3699),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [48]),
+	.Q_N(n_12945),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[34]  (
+	.CLK(CTS_114),
+	.D(n_3719),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [34]),
+	.Q_N(n_12923),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_2 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_valid_req_q_reg (
+	.CLK(CTS_62),
+	.D(n_4647),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_valid_req_q),
+	.Q_N(n_12910),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_write_fifo_raddr_reg[3]  (
+	.CLK(CTS_120),
+	.D(n_7272),
+	.Q(soc_top_u_uart_u_uart_core_fifo_read_size[3]),
+	.Q_N(n_12903),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q_reg[1]  (
+	.CLK(CTS_61),
+	.D(n_3196),
+	.Q(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [1]),
+	.Q_N(n_12309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Div_start_dly_S_reg  (
+	.CLK(CTS_116),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_n_3623 ),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Div_start_dly_S_8434 ),
+	.Q_N(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_0),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_2 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[14]  (
+	.CLK(CTS_72),
+	.D(n_11243),
+	.Q(soc_top_u_top_u_core_instr_rdata_id[14]),
+	.Q_N(n_12099),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_intr_hw_intr_o_reg[23]  (
+	.CLK(CTS_131),
+	.D(n_13091),
+	.Q(soc_top_intr_gpio[23]),
+	.Q_N(n_1135),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_intr_hw_intr_o_reg[20]  (
+	.CLK(CTS_140),
+	.D(n_13262),
+	.Q(soc_top_intr_gpio[20]),
+	.Q_N(n_1134),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_intr_hw_intr_o_reg[24]  (
+	.CLK(CTS_131),
+	.D(n_13269),
+	.Q(soc_top_intr_gpio[24]),
+	.Q_N(n_1132),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_2 \soc_top_GPIO_intr_hw_intr_o_reg[8]  (
+	.CLK(CTS_133),
+	.D(n_13255),
+	.Q(soc_top_intr_gpio[8]),
+	.Q_N(n_1130),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_intr_hw_intr_o_reg[27]  (
+	.CLK(CTS_131),
+	.D(n_13088),
+	.Q(soc_top_intr_gpio[27]),
+	.Q_N(n_1128),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_intr_hw_intr_o_reg[28]  (
+	.CLK(CTS_131),
+	.D(n_13266),
+	.Q(soc_top_intr_gpio[28]),
+	.Q_N(n_1126),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_intr_hw_intr_o_reg[18]  (
+	.CLK(CTS_140),
+	.D(n_13095),
+	.Q(soc_top_intr_gpio[18]),
+	.Q_N(n_1120),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_intr_hw_intr_o_reg[12]  (
+	.CLK(CTS_140),
+	.D(n_13257),
+	.Q(soc_top_intr_gpio[12]),
+	.Q_N(n_1117),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_intr_hw_intr_o_reg[16]  (
+	.CLK(CTS_140),
+	.D(n_13263),
+	.Q(soc_top_intr_gpio[16]),
+	.Q_N(n_1115),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_intr_hw_intr_o_reg[31]  (
+	.CLK(CTS_129),
+	.D(n_13085),
+	.Q(soc_top_intr_gpio[31]),
+	.Q_N(n_1114),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_intr_hw_intr_o_reg[26]  (
+	.CLK(CTS_131),
+	.D(n_13089),
+	.Q(soc_top_intr_gpio[26]),
+	.Q_N(n_1112),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[45]  (
+	.CLK(CTS_115),
+	.D(n_76227),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [45]),
+	.Q_N(n_1104),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[46]  (
+	.CLK(CTS_115),
+	.D(n_76228),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [46]),
+	.Q_N(n_1103),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[41]  (
+	.CLK(CTS_114),
+	.D(n_76226),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [41]),
+	.Q_N(n_1093),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[0][0]  (
+	.CLK(CTS_127),
+	.D(n_7343),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[0] [0]),
+	.Q_N(n_1030),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[7]  (
+	.CLK(CTS_124),
+	.D(n_9014),
+	.Q(soc_top_u_pwm_pwm_core_divisor_2[7]),
+	.Q_N(n_1002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_pwm_pwm_core_divisor_reg[12]  (
+	.CLK(CTS_117),
+	.D(n_9034),
+	.Q(soc_top_u_pwm_pwm_core_divisor[12]),
+	.Q_N(n_995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[15]  (
+	.CLK(CTS_124),
+	.D(n_11769),
+	.Q(soc_top_u_pwm_pwm_core_counter_p2[15]),
+	.Q_N(n_994),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[12]  (
+	.CLK(CTS_124),
+	.D(n_9019),
+	.Q(soc_top_u_pwm_pwm_core_divisor_2[12]),
+	.Q_N(n_963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[5]  (
+	.CLK(CTS_124),
+	.D(n_9012),
+	.Q(soc_top_u_pwm_pwm_core_divisor_2[5]),
+	.Q_N(n_917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[13]  (
+	.CLK(CTS_124),
+	.D(n_9020),
+	.Q(soc_top_u_pwm_pwm_core_divisor_2[13]),
+	.Q_N(n_914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[3]  (
+	.CLK(CTS_124),
+	.D(n_9010),
+	.Q(soc_top_u_pwm_pwm_core_divisor_2[3]),
+	.Q_N(n_913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_pwm_pwm_core_divisor_reg[9]  (
+	.CLK(CTS_119),
+	.D(n_9031),
+	.Q(soc_top_u_pwm_pwm_core_divisor[9]),
+	.Q_N(n_903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[2]  (
+	.CLK(CTS_119),
+	.D(n_11685),
+	.Q(soc_top_u_pwm_pwm_core_counter_p1[2]),
+	.Q_N(n_875),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_gen_harts[0].u_core_tick_count_reg[1]  (
+	.CLK(CTS_128),
+	.D(n_9847),
+	.Q(\soc_top_timer0_gen_harts[0].u_core_tick_count [1]),
+	.Q_N(n_869),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_cio_gpio_q_reg[31]  (
+	.CLK(CTS_142),
+	.D(n_9346),
+	.Q(gpio_o[31]),
+	.Q_N(n_860),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[1]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
+	.D(n_11813),
+	.Q(soc_top_u_pwm_pwm_core_period_counter2[1]),
+	.Q_N(n_859),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[14]  (
+	.CLK(CTS_119),
+	.D(n_11749),
+	.Q(soc_top_u_pwm_pwm_core_counter_p1[14]),
+	.Q_N(n_858),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[8]  (
+	.CLK(CTS_124),
+	.D(n_11683),
+	.Q(soc_top_u_pwm_pwm_core_counter_p1[8]),
+	.Q_N(n_851),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 soc_top_u_uart_u_uart_core_rx_time_rx_timeout_o_reg (
+	.CLK(CTS_132),
+	.D(n_35359),
+	.Q(soc_top_intr_u_rx),
+	.Q_N(n_849),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_cio_gpio_q_reg[26]  (
+	.CLK(CTS_140),
+	.D(n_68475),
+	.Q(gpio_o[26]),
+	.Q_N(n_846),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_cio_gpio_q_reg[25]  (
+	.CLK(CTS_138),
+	.D(n_9326),
+	.Q(gpio_o[25]),
+	.Q_N(n_845),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_cio_gpio_q_reg[21]  (
+	.CLK(CTS_142),
+	.D(n_9329),
+	.Q(gpio_o[21]),
+	.Q_N(n_841),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_cio_gpio_q_reg[20]  (
+	.CLK(CTS_142),
+	.D(n_9457),
+	.Q(gpio_o[20]),
+	.Q_N(n_840),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[4]  (
+	.CLK(CTS_124),
+	.D(n_11687),
+	.Q(soc_top_u_pwm_pwm_core_counter_p1[4]),
+	.Q_N(n_831),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_gen_harts[0].u_core_tick_count_reg[7]  (
+	.CLK(CTS_128),
+	.D(n_11652),
+	.Q(\soc_top_timer0_gen_harts[0].u_core_tick_count [7]),
+	.Q_N(n_826),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_cio_gpio_q_reg[23]  (
+	.CLK(CTS_140),
+	.D(n_9327),
+	.Q(io_out[31]),
+	.Q_N(n_823),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[8]  (
+	.CLK(CTS_125),
+	.D(n_11726),
+	.Q(soc_top_u_pwm_pwm_core_counter_p2[8]),
+	.Q_N(n_822),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[5]  (
+	.CLK(CTS_117),
+	.D(n_8944),
+	.Q(soc_top_u_pwm_pwm_core_DC_1[5]),
+	.Q_N(n_819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[1]  (
+	.CLK(CTS_124),
+	.D(n_11729),
+	.Q(soc_top_u_pwm_pwm_core_counter_p2[1]),
+	.Q_N(n_818),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_gen_harts[0].u_core_tick_count_reg[3]  (
+	.CLK(CTS_128),
+	.D(n_9845),
+	.Q(\soc_top_timer0_gen_harts[0].u_core_tick_count [3]),
+	.Q_N(n_817),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_cio_gpio_q_reg[19]  (
+	.CLK(CTS_142),
+	.D(n_9455),
+	.Q(gpio_o[19]),
+	.Q_N(n_816),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[10]  (
+	.CLK(CTS_119),
+	.D(n_11684),
+	.Q(soc_top_u_pwm_pwm_core_counter_p1[10]),
+	.Q_N(n_811),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_gen_harts[0].u_core_tick_count_reg[6]  (
+	.CLK(CTS_128),
+	.D(n_11340),
+	.Q(\soc_top_timer0_gen_harts[0].u_core_tick_count [6]),
+	.Q_N(n_802),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_cio_gpio_q_reg[30]  (
+	.CLK(CTS_142),
+	.D(n_9320),
+	.Q(gpio_o[30]),
+	.Q_N(n_798),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[3]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
+	.D(n_11830),
+	.Q(soc_top_u_pwm_pwm_core_period_counter2[3]),
+	.Q_N(n_795),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[6].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_139),
+	.D(n_3154),
+	.Q(\soc_top_GPIO_gen_filter[6].filter_diff_ctr_q [1]),
+	.Q_N(n_780),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[13].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_145),
+	.D(n_3192),
+	.Q(\soc_top_GPIO_gen_filter[13].filter_diff_ctr_q [1]),
+	.Q_N(n_779),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[28].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_132),
+	.D(n_3176),
+	.Q(\soc_top_GPIO_gen_filter[28].filter_diff_ctr_q [0]),
+	.Q_N(n_777),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[13].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_145),
+	.D(n_3139),
+	.Q(\soc_top_GPIO_gen_filter[13].filter_diff_ctr_q [0]),
+	.Q_N(n_776),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[17].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_145),
+	.D(n_3123),
+	.Q(\soc_top_GPIO_gen_filter[17].filter_diff_ctr_q [1]),
+	.Q_N(n_775),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[30].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_51),
+	.D(n_3140),
+	.Q(\soc_top_GPIO_gen_filter[30].filter_diff_ctr_q [0]),
+	.Q_N(n_774),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[26].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_132),
+	.D(n_3128),
+	.Q(\soc_top_GPIO_gen_filter[26].filter_diff_ctr_q [0]),
+	.Q_N(n_772),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[3]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
+	.D(n_11867),
+	.Q(soc_top_u_pwm_pwm_core_period_counter1[3]),
+	.Q_N(n_771),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[1].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_80),
+	.D(n_3208),
+	.Q(\soc_top_GPIO_gen_filter[1].filter_diff_ctr_q [1]),
+	.Q_N(n_770),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[25].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_123),
+	.D(n_3182),
+	.Q(\soc_top_GPIO_gen_filter[25].filter_diff_ctr_q [0]),
+	.Q_N(n_769),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[21].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_120),
+	.D(n_3179),
+	.Q(\soc_top_GPIO_gen_filter[21].filter_diff_ctr_q [0]),
+	.Q_N(n_768),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[6].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_139),
+	.D(n_3200),
+	.Q(\soc_top_GPIO_gen_filter[6].filter_diff_ctr_q [0]),
+	.Q_N(n_767),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[21].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_120),
+	.D(n_3136),
+	.Q(\soc_top_GPIO_gen_filter[21].filter_diff_ctr_q [1]),
+	.Q_N(n_766),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[3].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_80),
+	.D(n_3204),
+	.Q(\soc_top_GPIO_gen_filter[3].filter_diff_ctr_q [1]),
+	.Q_N(n_765),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[4].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_139),
+	.D(n_3203),
+	.Q(\soc_top_GPIO_gen_filter[4].filter_diff_ctr_q [1]),
+	.Q_N(n_764),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[1].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_80),
+	.D(n_3157),
+	.Q(\soc_top_GPIO_gen_filter[1].filter_diff_ctr_q [0]),
+	.Q_N(n_763),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[15]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
+	.D(n_11865),
+	.Q(soc_top_u_pwm_pwm_core_period_counter1[15]),
+	.Q_N(n_762),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[19].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_156),
+	.D(n_3188),
+	.Q(\soc_top_GPIO_gen_filter[19].filter_diff_ctr_q [1]),
+	.Q_N(n_761),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_2 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_valid_q_reg[2]  (
+	.CLK(CTS_62),
+	.D(n_10520),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_busy[1]),
+	.Q_N(n_756),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[24].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_123),
+	.D(n_3183),
+	.Q(\soc_top_GPIO_gen_filter[24].filter_diff_ctr_q [1]),
+	.Q_N(n_755),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[12].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_141),
+	.D(n_3193),
+	.Q(\soc_top_GPIO_gen_filter[12].filter_diff_ctr_q [1]),
+	.Q_N(n_754),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[20].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_157),
+	.D(n_3152),
+	.Q(\soc_top_GPIO_gen_filter[20].filter_diff_ctr_q [0]),
+	.Q_N(n_753),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_gen_harts[0].u_core_tick_count_reg[0]  (
+	.CLK(CTS_152),
+	.D(n_9848),
+	.Q(\soc_top_timer0_gen_harts[0].u_core_tick_count [0]),
+	.Q_N(n_752),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[0]  (
+	.CLK(CTS_77),
+	.D(n_6719),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Q_N(n_749),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[24].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_123),
+	.D(n_3184),
+	.Q(\soc_top_GPIO_gen_filter[24].filter_diff_ctr_q [0]),
+	.Q_N(n_744),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[27].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_123),
+	.D(n_3127),
+	.Q(\soc_top_GPIO_gen_filter[27].filter_diff_ctr_q [0]),
+	.Q_N(n_743),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[11].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_139),
+	.D(n_3216),
+	.Q(\soc_top_GPIO_gen_filter[11].filter_diff_ctr_q [1]),
+	.Q_N(n_742),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[9].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_139),
+	.D(n_3215),
+	.Q(\soc_top_GPIO_gen_filter[9].filter_diff_ctr_q [0]),
+	.Q_N(n_740),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[23].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_124),
+	.D(n_3207),
+	.Q(\soc_top_GPIO_gen_filter[23].filter_diff_ctr_q [0]),
+	.Q_N(n_739),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q_reg[2]  (
+	.CLK(CTS_69),
+	.D(n_9401),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [2]),
+	.Q_N(n_738),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[27].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_123),
+	.D(n_3178),
+	.Q(\soc_top_GPIO_gen_filter[27].filter_diff_ctr_q [1]),
+	.Q_N(n_737),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[2].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_80),
+	.D(n_3205),
+	.Q(\soc_top_GPIO_gen_filter[2].filter_diff_ctr_q [1]),
+	.Q_N(n_736),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[22].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_120),
+	.D(n_3186),
+	.Q(\soc_top_GPIO_gen_filter[22].filter_diff_ctr_q [0]),
+	.Q_N(n_735),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[7].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_80),
+	.D(n_3199),
+	.Q(\soc_top_GPIO_gen_filter[7].filter_diff_ctr_q [0]),
+	.Q_N(n_734),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[8].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_139),
+	.D(n_3213),
+	.Q(\soc_top_GPIO_gen_filter[8].filter_diff_ctr_q [0]),
+	.Q_N(n_733),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[10].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_139),
+	.D(n_3194),
+	.Q(\soc_top_GPIO_gen_filter[10].filter_diff_ctr_q [1]),
+	.Q_N(n_732),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[25].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_123),
+	.D(n_3180),
+	.Q(\soc_top_GPIO_gen_filter[25].filter_diff_ctr_q [1]),
+	.Q_N(n_731),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[22].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_120),
+	.D(n_3290),
+	.Q(\soc_top_GPIO_gen_filter[22].filter_diff_ctr_q [1]),
+	.Q_N(n_730),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_write_fifo_raddr_reg[1]  (
+	.CLK(CTS_127),
+	.D(n_8425),
+	.Q(soc_top_u_uart_u_uart_core_fifo_read_size[1]),
+	.Q_N(n_729),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[5]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
+	.D(n_11843),
+	.Q(soc_top_u_pwm_pwm_core_period_counter1[5]),
+	.Q_N(n_728),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[4]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
+	.D(n_11803),
+	.Q(soc_top_u_pwm_pwm_core_period_counter2[4]),
+	.Q_N(n_727),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[9]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
+	.D(n_11805),
+	.Q(soc_top_u_pwm_pwm_core_period_counter2[9]),
+	.Q_N(n_724),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[5]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
+	.D(n_11806),
+	.Q(soc_top_u_pwm_pwm_core_period_counter2[5]),
+	.Q_N(n_718),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[4]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
+	.D(n_11842),
+	.Q(soc_top_u_pwm_pwm_core_period_counter1[4]),
+	.Q_N(n_710),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[7]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
+	.D(n_11834),
+	.Q(soc_top_u_pwm_pwm_core_period_counter2[7]),
+	.Q_N(n_702),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[11]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
+	.D(n_11868),
+	.Q(soc_top_u_pwm_pwm_core_period_counter1[11]),
+	.Q_N(n_687),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 soc_top_u_uart_u_uart_core_rx_fifo_rst_reg (
+	.CLK(CTS_117),
+	.D(n_4385),
+	.Q(soc_top_u_uart_u_uart_core_rx_fifo_rst),
+	.Q_N(n_675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_2 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[1]  (
+	.CLK(CTS_12),
+	.D(n_8885),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
+	.Q_N(n_669),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q_reg[0]  (
+	.CLK(CTS_110),
+	.D(n_9370),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [0]),
+	.Q_N(n_667),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 soc_top_u_uart_u_uart_core_tx_fifo_reset_reg (
+	.CLK(CTS_120),
+	.D(n_6690),
+	.Q(soc_top_u_uart_u_uart_core_tx_fifo_reset),
+	.Q_N(n_601),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_valid_q_reg[0]  (
+	.CLK(CTS_73),
+	.D(n_10521),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_valid_q[0]),
+	.Q_N(n_599),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_2 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q_reg[1]  (
+	.CLK(CTS_69),
+	.D(n_11844),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
+	.Q_N(n_595),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_pwm_pwm_core_ctrl_reg[1]  (
+	.CLK(CTS_117),
+	.D(n_9005),
+	.Q(soc_top_u_pwm_pwm_core_ctrl[1]),
+	.Q_N(n_594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_pwm_pwm_core_ctrl_2_reg[1]  (
+	.CLK(CTS_132),
+	.D(n_9158),
+	.Q(soc_top_u_pwm_pwm_core_ctrl_2[1]),
+	.Q_N(n_593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_intr_hw_intr_o_reg[25]  (
+	.CLK(CTS_140),
+	.D(n_13090),
+	.Q(soc_top_intr_gpio[25]),
+	.Q_N(n_557),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 soc_top_u_spi_host_spi_host_intr_tx_o_reg (
+	.CLK(CTS_118),
+	.D(n_13950),
+	.Q(soc_top_intr_stx),
+	.Q_N(n_555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_intr_hw_intr_o_reg[10]  (
+	.CLK(CTS_133),
+	.D(n_13260),
+	.Q(soc_top_intr_gpio[10]),
+	.Q_N(n_551),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_intr_hw_intr_o_reg[30]  (
+	.CLK(CTS_133),
+	.D(n_13265),
+	.Q(soc_top_intr_gpio[30]),
+	.Q_N(n_542),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[54]  (
+	.CLK(CTS_115),
+	.D(n_76234),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [54]),
+	.Q_N(n_528),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[43]  (
+	.CLK(CTS_114),
+	.D(n_36317),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [43]),
+	.Q_N(n_526),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_write_fifo_buffer_reg[28][0]  (
+	.CLK(CTS_127),
+	.D(n_7330),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo_buffer[28] [0]),
+	.Q_N(n_525),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 soc_top_u_uart_u_uart_core_intr_tx_reg (
+	.CLK(CTS_118),
+	.D(n_1211),
+	.Q(soc_top_intr_u_tx),
+	.Q_N(n_522),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[47]  (
+	.CLK(CTS_115),
+	.D(n_76229),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [47]),
+	.Q_N(n_506),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_pwm_pwm_core_period_reg[9]  (
+	.CLK(CTS_117),
+	.D(n_9064),
+	.Q(soc_top_u_pwm_pwm_core_period[9]),
+	.Q_N(n_377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[9]  (
+	.CLK(CTS_124),
+	.D(n_9016),
+	.Q(soc_top_u_pwm_pwm_core_divisor_2[9]),
+	.Q_N(n_374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_pwm_pwm_core_divisor_reg[5]  (
+	.CLK(CTS_124),
+	.D(n_9028),
+	.Q(soc_top_u_pwm_pwm_core_divisor[5]),
+	.Q_N(n_368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[5]  (
+	.CLK(CTS_80),
+	.D(n_11004),
+	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[5]),
+	.Q_N(n_366),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_pwm_pwm_core_divisor_reg[3]  (
+	.CLK(CTS_124),
+	.D(n_9027),
+	.Q(soc_top_u_pwm_pwm_core_divisor[3]),
+	.Q_N(n_365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_pwm_pwm_core_divisor_reg[7]  (
+	.CLK(CTS_124),
+	.D(n_9033),
+	.Q(soc_top_u_pwm_pwm_core_divisor[7]),
+	.Q_N(n_341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_pwm_pwm_core_divisor_reg[13]  (
+	.CLK(CTS_126),
+	.D(n_9036),
+	.Q(soc_top_u_pwm_pwm_core_divisor[13]),
+	.Q_N(n_337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[2]  (
+	.CLK(CTS_80),
+	.D(n_8320),
+	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[2]),
+	.Q_N(n_324),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[15]  (
+	.CLK(CTS_119),
+	.D(n_11761),
+	.Q(soc_top_u_pwm_pwm_core_counter_p1[15]),
+	.Q_N(n_310),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[4]  (
+	.CLK(CTS_80),
+	.D(n_10401),
+	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[4]),
+	.Q_N(n_308),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[3]  (
+	.CLK(CTS_80),
+	.D(n_9729),
+	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[3]),
+	.Q_N(n_306),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[15]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
+	.D(n_11851),
+	.Q(soc_top_u_pwm_pwm_core_period_counter2[15]),
+	.Q_N(n_304),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_cio_gpio_q_reg[28]  (
+	.CLK(CTS_138),
+	.D(n_9322),
+	.Q(io_out[36]),
+	.Q_N(n_301),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[2]  (
+	.CLK(CTS_124),
+	.D(n_11728),
+	.Q(soc_top_u_pwm_pwm_core_counter_p2[2]),
+	.Q_N(n_300),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_cio_gpio_q_reg[17]  (
+	.CLK(CTS_142),
+	.D(n_9458),
+	.Q(io_out[25]),
+	.Q_N(n_299),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_cio_gpio_q_reg[24]  (
+	.CLK(CTS_138),
+	.D(n_9324),
+	.Q(io_out[32]),
+	.Q_N(n_296),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_cio_gpio_q_reg[16]  (
+	.CLK(CTS_142),
+	.D(n_9459),
+	.Q(io_out[24]),
+	.Q_N(n_289),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_cio_gpio_q_reg[29]  (
+	.CLK(CTS_138),
+	.D(n_9321),
+	.Q(io_out[37]),
+	.Q_N(n_288),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[6]  (
+	.CLK(CTS_124),
+	.D(n_11686),
+	.Q(soc_top_u_pwm_pwm_core_counter_p1[6]),
+	.Q_N(n_283),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[10]  (
+	.CLK(CTS_125),
+	.D(n_11725),
+	.Q(soc_top_u_pwm_pwm_core_counter_p2[10]),
+	.Q_N(n_282),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q_reg[3]  (
+	.CLK(CTS_69),
+	.D(n_9987),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [3]),
+	.Q_N(n_281),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_cio_gpio_q_reg[27]  (
+	.CLK(CTS_138),
+	.D(n_9323),
+	.Q(io_out[35]),
+	.Q_N(n_263),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q_reg[1]  (
+	.CLK(CTS_69),
+	.D(n_9780),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [1]),
+	.Q_N(n_261),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_gen_harts[0].u_core_tick_count_reg[2]  (
+	.CLK(CTS_128),
+	.D(n_9846),
+	.Q(\soc_top_timer0_gen_harts[0].u_core_tick_count [2]),
+	.Q_N(n_253),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_cio_gpio_q_reg[22]  (
+	.CLK(CTS_138),
+	.D(n_72897),
+	.Q(io_out[30]),
+	.Q_N(n_247),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_gen_harts[0].u_core_tick_count_reg[10]  (
+	.CLK(CTS_128),
+	.D(n_11858),
+	.Q(\soc_top_timer0_gen_harts[0].u_core_tick_count [10]),
+	.Q_N(n_236),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[6]  (
+	.CLK(CTS_124),
+	.D(n_11727),
+	.Q(soc_top_u_pwm_pwm_core_counter_p2[6]),
+	.Q_N(n_233),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[1]  (
+	.CLK(CTS_119),
+	.D(n_11688),
+	.Q(soc_top_u_pwm_pwm_core_counter_p1[1]),
+	.Q_N(n_228),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[14]  (
+	.CLK(CTS_124),
+	.D(n_11768),
+	.Q(soc_top_u_pwm_pwm_core_counter_p2[14]),
+	.Q_N(n_220),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[16].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_156),
+	.D(n_3141),
+	.Q(\soc_top_GPIO_gen_filter[16].filter_diff_ctr_q [0]),
+	.Q_N(n_217),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_2 \soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[0]  (
+	.CLK(CTS_79),
+	.D(n_7312),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Q_N(n_212),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[23].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_124),
+	.D(n_3153),
+	.Q(\soc_top_GPIO_gen_filter[23].filter_diff_ctr_q [1]),
+	.Q_N(n_211),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[14].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_147),
+	.D(n_3143),
+	.Q(\soc_top_GPIO_gen_filter[14].filter_diff_ctr_q [0]),
+	.Q_N(n_210),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[14].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_145),
+	.D(n_3210),
+	.Q(\soc_top_GPIO_gen_filter[14].filter_diff_ctr_q [1]),
+	.Q_N(n_209),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[8].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_80),
+	.D(n_3197),
+	.Q(\soc_top_GPIO_gen_filter[8].filter_diff_ctr_q [1]),
+	.Q_N(n_208),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[0].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_80),
+	.D(n_3209),
+	.Q(\soc_top_GPIO_gen_filter[0].filter_diff_ctr_q [1]),
+	.Q_N(n_207),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[5].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_80),
+	.D(n_3202),
+	.Q(\soc_top_GPIO_gen_filter[5].filter_diff_ctr_q [0]),
+	.Q_N(n_206),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[12].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_141),
+	.D(n_3130),
+	.Q(\soc_top_GPIO_gen_filter[12].filter_diff_ctr_q [0]),
+	.Q_N(n_202),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][31]  (
+	.CLK(CTS_58),
+	.D(n_10681),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [31]),
+	.Q_N(n_198),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[10].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_139),
+	.D(n_3195),
+	.Q(\soc_top_GPIO_gen_filter[10].filter_diff_ctr_q [0]),
+	.Q_N(n_197),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[29].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_132),
+	.D(n_3172),
+	.Q(\soc_top_GPIO_gen_filter[29].filter_diff_ctr_q [0]),
+	.Q_N(n_196),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[4]  (
+	.CLK(CTS_124),
+	.D(n_11714),
+	.Q(soc_top_u_pwm_pwm_core_counter_p2[4]),
+	.Q_N(n_194),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[2].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_80),
+	.D(n_3206),
+	.Q(\soc_top_GPIO_gen_filter[2].filter_diff_ctr_q [0]),
+	.Q_N(n_193),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[0].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_78),
+	.D(n_3211),
+	.Q(\soc_top_GPIO_gen_filter[0].filter_diff_ctr_q [0]),
+	.Q_N(n_192),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[29].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_132),
+	.D(n_3169),
+	.Q(\soc_top_GPIO_gen_filter[29].filter_diff_ctr_q [1]),
+	.Q_N(n_191),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[31].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_78),
+	.D(n_3156),
+	.Q(\soc_top_GPIO_gen_filter[31].filter_diff_ctr_q [1]),
+	.Q_N(n_188),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_read_fifo_waddr_reg[1]  (
+	.CLK(CTS_49),
+	.D(n_4643),
+	.Q(soc_top_u_uart_u_uart_core_rx_buffer_size[1]),
+	.Q_N(n_187),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[9].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_139),
+	.D(n_3131),
+	.Q(\soc_top_GPIO_gen_filter[9].filter_diff_ctr_q [1]),
+	.Q_N(n_186),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[7].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_80),
+	.D(n_3198),
+	.Q(\soc_top_GPIO_gen_filter[7].filter_diff_ctr_q [1]),
+	.Q_N(n_185),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[26].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_132),
+	.D(n_3124),
+	.Q(\soc_top_GPIO_gen_filter[26].filter_diff_ctr_q [1]),
+	.Q_N(n_183),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[31].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_78),
+	.D(n_3145),
+	.Q(\soc_top_GPIO_gen_filter[31].filter_diff_ctr_q [0]),
+	.Q_N(n_182),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[15].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_147),
+	.D(n_3191),
+	.Q(\soc_top_GPIO_gen_filter[15].filter_diff_ctr_q [0]),
+	.Q_N(n_181),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[19].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_156),
+	.D(n_3214),
+	.Q(\soc_top_GPIO_gen_filter[19].filter_diff_ctr_q [0]),
+	.Q_N(n_180),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q_reg[0]  (
+	.CLK(CTS_69),
+	.D(n_8957),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [0]),
+	.Q_N(n_179),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[5].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_80),
+	.D(n_3201),
+	.Q(\soc_top_GPIO_gen_filter[5].filter_diff_ctr_q [1]),
+	.Q_N(n_178),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[15].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_147),
+	.D(n_3190),
+	.Q(\soc_top_GPIO_gen_filter[15].filter_diff_ctr_q [1]),
+	.Q_N(n_177),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[16].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_156),
+	.D(n_3189),
+	.Q(\soc_top_GPIO_gen_filter[16].filter_diff_ctr_q [1]),
+	.Q_N(n_176),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[20].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_157),
+	.D(n_3187),
+	.Q(\soc_top_GPIO_gen_filter[20].filter_diff_ctr_q [1]),
+	.Q_N(n_172),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[4].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_80),
+	.D(n_3155),
+	.Q(\soc_top_GPIO_gen_filter[4].filter_diff_ctr_q [0]),
+	.Q_N(n_171),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[28].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_132),
+	.D(n_3174),
+	.Q(\soc_top_GPIO_gen_filter[28].filter_diff_ctr_q [1]),
+	.Q_N(n_170),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[11].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_139),
+	.D(n_3119),
+	.Q(\soc_top_GPIO_gen_filter[11].filter_diff_ctr_q [0]),
+	.Q_N(n_169),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[30].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_51),
+	.D(n_3151),
+	.Q(\soc_top_GPIO_gen_filter[30].filter_diff_ctr_q [1]),
+	.Q_N(n_168),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[3].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_80),
+	.D(n_3142),
+	.Q(\soc_top_GPIO_gen_filter[3].filter_diff_ctr_q [0]),
+	.Q_N(n_167),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_gen_filter[17].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_145),
+	.D(n_3121),
+	.Q(\soc_top_GPIO_gen_filter[17].filter_diff_ctr_q [0]),
+	.Q_N(n_166),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[11]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
+	.D(n_11832),
+	.Q(soc_top_u_pwm_pwm_core_period_counter2[11]),
+	.Q_N(n_151),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[11]  (
+	.CLK(CTS_124),
+	.D(n_11715),
+	.Q(soc_top_u_pwm_pwm_core_counter_p2[11]),
+	.Q_N(n_149),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q_reg[1]  (
+	.CLK(CTS_110),
+	.D(n_9419),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [1]),
+	.Q_N(n_142),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[11]  (
+	.CLK(CTS_119),
+	.D(n_11667),
+	.Q(soc_top_u_pwm_pwm_core_counter_p1[11]),
+	.Q_N(n_141),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_2 \soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[0]  (
+	.CLK(CTS_77),
+	.D(n_7714),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Q_N(n_136),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[0]  (
+	.CLK(CTS_77),
+	.D(n_6677),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Q_N(n_135),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[2]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
+	.D(n_11860),
+	.Q(soc_top_u_pwm_pwm_core_period_counter1[2]),
+	.Q_N(n_134),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_i_fpnew_top_i_arbiter_gen_arbiter.rr_q_reg[0]  (
+	.CLK(CTS_110),
+	.D(n_9397),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_i_arbiter_gen_arbiter.rr_q [0]),
+	.Q_N(n_131),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[7]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
+	.D(n_11861),
+	.Q(soc_top_u_pwm_pwm_core_period_counter1[7]),
+	.Q_N(n_129),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[13]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
+	.D(n_11872),
+	.Q(soc_top_u_pwm_pwm_core_period_counter1[13]),
+	.Q_N(n_128),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_i_fpnew_top_i_arbiter_gen_arbiter.rr_q_reg[1]  (
+	.CLK(CTS_110),
+	.D(n_9396),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_i_arbiter_gen_arbiter.rr_q [1]),
+	.Q_N(n_126),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[13]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
+	.D(n_11841),
+	.Q(soc_top_u_pwm_pwm_core_period_counter2[13]),
+	.Q_N(n_120),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_write_fifo_waddr_reg[4]  (
+	.CLK(CTS_127),
+	.D(n_7308),
+	.Q(soc_top_u_uart_u_uart_core_write_fifo_waddr[4]),
+	.Q_N(n_109),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q_reg[4]  (
+	.CLK(CTS_69),
+	.D(n_9369),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [4]),
+	.Q_N(n_103),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_2 \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[1]  (
+	.CLK(CTS_12),
+	.D(n_4473),
+	.Q(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.Q_N(n_97),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_2 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_valid_q_reg[1]  (
+	.CLK(CTS_62),
+	.D(n_10757),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_busy[0]),
+	.Q_N(n_79),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[0]  (
+	.CLK(CTS_114),
+	.D(n_8651),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
+	.Q_N(n_55),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_read_fifo_waddr_reg[7]  (
+	.CLK(CTS_49),
+	.D(n_7271),
+	.Q(soc_top_u_uart_u_uart_core_rx_buffer_size[7]),
+	.Q_N(n_29),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_2 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[1]  (
+	.CLK(CTS_62),
+	.D(n_10202),
+	.Q(soc_top_u_top_u_core_pc_if[1]),
+	.Q_N(n_28),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_2 soc_top_u_uart_u_uart_core_rx_fifo_clr_reg (
+	.CLK(CTS_117),
+	.D(n_4374),
+	.Q(soc_top_u_uart_u_uart_core_rx_fifo_clr),
+	.Q_N(n_21), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_2 soc_top_u_uart_u_uart_core_tx_fifo_clear_reg (
+	.CLK(CTS_127),
+	.D(n_3717),
+	.Q(soc_top_u_uart_u_uart_core_tx_fifo_clear),
+	.Q_N(n_10),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_2 \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst_reg  (
+	.CLK(CTS_80),
+	.D(io_oeb[26]),
+	.Q(n_34518),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g12 (
+	.A(soc_top_main_swith_host_lsu_dev_select_outstanding[0]),
+	.Y(n_28795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_2 g1007737 (
+	.A_N(n_29713),
+	.B(n_29472),
+	.C(n_29917),
+	.X(n_34662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_2 g1007738 (
+	.A_N(n_29712),
+	.B(n_29474),
+	.C(n_29917),
+	.X(n_34663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1007739 (
+	.A(soc_top_u_top_u_core_pc_set),
+	.B(n_13447),
+	.X(n_34664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1007740 (
+	.A(soc_top_u_top_u_core_pc_set),
+	.B(n_13446),
+	.X(n_34665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1007741 (
+	.A(n_16530),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.X(n_34666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g1007746 (
+	.A(n_28771),
+	.B(n_28769),
+	.C(n_28768),
+	.X(n_34671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_4 g1007747 (
+	.A(soc_top_u_top_u_core_id_stage_i_id_fsm_q),
+	.B_N(soc_top_u_top_u_core_instr_valid_id),
+	.Y(n_34672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_2 g1007754 (
+	.A1(FE_DBTN70_n_87553),
+	.A2(n_55719),
+	.B1_N(n_27937),
+	.X(n_34679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_2 g1007756 (
+	.A(n_86400),
+	.B(n_57164),
+	.C(n_59046),
+	.X(n_34681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1007759 (
+	.A(n_58246),
+	.B(n_27865),
+	.X(n_34684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_2 g1007771 (
+	.A1(\soc_top_u_top_u_core_fp_operands[2] [13]),
+	.A2(FE_DBTN79_n_53716),
+	.B1(n_39671),
+	.C1(n_40434),
+	.X(n_34696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_4 g1007773 (
+	.A1(\soc_top_u_top_u_core_fp_operands[2] [3]),
+	.A2(FE_DBTN79_n_53716),
+	.B1(n_39671),
+	.C1(n_40435),
+	.X(n_34698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1007775 (
+	.A(n_27357),
+	.B(n_39671),
+	.X(n_34700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g1007781 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_38 ),
+	.B(n_71622),
+	.X(n_34706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1007788 (
+	.A(n_87514),
+	.B(n_71622),
+	.X(n_34713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1007790 (
+	.A(n_62568),
+	.B(n_71622),
+	.X(n_34715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1007791 (
+	.A(n_70592),
+	.B(n_71622),
+	.X(n_34716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1007795 (
+	.A(soc_top_u_top_u_core_lsu_wdata[16]),
+	.B(n_17802),
+	.X(n_34720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1007799 (
+	.A(n_43096),
+	.B(n_71622),
+	.X(n_34724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1007801 (
+	.A(\soc_top_u_top_u_core_fp_operands[0] [16]),
+	.B(n_71622),
+	.X(n_34726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1007802 (
+	.A(n_63780),
+	.B(n_17802),
+	.X(n_34727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1007803 (
+	.A(n_80790),
+	.B(n_17802),
+	.X(n_34728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1007805 (
+	.A(n_81530),
+	.B(n_17802),
+	.X(n_34730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1007809 (
+	.A(n_29042),
+	.B(n_71622),
+	.X(n_34734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1007813 (
+	.A(n_61234),
+	.B(n_71622),
+	.X(n_34738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1007815 (
+	.A(n_61246),
+	.B(n_71622),
+	.X(n_34740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_2 g1007816 (
+	.A1(n_61252),
+	.A2(n_77896),
+	.B1(n_25870),
+	.X(n_34741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1007849 (
+	.A1(n_20203),
+	.A2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [2]),
+	.B1(n_22794),
+	.X(n_34774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g1007851 (
+	.A(n_19976),
+	.B(n_19974),
+	.C(n_19973),
+	.X(n_34776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g1007852 (
+	.A(n_20489),
+	.B(n_19866),
+	.C(n_19863),
+	.X(n_34777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1007853 (
+	.A(n_35992),
+	.B(n_17901),
+	.X(n_34778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g1007861 (
+	.A1(n_17308),
+	.A2(n_19187),
+	.B1(n_17255),
+	.B2(n_18246),
+	.X(n_34786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g1007863 (
+	.A1(n_16650),
+	.A2(n_18754),
+	.B1(n_16656),
+	.B2(n_18607),
+	.X(n_34788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g1007864 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [18]),
+	.A2_N(n_18338),
+	.B1(n_74156),
+	.B2(n_16688),
+	.X(n_34789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g1007865 (
+	.A1(n_16691),
+	.A2(n_19501),
+	.B1(n_17375),
+	.B2(n_19107),
+	.X(n_34790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g1007874 (
+	.A_N(n_35994),
+	.B(n_35991),
+	.X(n_34799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_4 g1007880 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[18]),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[17]),
+	.C(FE_DBTN146_soc_top_u_top_u_core_instr_rdata_alu_id_16),
+	.X(n_34805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_4 g1007883 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[16]),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[18]),
+	.C(soc_top_u_top_u_core_instr_rdata_alu_id[17]),
+	.X(n_34808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1007884 (
+	.A(n_34952),
+	.B(FE_DBTN143_soc_top_u_top_u_core_multdiv_operator_ex_1),
+	.X(n_34809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1007886 (
+	.A(n_84637),
+	.B(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.X(n_34811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1007887 (
+	.A(FE_DBTN29_n_85472),
+	.B(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.X(n_34812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1007891 (
+	.A(soc_top_u_top_u_core_rf_raddr_b[2]),
+	.B(soc_top_u_top_u_core_rf_raddr_b[3]),
+	.X(n_17864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1007897 (
+	.A(soc_top_main_swith_host_lsu_dev_select_outstanding[0]),
+	.B(n_28688),
+	.Y(n_34822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1007927 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [6]),
+	.B_N(n_16126),
+	.Y(n_34852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g1007948 (
+	.A(n_16038),
+	.B(n_16002),
+	.X(n_34873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 g1007949 (
+	.A_N(n_15755),
+	.B(n_15813),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [29]),
+	.D(n_15941),
+	.X(n_34874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1007983 (
+	.A(n_80155),
+	.B(n_41676),
+	.X(n_34908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g1007985 (
+	.A_N(n_29731),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [28]),
+	.X(n_34910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1007996 (
+	.A1(n_1169),
+	.A2(n_9579),
+	.B1(n_8518),
+	.X(n_34921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1007998 (
+	.A(n_73924),
+	.B(n_4080),
+	.Y(n_34923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1007999 (
+	.A1(n_30120),
+	.A2(n_7068),
+	.B1(n_8296),
+	.X(n_34924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1008000 (
+	.A1(n_30110),
+	.A2(n_7068),
+	.B1(n_8302),
+	.X(n_34925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1008001 (
+	.A1(n_30110),
+	.A2(n_7060),
+	.B1(n_8301),
+	.X(n_34926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1008002 (
+	.A1(n_30114),
+	.A2(n_7068),
+	.B1(n_8300),
+	.X(n_34927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1008003 (
+	.A1(n_30114),
+	.A2(n_7060),
+	.B1(n_8299),
+	.X(n_34928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1008004 (
+	.A1(n_30117),
+	.A2(n_7068),
+	.B1(n_8298),
+	.X(n_34929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1008005 (
+	.A1(n_30117),
+	.A2(n_7060),
+	.B1(n_8297),
+	.X(n_34930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1008006 (
+	.A1(n_30120),
+	.A2(n_7060),
+	.B1(n_8294),
+	.X(n_34931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1008007 (
+	.A1(n_30124),
+	.A2(n_7068),
+	.B1(n_8292),
+	.X(n_34932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1008008 (
+	.A1(n_30124),
+	.A2(n_7060),
+	.B1(n_8290),
+	.X(n_34933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1008009 (
+	.A1(n_30136),
+	.A2(n_7068),
+	.B1(n_8280),
+	.X(n_34934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1008010 (
+	.A(n_71656),
+	.B(n_3080),
+	.Y(n_34935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g1008015 (
+	.A(n_77405),
+	.B(n_77407),
+	.C(n_76629),
+	.X(n_34940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g1008016 (
+	.A(n_77406),
+	.B(n_37623),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [23]),
+	.X(n_34941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g1008017 (
+	.A1(n_42634),
+	.A2(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_19 ),
+	.B1(n_37531),
+	.B2(n_73460),
+	.X(n_34942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1008027 (
+	.A_N(soc_top_u_top_u_core_mult_sel_ex),
+	.B(FE_DBTN143_soc_top_u_top_u_core_multdiv_operator_ex_1),
+	.Y(n_34952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1008028 (
+	.A(n_28887),
+	.B(n_1361),
+	.X(n_34953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008038 (
+	.A_N(n_29610),
+	.B(n_28724),
+	.Y(n_34963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008039 (
+	.A_N(n_28689),
+	.B(soc_top_main_swith_host_lsu_dev_select_outstanding[0]),
+	.Y(n_34964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1008040 (
+	.A_N(n_28685),
+	.B(soc_top_main_swith_host_lsu_dev_select_outstanding[0]),
+	.Y(n_34965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008046 (
+	.A_N(n_28353),
+	.B(n_34681),
+	.Y(n_34971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008049 (
+	.A_N(n_78485),
+	.B(n_80179),
+	.C(n_34684),
+	.D(n_58998),
+	.Y(n_34974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1008050 (
+	.A_N(n_80713),
+	.B(n_27821),
+	.C(n_27548),
+	.Y(n_34975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1008055 (
+	.A_N(n_27802),
+	.B(n_27861),
+	.Y(n_34980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_4 g1008059 (
+	.A_N(n_27133),
+	.B(n_27178),
+	.C(n_27078),
+	.Y(n_34984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1008063 (
+	.A(n_26964),
+	.B(n_56964),
+	.Y(n_34988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1008064 (
+	.A_N(n_26919),
+	.B(n_87747),
+	.Y(n_34989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008065 (
+	.A_N(n_26800),
+	.B(n_35476),
+	.Y(n_34990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_1 g1008067 (
+	.A(FE_DBTN42_n_64880),
+	.B_N(n_47832),
+	.X(n_34992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1008068 (
+	.A_N(n_69965),
+	.B(n_74974),
+	.Y(n_34993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_1 g1008070 (
+	.A(\soc_top_u_top_u_core_fp_operands[2] [18]),
+	.B(n_43099),
+	.C_N(n_26706),
+	.X(n_34995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008071 (
+	.A_N(\soc_top_u_top_u_core_fp_operands[2] [13]),
+	.B(n_76862),
+	.C(n_26501),
+	.D(n_26704),
+	.Y(n_34996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4b_1 g1008076 (
+	.A(n_39666),
+	.B(\soc_top_u_top_u_core_fp_operands[2] [0]),
+	.C(\soc_top_u_top_u_core_fp_operands[2] [19]),
+	.D_N(n_26508),
+	.Y(n_35001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1008078 (
+	.A_N(n_29042),
+	.B(n_81690),
+	.Y(n_35003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008079 (
+	.A_N(n_84501),
+	.B(n_26684),
+	.Y(n_35004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g1008089 (
+	.A1(n_17999),
+	.A2(n_25213),
+	.B1_N(n_29638),
+	.Y(n_35014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g1008090 (
+	.A(n_25360),
+	.B(n_25358),
+	.X(n_35015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g1008091 (
+	.A(n_25356),
+	.B(n_43245),
+	.X(n_35016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4bb_1 g1008092 (
+	.A(n_81263),
+	.B(n_74660),
+	.C_N(n_74964),
+	.D_N(n_29539),
+	.X(n_35017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1008094 (
+	.A(n_29866),
+	.B_N(n_29620),
+	.Y(n_35019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008095 (
+	.A_N(n_29513),
+	.B(soc_top_u_top_u_core_instr_rdata_id[7]),
+	.Y(n_35020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1008097 (
+	.A_N(n_24007),
+	.B(soc_top_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[1]),
+	.C(soc_top_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[0]),
+	.Y(n_35022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_2 g1008099 (
+	.A(n_20203),
+	.B(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.C_N(n_29349),
+	.Y(n_35024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g1008103 (
+	.A_N(n_43916),
+	.B(n_18357),
+	.X(n_35028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1008106 (
+	.A_N(n_17922),
+	.B(FE_DBTN29_n_85472),
+	.Y(n_35031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1008108 (
+	.A_N(n_17854),
+	.B(n_18024),
+	.Y(n_35033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g1008111 (
+	.A_N(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.B(n_17739),
+	.X(n_35036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1008112 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.B_N(n_17731),
+	.Y(n_35037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_4 g1008113 (
+	.A(n_17932),
+	.B_N(FE_DBTN106_n_17712),
+	.Y(n_35038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_2 g1008114 (
+	.A(n_25197),
+	.B_N(soc_top_u_dccm_rdata3[10]),
+	.Y(n_35039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g1008115 (
+	.A_N(n_29603),
+	.B(\soc_top_timer_to_xbar[d_opcode] [0]),
+	.X(n_35040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1008116 (
+	.A(n_18471),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [16]),
+	.Y(n_35041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1008117 (
+	.A(n_19153),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [16]),
+	.Y(n_35042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1008118 (
+	.A(n_19210),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [16]),
+	.Y(n_35043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1008122 (
+	.A_N(n_12101),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[19]),
+	.Y(n_35047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_2 g1008125 (
+	.A_N(FE_DBTN146_soc_top_u_top_u_core_instr_rdata_alu_id_16),
+	.B(n_17695),
+	.C(n_17875),
+	.Y(n_35050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008126 (
+	.A_N(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.B(n_47758),
+	.C(soc_top_u_dccm_bank_sel[0]),
+	.D(soc_top_u_dccm_rdata4[20]),
+	.Y(n_35051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g1008128 (
+	.A_N(n_34964),
+	.B(\soc_top_spi_to_xbar[d_opcode] [0]),
+	.X(n_35053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1008129 (
+	.A(n_18900),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [16]),
+	.Y(n_35054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1008130 (
+	.A(n_18566),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [1]),
+	.Y(n_35055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1008131 (
+	.A(n_19011),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [1]),
+	.Y(n_35056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1008132 (
+	.A_N(soc_top_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[1]),
+	.B(soc_top_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[0]),
+	.C(soc_top_u_top_u_core_instr_is_compressed_id),
+	.Y(n_35057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1008134 (
+	.A1(soc_top_u_top_u_core_multdiv_operator_ex[0]),
+	.A2(n_15683),
+	.B1_N(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.Y(n_35059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008144 (
+	.A_N(n_44396),
+	.B(n_36389),
+	.Y(n_35069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1008153 (
+	.A(n_16409),
+	.B(n_16405),
+	.Y(n_16418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g1008160 (
+	.A(n_37451),
+	.B(n_36042),
+	.X(n_35085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008161 (
+	.A_N(n_16327),
+	.B(n_15806),
+	.Y(n_35086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008175 (
+	.A_N(n_74726),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_n_299 ),
+	.Y(n_35100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1008182 (
+	.A(n_16239),
+	.B_N(n_16219),
+	.Y(n_35107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008183 (
+	.A_N(n_69455),
+	.B(n_16201),
+	.Y(n_35108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4b_1 g1008184 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_gen_lzc.sel_nodes [13]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [0]),
+	.C(n_70597),
+	.D_N(n_16199),
+	.X(n_35109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1008189 (
+	.A_N(n_16115),
+	.B(n_16165),
+	.Y(n_35114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1008196 (
+	.A(n_36098),
+	.B(n_16047),
+	.X(n_35121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008197 (
+	.A_N(n_15980),
+	.B(n_15951),
+	.Y(n_35122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1008200 (
+	.A_N(n_15832),
+	.B(n_15948),
+	.Y(n_35125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1008202 (
+	.A(n_15969),
+	.B_N(n_40712),
+	.Y(n_35127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1008203 (
+	.A(n_15969),
+	.B_N(n_91432),
+	.Y(n_35128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_4 g1008206 (
+	.A(n_15586),
+	.B_N(n_59469),
+	.Y(n_35131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1008207 (
+	.A(n_87765),
+	.B_N(n_59482),
+	.Y(n_35132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008212 (
+	.A_N(n_15302),
+	.B(soc_top_iccm_adapter_instr_csbD),
+	.Y(n_35137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008213 (
+	.A_N(n_15300),
+	.B(soc_top_iccm_adapter_instr_csbD),
+	.Y(n_35138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1008217 (
+	.A(n_14572),
+	.B(n_29614),
+	.Y(n_35142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008219 (
+	.A_N(n_14316),
+	.B(soc_top_data_csb),
+	.Y(n_35144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008220 (
+	.A_N(n_14314),
+	.B(soc_top_data_csb),
+	.Y(n_35145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008221 (
+	.A_N(n_14312),
+	.B(soc_top_data_csb),
+	.Y(n_35146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1008227 (
+	.A(n_13841),
+	.B(soc_top_u_top_u_core_csr_mtvec[9]),
+	.Y(n_35152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1008229 (
+	.A(n_13447),
+	.B(soc_top_u_top_u_core_csr_depc[9]),
+	.Y(n_35154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1008230 (
+	.A(n_13446),
+	.B(soc_top_u_top_u_core_csr_mepc[9]),
+	.Y(n_35155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4bb_1 g1008231 (
+	.A(n_1370),
+	.B(n_29423),
+	.C_N(n_13428),
+	.D_N(soc_top_u_uart_u_uart_core_fifo_read_size[6]),
+	.Y(n_35156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1008232 (
+	.A(n_29458),
+	.B_N(n_323),
+	.Y(n_35157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1008234 (
+	.A(n_29458),
+	.B_N(n_311),
+	.Y(n_35159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1008247 (
+	.A0(FE_DBTN68_n_54295),
+	.A1(n_54295),
+	.S(n_31181),
+	.X(n_35172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1008256 (
+	.A(FE_DBTN111_n_62295),
+	.B_N(n_30778),
+	.Y(n_35181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 g1008264 (
+	.A0(n_30389),
+	.A1(n_30390),
+	.S(n_12103),
+	.X(n_35189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008267 (
+	.A_N(n_77407),
+	.B(FE_DBTN68_n_54295),
+	.Y(n_35192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1008269 (
+	.A_N(n_73858),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [0]),
+	.Y(n_35194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4bb_1 g1008283 (
+	.A(soc_top_dccm_adapter_data_mem_u_sramreqfifo_n_69),
+	.B(n_12531),
+	.C_N(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.D_N(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.X(n_35208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1008284 (
+	.A1(n_30496),
+	.A2(n_12103),
+	.B1_N(n_12410),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_10 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1008289 (
+	.A(n_86613),
+	.B_N(n_30971),
+	.Y(n_35214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1008294 (
+	.A0(n_30957),
+	.A1(n_30881),
+	.S(n_86613),
+	.X(n_35219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1008298 (
+	.A(n_86613),
+	.B_N(n_30956),
+	.Y(n_35223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1008304 (
+	.A(n_86613),
+	.B_N(n_30950),
+	.Y(n_35229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008306 (
+	.A_N(n_29516),
+	.B(n_34666),
+	.Y(n_35231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1008310 (
+	.A(n_86613),
+	.B_N(n_30970),
+	.Y(n_35235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1008311 (
+	.A0(n_30958),
+	.A1(n_30882),
+	.S(n_86613),
+	.X(n_35236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1008312 (
+	.A(n_86613),
+	.B_N(n_30969),
+	.Y(n_35237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1008317 (
+	.A1(soc_top_u_top_u_core_id_stage_i_bt_b_mux_sel[2]),
+	.A2(soc_top_u_top_u_core_id_stage_i_bt_b_mux_sel[1]),
+	.B1(soc_top_u_top_u_core_id_stage_i_bt_b_mux_sel[0]),
+	.X(n_35242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1008332 (
+	.A_N(\soc_top_lsu_to_xbar[a_address] [9]),
+	.B(n_32),
+	.Y(n_35257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1008344 (
+	.A_N(n_31340),
+	.B(n_29517),
+	.Y(n_35269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1008345 (
+	.A_N(n_31362),
+	.B(n_29517),
+	.Y(n_35270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1008346 (
+	.A_N(n_31354),
+	.B(n_29517),
+	.Y(n_35271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008347 (
+	.A_N(n_31351),
+	.B(n_29517),
+	.Y(n_35272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1008368 (
+	.A_N(n_31367),
+	.B(n_29517),
+	.Y(n_35293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008373 (
+	.A_N(n_31364),
+	.B(n_29517),
+	.Y(n_35298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g1008376 (
+	.A(n_1501),
+	.B(soc_top_main_swith_host_lsu_num_req_outstanding[14]),
+	.C_N(n_11584),
+	.Y(n_35301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g1008382 (
+	.A(n_10589),
+	.B(n_10248),
+	.C_N(n_10084),
+	.Y(n_35307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1008384 (
+	.A(n_9914),
+	.B(n_9911),
+	.Y(n_35309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008385 (
+	.A_N(n_9908),
+	.B(n_9589),
+	.Y(n_35310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008386 (
+	.A_N(n_9592),
+	.B(n_9224),
+	.Y(n_35311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g1008387 (
+	.A1(n_155),
+	.A2(soc_top_u_top_u_core_instr_valid_clear),
+	.B1_N(n_9571),
+	.Y(n_35312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1008388 (
+	.A(n_7674),
+	.B_N(n_8628),
+	.Y(n_35313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1008389 (
+	.A(n_7659),
+	.B(n_1519),
+	.Y(n_35314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1008390 (
+	.A_N(n_7644),
+	.B(n_8375),
+	.C(n_7191),
+	.Y(n_35315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1008391 (
+	.A(n_35366),
+	.B_N(n_7640),
+	.Y(n_35316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1008392 (
+	.A(n_1536),
+	.B(n_7620),
+	.Y(n_35317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g1008393 (
+	.A_N(n_7618),
+	.B(n_2126),
+	.X(n_35318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g1008394 (
+	.A_N(n_7160),
+	.B(FE_DBTN143_soc_top_u_top_u_core_multdiv_operator_ex_1),
+	.X(n_35319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g1008395 (
+	.A_N(n_7081),
+	.B(n_16),
+	.X(n_35320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1008396 (
+	.A(n_4735),
+	.B(n_1324),
+	.Y(n_35321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1008397 (
+	.A(n_8321),
+	.B_N(n_4712),
+	.Y(n_35322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1008400 (
+	.A(n_4109),
+	.B_N(n_7156),
+	.X(n_35325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1008401 (
+	.A(n_4101),
+	.B_N(n_7156),
+	.X(n_35326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1008402 (
+	.A(n_75503),
+	.B_N(n_4096),
+	.X(n_35327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1008403 (
+	.A_N(n_75503),
+	.B(n_4094),
+	.Y(n_35328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_2 g1008404 (
+	.A_N(n_3985),
+	.B(n_2124),
+	.C(n_2160),
+	.D(soc_top_u_top_data_we),
+	.X(n_35329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1008405 (
+	.A_N(n_3979),
+	.B(n_3995),
+	.C(n_3977),
+	.Y(n_35330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008406 (
+	.A_N(n_3854),
+	.B(n_2307),
+	.Y(n_35331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1008407 (
+	.A1(n_1027),
+	.A2(n_29711),
+	.B1_N(n_3571),
+	.Y(n_35332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_4 g1008408 (
+	.A(n_75503),
+	.B_N(n_3537),
+	.X(n_35333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1008409 (
+	.A(n_75503),
+	.B_N(n_3535),
+	.X(n_35334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1008410 (
+	.A(n_2229),
+	.B(n_3058),
+	.Y(n_35335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4b_2 g1008411 (
+	.A(soc_top_u_top_u_core_cs_registers_i_n_6876),
+	.B(n_29333),
+	.C(n_3044),
+	.D_N(n_1345),
+	.Y(n_35336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1008413 (
+	.A(n_2241),
+	.B(n_3024),
+	.Y(n_35338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1008414 (
+	.A(n_2241),
+	.B(n_3022),
+	.Y(n_35339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008416 (
+	.A_N(n_2705),
+	.B(n_10307),
+	.Y(n_35341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008417 (
+	.A_N(n_2681),
+	.B(n_10540),
+	.Y(n_35342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008418 (
+	.A_N(n_2679),
+	.B(n_10539),
+	.Y(n_35343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008419 (
+	.A_N(n_2677),
+	.B(n_10538),
+	.Y(n_35344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008420 (
+	.A_N(n_2675),
+	.B(n_10237),
+	.Y(n_35345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008421 (
+	.A_N(n_2672),
+	.B(n_10308),
+	.Y(n_35346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008422 (
+	.A_N(n_2670),
+	.B(n_10306),
+	.Y(n_35347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008423 (
+	.A_N(n_2668),
+	.B(n_10305),
+	.Y(n_35348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008424 (
+	.A_N(n_2666),
+	.B(n_10304),
+	.Y(n_35349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008425 (
+	.A_N(n_2664),
+	.B(n_10303),
+	.Y(n_35350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008426 (
+	.A_N(n_2660),
+	.B(n_10300),
+	.Y(n_35351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008427 (
+	.A_N(n_2657),
+	.B(n_10298),
+	.Y(n_35352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008428 (
+	.A_N(n_2654),
+	.B(n_10294),
+	.Y(n_35353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008429 (
+	.A_N(n_2650),
+	.B(n_10292),
+	.Y(n_35354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008430 (
+	.A_N(n_2647),
+	.B(n_10290),
+	.Y(n_35355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008431 (
+	.A_N(n_2644),
+	.B(n_10288),
+	.Y(n_35356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008432 (
+	.A_N(n_2635),
+	.B(n_10541),
+	.Y(n_35357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1008433 (
+	.A(n_4561),
+	.B_N(n_2156),
+	.X(n_35358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1008434 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.A2(soc_top_intr_u_rx),
+	.B1_N(n_35369),
+	.X(n_35359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g1008435 (
+	.A(n_3320),
+	.B(soc_top_u_uart_u_uart_core_write_fifo_waddr[5]),
+	.C_N(n_1954),
+	.Y(n_35360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008436 (
+	.A_N(n_1625),
+	.B(n_2349),
+	.C(n_1618),
+	.D(n_1360),
+	.Y(n_35361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008437 (
+	.A_N(n_1597),
+	.B(soc_top_u_uart_u_uart_core_rx_buffer_size[3]),
+	.Y(n_35362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008438 (
+	.A_N(n_1586),
+	.B(n_21),
+	.Y(n_35363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1008439 (
+	.A(n_1566),
+	.B(n_1601),
+	.Y(n_35364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008440 (
+	.A_N(n_1539),
+	.B(n_1284),
+	.Y(n_35365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008441 (
+	.A_N(n_1532),
+	.B(n_1284),
+	.Y(n_35366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1008442 (
+	.A(n_1312),
+	.B_N(n_1519),
+	.Y(n_35367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_1 g1008444 (
+	.A(n_1609),
+	.B_N(n_1346),
+	.X(n_35369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008445 (
+	.A_N(n_74958),
+	.B(n_29593),
+	.Y(n_35370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008446 (
+	.A_N(n_1231),
+	.B(n_2071),
+	.Y(n_35371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008453 (
+	.A_N(n_29283),
+	.B(n_42633),
+	.Y(n_35378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008455 (
+	.A_N(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
+	.Y(n_35380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008458 (
+	.A_N(n_87777),
+	.B(n_40128),
+	.Y(n_35383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1008461 (
+	.A_N(n_41015),
+	.B(n_70314),
+	.Y(n_35386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008471 (
+	.A_N(n_43221),
+	.B(n_1483),
+	.C(n_43227),
+	.D(n_43226),
+	.Y(n_35396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g1008473 (
+	.A(\soc_top_intr_controller_irq_id_o[0] [0]),
+	.B(\soc_top_intr_controller_irq_id_o[0] [5]),
+	.C_N(n_4279),
+	.Y(n_35398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1008489 (
+	.A1(n_16016),
+	.A2(n_58418),
+	.B1_N(n_15989),
+	.Y(n_35414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g1008515 (
+	.A_N(n_29795),
+	.B(n_12703),
+	.C(n_12687),
+	.X(n_35440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1008518 (
+	.A(n_76264),
+	.B(n_28769),
+	.C(n_28768),
+	.Y(n_35443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g1008520 (
+	.A(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [2]),
+	.B(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [2]),
+	.Y(n_35445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008521 (
+	.A1(n_28652),
+	.A2(n_97),
+	.B1(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B2(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.X(n_35446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_4 g1008522 (
+	.A(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [2]),
+	.B(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [2]),
+	.Y(n_35447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g1008533 (
+	.A(n_80179),
+	.B(n_80113),
+	.C(n_58998),
+	.D(n_78233),
+	.X(n_35458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_2 g1008534 (
+	.A1(n_60662),
+	.A2(n_27591),
+	.B1(n_55719),
+	.B2(n_27510),
+	.X(n_35459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 g1008542 (
+	.A1(FE_DBTN96_n_57702),
+	.A2(n_27590),
+	.B1_N(n_27510),
+	.X(n_35467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g1008544 (
+	.A_N(n_86278),
+	.B(n_87858),
+	.C(n_90665),
+	.X(n_35469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor3_1 g1008546 (
+	.A(n_61017),
+	.B(n_26964),
+	.C(n_81755),
+	.X(n_35471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1008548 (
+	.A0(n_61016),
+	.A1(n_31062),
+	.S(n_73858),
+	.X(n_35473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_4 g1008551 (
+	.A(n_75798),
+	.B(n_26751),
+	.C_N(n_26926),
+	.X(n_35476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1008552 (
+	.A0(n_36473),
+	.A1(n_26620),
+	.S(n_75419),
+	.X(n_35477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_4 g1008554 (
+	.A1(n_61467),
+	.A2(n_71622),
+	.B1_N(n_17802),
+	.X(n_35479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 g1008569 (
+	.A1_N(n_20199),
+	.A2_N(n_25935),
+	.B1(n_60756),
+	.B2(n_77594),
+	.Y(n_35494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g1008572 (
+	.A1(n_25726),
+	.A2(n_72751),
+	.B1(n_25782),
+	.C1(n_22733),
+	.X(n_35497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g1008574 (
+	.A1(n_29775),
+	.A2(n_29618),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [0]),
+	.B2(n_29774),
+	.X(n_35499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_2 g1008575 (
+	.A1(n_84877),
+	.A2(n_24977),
+	.B1(n_25018),
+	.C1(n_25164),
+	.Y(n_35500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_2 g1008578 (
+	.A1_N(n_16680),
+	.A2_N(n_24414),
+	.B1(n_35532),
+	.B2(n_24414),
+	.X(n_35503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_2 g1008579 (
+	.A1_N(n_17396),
+	.A2_N(n_24414),
+	.B1(n_24912),
+	.B2(n_24414),
+	.X(n_35504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_2 g1008580 (
+	.A1_N(n_16751),
+	.A2_N(n_24414),
+	.B1(n_35537),
+	.B2(n_24414),
+	.X(n_35505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_2 g1008583 (
+	.A1_N(n_64183),
+	.A2_N(n_24414),
+	.B1(n_84534),
+	.B2(n_24414),
+	.X(n_35508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1008585 (
+	.A1(n_24630),
+	.A2(n_24521),
+	.B1_N(n_25028),
+	.X(n_35510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1008586 (
+	.A1(n_35552),
+	.A2(n_24560),
+	.B1_N(n_25028),
+	.X(n_35511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1008587 (
+	.A1(n_24722),
+	.A2(n_24558),
+	.B1_N(n_25028),
+	.X(n_35512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1008588 (
+	.A1(n_24719),
+	.A2(n_24555),
+	.B1_N(n_25028),
+	.X(n_35513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1008589 (
+	.A1(n_35554),
+	.A2(n_35564),
+	.B1_N(n_25028),
+	.X(n_35514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1008590 (
+	.A1(n_24742),
+	.A2(n_24537),
+	.B1_N(n_25028),
+	.X(n_35515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1008592 (
+	.A(soc_top_u_dccm_bank_sel[1]),
+	.B(n_24879),
+	.C(soc_top_u_dccm_rdata3[13]),
+	.Y(n_35517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_4 g1008593 (
+	.A_N(soc_top_u_dccm_bank_sel[1]),
+	.B(n_24877),
+	.C(soc_top_u_dccm_rdata1[31]),
+	.Y(n_35518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008594 (
+	.A_N(n_24278),
+	.B(n_24663),
+	.C(n_23468),
+	.D(n_23448),
+	.Y(n_35519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008595 (
+	.A_N(n_35613),
+	.B(n_23466),
+	.C(n_35740),
+	.D(n_24535),
+	.Y(n_35520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008599 (
+	.A_N(n_24309),
+	.B(n_35709),
+	.C(n_35711),
+	.D(n_35565),
+	.Y(n_35524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008600 (
+	.A_N(n_35592),
+	.B(n_23620),
+	.C(n_23621),
+	.D(n_24550),
+	.Y(n_35525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_2 g1008601 (
+	.A_N(n_24337),
+	.B(n_23608),
+	.C(n_35691),
+	.D(n_24552),
+	.Y(n_35526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008602 (
+	.A_N(n_35601),
+	.B(n_23552),
+	.C(n_35710),
+	.D(n_24694),
+	.Y(n_35527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008603 (
+	.A_N(n_35583),
+	.B(n_36420),
+	.C(n_35668),
+	.D(n_24724),
+	.Y(n_35528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008605 (
+	.A_N(n_24260),
+	.B(n_36413),
+	.C(n_87136),
+	.D(n_23431),
+	.Y(n_35530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_2 g1008606 (
+	.A_N(n_24227),
+	.B(n_23335),
+	.C(n_23327),
+	.D(n_24528),
+	.Y(n_35531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008607 (
+	.A_N(n_36489),
+	.B(n_35764),
+	.C(n_35766),
+	.D(n_36416),
+	.Y(n_35532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008610 (
+	.A_N(n_24171),
+	.B(n_35780),
+	.C(n_23174),
+	.D(n_24519),
+	.Y(n_35535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008612 (
+	.A_N(n_24136),
+	.B(n_22996),
+	.C(n_23021),
+	.D(n_24516),
+	.Y(n_35537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008617 (
+	.A_N(n_24167),
+	.B(n_24616),
+	.C(n_76077),
+	.D(n_76260),
+	.Y(n_35542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008618 (
+	.A_N(n_35619),
+	.B(n_23400),
+	.C(n_76074),
+	.D(n_24665),
+	.Y(n_35543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008623 (
+	.A_N(n_23923),
+	.B(n_22679),
+	.C(n_36443),
+	.D(n_24391),
+	.Y(n_35548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008626 (
+	.A_N(n_35655),
+	.B(n_22580),
+	.C(n_36376),
+	.D(n_76057),
+	.Y(n_35551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g1008627 (
+	.A_N(n_35582),
+	.B(n_35663),
+	.C(n_35661),
+	.X(n_35552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008628 (
+	.A_N(n_23580),
+	.B(n_22239),
+	.C(n_22237),
+	.D(n_24323),
+	.Y(n_35553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4b_1 g1008629 (
+	.A(n_35892),
+	.B(n_22195),
+	.C(n_24317),
+	.D_N(n_23561),
+	.Y(n_35554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008631 (
+	.A_N(n_23513),
+	.B(n_24304),
+	.C(n_22086),
+	.D(n_22089),
+	.Y(n_35556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008632 (
+	.A_N(n_23497),
+	.B(n_22039),
+	.C(n_36444),
+	.D(n_24290),
+	.Y(n_35557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008636 (
+	.A_N(n_35757),
+	.B(n_84663),
+	.C(n_21657),
+	.D(n_24223),
+	.Y(n_35561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008637 (
+	.A_N(n_35765),
+	.B(n_24209),
+	.C(n_76263),
+	.D(n_21543),
+	.Y(n_35562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4b_1 g1008638 (
+	.A(n_24131),
+	.B(n_20984),
+	.C(n_20995),
+	.D_N(n_22977),
+	.Y(n_35563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g1008639 (
+	.A_N(n_87026),
+	.B(n_84658),
+	.C(n_35706),
+	.X(n_35564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4b_2 g1008640 (
+	.A(n_22173),
+	.B(n_22176),
+	.C(n_35600),
+	.D_N(n_23556),
+	.Y(n_35565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008650 (
+	.A_N(n_35843),
+	.B(n_20047),
+	.C(n_19774),
+	.D(n_23927),
+	.Y(n_35575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008651 (
+	.A_N(n_35948),
+	.B(n_20748),
+	.C(n_20749),
+	.D(n_35648),
+	.Y(n_35576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008652 (
+	.A_N(n_35845),
+	.B(n_20079),
+	.C(n_19769),
+	.D(n_23907),
+	.Y(n_35577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008653 (
+	.A_N(n_35846),
+	.B(n_23910),
+	.C(n_20242),
+	.D(n_20739),
+	.Y(n_35578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g1008655 (
+	.A(n_23863),
+	.B(n_20675),
+	.C(n_20778),
+	.D(n_20644),
+	.Y(n_35580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008656 (
+	.A_N(n_20976),
+	.B(n_22962),
+	.C(n_20629),
+	.D(n_20637),
+	.Y(n_35581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008657 (
+	.A_N(n_22522),
+	.B(n_20638),
+	.C(n_19639),
+	.D(n_23859),
+	.Y(n_35582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008658 (
+	.A_N(n_35866),
+	.B(n_87028),
+	.C(n_20616),
+	.D(n_20622),
+	.Y(n_35583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008659 (
+	.A_N(n_20579),
+	.B(n_23824),
+	.C(n_20576),
+	.D(n_20573),
+	.Y(n_35584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008660 (
+	.A_N(n_35871),
+	.B(n_23815),
+	.C(n_20563),
+	.D(n_84662),
+	.Y(n_35585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008661 (
+	.A_N(n_35874),
+	.B(n_35680),
+	.C(n_20551),
+	.D(n_20552),
+	.Y(n_35586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008662 (
+	.A_N(n_35876),
+	.B(n_19692),
+	.C(n_19758),
+	.D(n_23807),
+	.Y(n_35587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008663 (
+	.A_N(n_35879),
+	.B(n_35685),
+	.C(n_20503),
+	.D(n_20505),
+	.Y(n_35588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008664 (
+	.A_N(n_22349),
+	.B(n_20472),
+	.C(n_20476),
+	.D(n_23627),
+	.Y(n_35589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008665 (
+	.A_N(n_22321),
+	.B(n_20461),
+	.C(n_20462),
+	.D(n_23623),
+	.Y(n_35590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008667 (
+	.A_N(n_35885),
+	.B(n_35690),
+	.C(n_20444),
+	.D(n_20443),
+	.Y(n_35592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008668 (
+	.A_N(n_22292),
+	.B(n_35692),
+	.C(n_20424),
+	.D(n_20433),
+	.Y(n_35593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008669 (
+	.A_N(n_35972),
+	.B(n_35807),
+	.C(n_20271),
+	.D(n_20580),
+	.Y(n_35594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008670 (
+	.A_N(n_35889),
+	.B(n_23591),
+	.C(n_19880),
+	.D(n_20374),
+	.Y(n_35595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008671 (
+	.A_N(n_22240),
+	.B(n_19913),
+	.C(n_20335),
+	.D(n_35835),
+	.Y(n_35596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008672 (
+	.A_N(n_22245),
+	.B(n_20363),
+	.C(n_19887),
+	.D(n_35698),
+	.Y(n_35597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008673 (
+	.A_N(n_22224),
+	.B(n_20319),
+	.C(n_20320),
+	.D(n_23569),
+	.Y(n_35598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008675 (
+	.A_N(n_22188),
+	.B(n_20295),
+	.C(n_20297),
+	.D(n_22853),
+	.Y(n_35600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008676 (
+	.A_N(n_35894),
+	.B(n_23553),
+	.C(n_20279),
+	.D(n_20281),
+	.Y(n_35601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008677 (
+	.A_N(n_22151),
+	.B(n_35715),
+	.C(n_20253),
+	.D(n_20254),
+	.Y(n_35602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008678 (
+	.A_N(n_35897),
+	.B(n_35712),
+	.C(n_20249),
+	.D(n_20247),
+	.Y(n_35603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008679 (
+	.A_N(n_20188),
+	.B(n_87030),
+	.C(n_20186),
+	.D(n_20185),
+	.Y(n_35604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008680 (
+	.A_N(n_35909),
+	.B(n_20130),
+	.C(n_20128),
+	.D(n_22849),
+	.Y(n_35605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008682 (
+	.A_N(n_84653),
+	.B(n_20122),
+	.C(n_20123),
+	.D(n_23507),
+	.Y(n_35607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008684 (
+	.A_N(n_22011),
+	.B(n_20054),
+	.C(n_20055),
+	.D(n_76071),
+	.Y(n_35609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g1008685 (
+	.A(n_23478),
+	.B(n_19919),
+	.C(n_20008),
+	.D(n_20009),
+	.Y(n_35610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008686 (
+	.A_N(n_35923),
+	.B(n_19664),
+	.C(n_19995),
+	.D(n_22837),
+	.Y(n_35611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008687 (
+	.A_N(n_21932),
+	.B(n_20060),
+	.C(n_19984),
+	.D(n_23450),
+	.Y(n_35612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008688 (
+	.A_N(n_21923),
+	.B(n_76073),
+	.C(n_20562),
+	.D(n_19989),
+	.Y(n_35613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g1008690 (
+	.A_N(n_35741),
+	.B(n_21901),
+	.C(n_21899),
+	.X(n_35615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g1008691 (
+	.A_N(n_35742),
+	.B(n_21878),
+	.C(n_34788),
+	.X(n_35616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g1008692 (
+	.A_N(n_23441),
+	.B(n_34790),
+	.C(n_34789),
+	.X(n_35617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008693 (
+	.A_N(n_21863),
+	.B(n_19968),
+	.C(n_19856),
+	.D(n_35745),
+	.Y(n_35618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008694 (
+	.A_N(n_35927),
+	.B(n_19912),
+	.C(n_19910),
+	.D(n_23412),
+	.Y(n_35619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008695 (
+	.A_N(n_35929),
+	.B(n_35751),
+	.C(n_19953),
+	.D(n_20100),
+	.Y(n_35620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008696 (
+	.A_N(n_21719),
+	.B(n_23379),
+	.C(n_84643),
+	.D(n_19997),
+	.Y(n_35621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008698 (
+	.A_N(n_21522),
+	.B(n_19889),
+	.C(n_19831),
+	.D(n_22822),
+	.Y(n_35623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008699 (
+	.A_N(n_21511),
+	.B(n_19885),
+	.C(n_19849),
+	.D(n_23283),
+	.Y(n_35624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008703 (
+	.A_N(n_21407),
+	.B(n_19945),
+	.C(n_19857),
+	.D(n_23939),
+	.Y(n_35628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008704 (
+	.A_N(n_35942),
+	.B(n_35771),
+	.C(n_19852),
+	.D(n_20287),
+	.Y(n_35629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008705 (
+	.A_N(n_21346),
+	.B(n_19924),
+	.C(n_20317),
+	.D(n_23185),
+	.Y(n_35630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008708 (
+	.A_N(n_21208),
+	.B(n_19675),
+	.C(n_19793),
+	.D(n_35839),
+	.Y(n_35633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008709 (
+	.A_N(n_19694),
+	.B(n_85054),
+	.C(n_19790),
+	.D(n_20214),
+	.Y(n_35634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008710 (
+	.A_N(n_21149),
+	.B(n_19873),
+	.C(n_19782),
+	.D(n_23070),
+	.Y(n_35635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008711 (
+	.A_N(n_35961),
+	.B(n_35798),
+	.C(n_20299),
+	.D(n_20269),
+	.Y(n_35636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008712 (
+	.A_N(n_21123),
+	.B(n_23061),
+	.C(n_20241),
+	.D(n_19772),
+	.Y(n_35637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008714 (
+	.A_N(n_21838),
+	.B(n_23063),
+	.C(n_19753),
+	.D(n_20248),
+	.Y(n_35639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008716 (
+	.A_N(n_20986),
+	.B(n_35808),
+	.C(n_20032),
+	.D(n_19897),
+	.Y(n_35641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008717 (
+	.A_N(n_35974),
+	.B(n_22973),
+	.C(n_20302),
+	.D(n_20543),
+	.Y(n_35642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1008718 (
+	.A_N(n_35977),
+	.B(n_20348),
+	.C(n_19708),
+	.D(n_87137),
+	.Y(n_35643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g1008719 (
+	.A1(n_17386),
+	.A2(n_18471),
+	.B1(n_16778),
+	.B2(n_18426),
+	.C1(n_21546),
+	.Y(n_35644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g1008720 (
+	.A_N(n_21565),
+	.B(n_19901),
+	.C(n_19660),
+	.X(n_35645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008721 (
+	.A1(FE_DBTN102_n_18754),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [5]),
+	.B1(n_18606),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [5]),
+	.C1(n_22683),
+	.Y(n_35646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008722 (
+	.A1(n_19262),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [26]),
+	.B1(n_19436),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [26]),
+	.C1(n_21102),
+	.Y(n_35647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008723 (
+	.A1(n_18127),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [28]),
+	.B1(n_18169),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [28]),
+	.C1(n_35844),
+	.Y(n_35648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008724 (
+	.A1(n_19237),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [4]),
+	.B1(n_18676),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [4]),
+	.C1(n_35960),
+	.Y(n_35649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008725 (
+	.A1(n_19338),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [11]),
+	.B1(n_18565),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [11]),
+	.C1(n_22493),
+	.Y(n_35650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008729 (
+	.A1(n_18484),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [27]),
+	.B1(n_18375),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [27]),
+	.C1(n_22597),
+	.Y(n_35654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1008730 (
+	.A(n_84644),
+	.B(n_20663),
+	.C(n_35849),
+	.Y(n_35655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008731 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [27]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [27]),
+	.C1(n_20892),
+	.Y(n_35656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g1008732 (
+	.A1(n_17351),
+	.A2(n_19479),
+	.B1(n_20763),
+	.C1(n_22574),
+	.Y(n_35657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008733 (
+	.A1(n_18397),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [12]),
+	.B1(n_18988),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [12]),
+	.C1(n_22700),
+	.Y(n_35658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008734 (
+	.A1(n_18531),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [27]),
+	.B1(n_18897),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [27]),
+	.C1(n_22515),
+	.Y(n_35659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008735 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [12]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [12]),
+	.C1(n_22543),
+	.Y(n_35660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008736 (
+	.A1(n_18169),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [27]),
+	.B1(n_18676),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [27]),
+	.C1(n_22537),
+	.Y(n_35661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008737 (
+	.A1(n_19480),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [3]),
+	.B1(n_19106),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [3]),
+	.C1(n_35861),
+	.Y(n_35662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008738 (
+	.A1(n_19262),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [27]),
+	.B1(n_19436),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [27]),
+	.C1(n_22535),
+	.Y(n_35663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008739 (
+	.A1(n_19106),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [3]),
+	.B1(n_19154),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [3]),
+	.C1(n_22527),
+	.Y(n_35664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008741 (
+	.A1(FE_DBTN102_n_18754),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [3]),
+	.B1(n_18606),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [3]),
+	.C1(n_22517),
+	.Y(n_35666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008742 (
+	.A1(n_18484),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [3]),
+	.B1(n_18375),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [3]),
+	.C1(n_22507),
+	.Y(n_35667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008743 (
+	.A1(n_19157),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [2]),
+	.B1(n_18743),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [2]),
+	.C1(n_22625),
+	.Y(n_35668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008747 (
+	.A1(n_19503),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [2]),
+	.B1(n_19154),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [2]),
+	.C1(n_22494),
+	.Y(n_35672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008748 (
+	.A1(n_18127),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [27]),
+	.B1(n_18169),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [27]),
+	.C1(n_22624),
+	.Y(n_35673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008750 (
+	.A1(n_19480),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [26]),
+	.B1(n_19106),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [26]),
+	.C1(n_22464),
+	.Y(n_35675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008751 (
+	.A1(n_18169),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [1]),
+	.B1(n_18676),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [1]),
+	.C1(n_22449),
+	.Y(n_35676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008752 (
+	.A1(n_19106),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [26]),
+	.B1(n_19154),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [26]),
+	.C1(n_22444),
+	.Y(n_35677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008753 (
+	.A1(n_18397),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [11]),
+	.B1(n_18988),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [11]),
+	.C1(n_22442),
+	.Y(n_35678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008754 (
+	.A1(n_18604),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [11]),
+	.B1(n_18533),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [11]),
+	.C1(n_22418),
+	.Y(n_35679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008755 (
+	.A1(n_18910),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [26]),
+	.B1(n_18855),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [26]),
+	.C1(n_35875),
+	.Y(n_35680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008757 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [11]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [11]),
+	.C1(n_22408),
+	.Y(n_35682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008758 (
+	.A1(n_19262),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [25]),
+	.B1(n_19436),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [25]),
+	.C1(n_22385),
+	.Y(n_35683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_2 g1008759 (
+	.A1(FE_DBTN102_n_18754),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [25]),
+	.B1(n_18606),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [25]),
+	.C1(n_22378),
+	.Y(n_35684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008760 (
+	.A1(n_19188),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [0]),
+	.B1(n_18250),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [0]),
+	.C1(n_22374),
+	.Y(n_35685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_2 g1008761 (
+	.A1(n_19452),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [25]),
+	.B1(n_19138),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [25]),
+	.C1(n_22360),
+	.Y(n_35686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008762 (
+	.A1(n_19106),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [25]),
+	.B1(n_19154),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [25]),
+	.C1(n_22330),
+	.Y(n_35687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008764 (
+	.A1(n_18215),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [10]),
+	.B1(n_18311),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [10]),
+	.C1(n_22307),
+	.Y(n_35689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008765 (
+	.A1(n_18484),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [25]),
+	.B1(n_18375),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [25]),
+	.C1(n_22311),
+	.Y(n_35690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008766 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [10]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [10]),
+	.C1(n_22298),
+	.Y(n_35691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008767 (
+	.A1(n_18897),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [21]),
+	.B1(n_18531),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [21]),
+	.C1(n_22284),
+	.Y(n_35692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008768 (
+	.A1(FE_DBTN102_n_18754),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [24]),
+	.B1(n_18606),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [24]),
+	.C1(n_22294),
+	.Y(n_35693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g1008770 (
+	.A_N(n_22283),
+	.B(n_20398),
+	.C(n_20399),
+	.X(n_35695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008771 (
+	.A1(n_19106),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [24]),
+	.B1(n_19154),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [24]),
+	.C1(n_22277),
+	.Y(n_35696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008772 (
+	.A1(n_19106),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [24]),
+	.B1(n_19154),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [24]),
+	.C1(n_22266),
+	.Y(n_35697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008773 (
+	.A1(n_18484),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [24]),
+	.B1(n_18375),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [24]),
+	.C1(n_22250),
+	.Y(n_35698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1008776 (
+	.A(n_20337),
+	.B(n_20336),
+	.C(n_22230),
+	.Y(n_35701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_2 g1008777 (
+	.A1(n_16643),
+	.A2(n_18471),
+	.B1(n_16715),
+	.B2(n_18426),
+	.C1(n_22226),
+	.Y(n_35702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008778 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [9]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [9]),
+	.C1(n_22225),
+	.Y(n_35703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008781 (
+	.A1(n_74158),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [23]),
+	.B1(n_18606),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [23]),
+	.C1(n_22198),
+	.Y(n_35706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008784 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [8]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [8]),
+	.C1(n_22167),
+	.Y(n_35709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008785 (
+	.A1(n_19157),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [22]),
+	.B1(n_18743),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [22]),
+	.C1(n_22162),
+	.Y(n_35710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008786 (
+	.A1(n_18897),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [8]),
+	.B1(n_18531),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [8]),
+	.C1(n_22155),
+	.Y(n_35711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008787 (
+	.A1(n_18484),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [22]),
+	.B1(n_18375),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [22]),
+	.C1(n_22158),
+	.Y(n_35712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008790 (
+	.A1(n_18897),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [20]),
+	.B1(n_18531),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [20]),
+	.C1(n_22133),
+	.Y(n_35715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008791 (
+	.A1(n_19106),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [22]),
+	.B1(n_19154),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [22]),
+	.C1(n_35901),
+	.Y(n_35716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008792 (
+	.A1(n_19157),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [22]),
+	.B1(n_18638),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [22]),
+	.C1(n_84652),
+	.Y(n_35717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008793 (
+	.A1(n_18169),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [22]),
+	.B1(n_18676),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [22]),
+	.C1(n_22128),
+	.Y(n_35718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008794 (
+	.A1(n_18250),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [22]),
+	.B1(n_19188),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [22]),
+	.C1(n_22124),
+	.Y(n_35719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008795 (
+	.A1(n_18910),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [21]),
+	.B1(n_18127),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [21]),
+	.C1(n_35905),
+	.Y(n_35720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008797 (
+	.A1(n_18897),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [7]),
+	.B1(n_18531),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [7]),
+	.C1(n_22093),
+	.Y(n_35722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008798 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [7]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [7]),
+	.C1(n_22081),
+	.Y(n_35723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008802 (
+	.A1(n_19157),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [20]),
+	.B1(n_18743),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [20]),
+	.C1(n_22042),
+	.Y(n_35727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008803 (
+	.A1(n_18311),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [6]),
+	.B1(n_18215),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [6]),
+	.C1(n_22036),
+	.Y(n_35728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g1008804 (
+	.A1(n_16768),
+	.A2(n_19479),
+	.B1(n_20073),
+	.C1(n_22030),
+	.Y(n_35729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008805 (
+	.A1(n_19106),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [20]),
+	.B1(n_19154),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [20]),
+	.C1(n_22016),
+	.Y(n_35730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008807 (
+	.A1(n_18910),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [20]),
+	.B1(n_18127),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [20]),
+	.C1(n_35919),
+	.Y(n_35732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008808 (
+	.A1(n_18250),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [20]),
+	.B1(n_19188),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [20]),
+	.C1(n_21999),
+	.Y(n_35733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g1008810 (
+	.A_N(n_21975),
+	.B(n_84660),
+	.C(n_20012),
+	.X(n_35735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g1008811 (
+	.A_N(n_21972),
+	.B(n_20401),
+	.C(n_20022),
+	.X(n_35736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008813 (
+	.A1(n_19106),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [5]),
+	.B1(n_19154),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [5]),
+	.C1(n_22142),
+	.Y(n_35738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008815 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [5]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [5]),
+	.C1(n_21903),
+	.Y(n_35740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g1008816 (
+	.A1(n_16764),
+	.A2(n_19210),
+	.B1(n_17271),
+	.B2(n_19288),
+	.C1(n_21892),
+	.Y(n_35741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g1008817 (
+	.A1(n_16786),
+	.A2(n_19156),
+	.B1(n_17325),
+	.B2(n_35033),
+	.C1(n_21884),
+	.Y(n_35742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_2 g1008820 (
+	.A1(n_18604),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [18]),
+	.B1(n_18533),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [18]),
+	.C1(n_21482),
+	.Y(n_35745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008822 (
+	.A1(n_18674),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [4]),
+	.B1(n_18640),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [4]),
+	.C1(n_21792),
+	.Y(n_35747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_2 g1008826 (
+	.A1(n_18484),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [17]),
+	.B1(n_18375),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [17]),
+	.C1(n_21758),
+	.Y(n_35751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008827 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [4]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [4]),
+	.C1(n_21744),
+	.Y(n_35752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008828 (
+	.A1(n_19503),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [17]),
+	.B1(n_19106),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [17]),
+	.C1(n_21745),
+	.Y(n_35753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g1008832 (
+	.A1(n_17371),
+	.A2(n_18501),
+	.B1(n_16685),
+	.B2(n_19012),
+	.C1(n_21651),
+	.Y(n_35757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g1008833 (
+	.A1(n_17527),
+	.A2(n_19428),
+	.B1(n_16991),
+	.B2(n_19250),
+	.C1(n_21617),
+	.Y(n_35758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008839 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [17]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [17]),
+	.C1(n_21536),
+	.Y(n_35764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g1008840 (
+	.A1(n_17297),
+	.A2(n_19556),
+	.B1(n_16700),
+	.B2(n_19155),
+	.C1(n_21533),
+	.Y(n_35765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008841 (
+	.A1(n_18897),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [17]),
+	.B1(n_18531),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [17]),
+	.C1(n_21499),
+	.Y(n_35766), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1008842 (
+	.A(n_19870),
+	.B(n_19871),
+	.C(n_21464),
+	.Y(n_35767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1008843 (
+	.A(n_20108),
+	.B(n_19867),
+	.C(n_87580),
+	.Y(n_35768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008844 (
+	.A1(n_19106),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [14]),
+	.B1(n_19154),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [14]),
+	.C1(n_21417),
+	.Y(n_35769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008845 (
+	.A1(n_18397),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [1]),
+	.B1(n_18988),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [1]),
+	.C1(n_21410),
+	.Y(n_35770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008846 (
+	.A1(n_18484),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [14]),
+	.B1(n_18375),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [14]),
+	.C1(n_35941),
+	.Y(n_35771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008847 (
+	.A1(n_18315),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [1]),
+	.B1(n_18033),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [1]),
+	.C1(n_21377),
+	.Y(n_35772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008848 (
+	.A1(n_18910),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [13]),
+	.B1(n_18855),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [13]),
+	.C1(n_21369),
+	.Y(n_35773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008849 (
+	.A1(FE_DBTN102_n_18754),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [13]),
+	.B1(n_18606),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [13]),
+	.C1(n_21362),
+	.Y(n_35774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_2 g1008851 (
+	.A1(n_18502),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [13]),
+	.B1(n_19013),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [13]),
+	.C1(n_21357),
+	.Y(n_35776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_2 g1008852 (
+	.A1(n_19452),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [13]),
+	.B1(n_19138),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [13]),
+	.C1(n_21344),
+	.Y(n_35777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008853 (
+	.A1(n_19503),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [13]),
+	.B1(n_19106),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [13]),
+	.C1(n_21336),
+	.Y(n_35778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_2 g1008854 (
+	.A1(n_19106),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [13]),
+	.B1(n_19154),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [13]),
+	.C1(n_21317),
+	.Y(n_35779), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_2 g1008855 (
+	.A1(n_19237),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [13]),
+	.B1(n_18855),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [13]),
+	.C1(n_35946),
+	.Y(n_35780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008856 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [16]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [16]),
+	.C1(n_21292),
+	.Y(n_35781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008857 (
+	.A1(n_18250),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [13]),
+	.B1(n_19188),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [13]),
+	.C1(n_20889),
+	.Y(n_35782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_2 g1008858 (
+	.A1(n_19452),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [13]),
+	.B1(n_19138),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [13]),
+	.C1(n_21289),
+	.Y(n_35783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008859 (
+	.A1(n_18897),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [16]),
+	.B1(n_18531),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [16]),
+	.C1(n_21260),
+	.Y(n_35784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008860 (
+	.A1(n_18127),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [12]),
+	.B1(n_18169),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [12]),
+	.C1(n_21273),
+	.Y(n_35785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_2 g1008864 (
+	.A1(n_19452),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [12]),
+	.B1(n_19138),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [12]),
+	.C1(n_21252),
+	.Y(n_35789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_2 g1008865 (
+	.A1(n_19480),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [12]),
+	.B1(n_19106),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [12]),
+	.C1(n_21249),
+	.Y(n_35790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008866 (
+	.A1(n_19106),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [12]),
+	.B1(n_19154),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [12]),
+	.C1(n_21233),
+	.Y(n_35791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008868 (
+	.A1(n_19138),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [11]),
+	.B1(n_19452),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [11]),
+	.C1(n_21987),
+	.Y(n_35793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008870 (
+	.A1(n_19157),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [11]),
+	.B1(n_18638),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [11]),
+	.C1(n_84642),
+	.Y(n_35795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008872 (
+	.A1(n_18484),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [11]),
+	.B1(n_18375),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [11]),
+	.C1(n_21139),
+	.Y(n_35797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008873 (
+	.A1(n_18897),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [15]),
+	.B1(n_18531),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [15]),
+	.C1(n_21097),
+	.Y(n_35798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008876 (
+	.A1(n_19452),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [10]),
+	.B1(n_19138),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [10]),
+	.C1(n_21076),
+	.Y(n_35801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_2 g1008881 (
+	.A1(n_19185),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [14]),
+	.B1(n_19073),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [14]),
+	.C1(n_21281),
+	.Y(n_35806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008882 (
+	.A1(n_18484),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [8]),
+	.B1(n_18375),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [8]),
+	.C1(n_20999),
+	.Y(n_35807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008883 (
+	.A1(FE_DBTN102_n_18754),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [8]),
+	.B1(n_18606),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [8]),
+	.C1(n_20991),
+	.Y(n_35808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008887 (
+	.A1(n_19106),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [8]),
+	.B1(n_19154),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [8]),
+	.C1(n_20957),
+	.Y(n_35812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008892 (
+	.A1(n_19452),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [7]),
+	.B1(n_19138),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [7]),
+	.C1(n_20912),
+	.Y(n_35817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008894 (
+	.A1(FE_DBTN102_n_18754),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [7]),
+	.B1(n_18606),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [7]),
+	.C1(n_21953),
+	.Y(n_35819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008901 (
+	.A1(n_18910),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [7]),
+	.B1(n_18855),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [7]),
+	.C1(n_35882),
+	.Y(n_35826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008902 (
+	.A1(n_18375),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [7]),
+	.B1(n_19188),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [7]),
+	.C1(n_20869),
+	.Y(n_35827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008904 (
+	.A1(n_18484),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [6]),
+	.B1(n_18375),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [6]),
+	.C1(n_20837),
+	.Y(n_35829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008906 (
+	.A1(FE_DBTN102_n_18754),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [28]),
+	.B1(n_18606),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [28]),
+	.C1(n_35989),
+	.Y(n_35831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008907 (
+	.A1(n_18397),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [27]),
+	.B1(n_18988),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [27]),
+	.C1(n_20814),
+	.Y(n_35832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1008908 (
+	.A(n_20821),
+	.B(n_19744),
+	.C(n_20688),
+	.Y(n_35833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1008909 (
+	.A1(n_18271),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [9]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [9]),
+	.C1(n_18380),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [9]),
+	.Y(n_35834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008910 (
+	.A1(n_19338),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [20]),
+	.B1(n_18380),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [20]),
+	.C1(n_20365),
+	.Y(n_35835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g1008912 (
+	.A1(n_16848),
+	.A2(n_18264),
+	.B1(n_20150),
+	.C1(n_20378),
+	.X(n_35837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1008914 (
+	.A1(n_19338),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [15]),
+	.B1(n_18380),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [15]),
+	.C1(n_20477),
+	.Y(n_35839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008915 (
+	.A1(FE_DBTN102_n_18754),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [17]),
+	.B1(n_18606),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [17]),
+	.X(n_35840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008918 (
+	.A1(n_18502),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [28]),
+	.B1(FE_DBTN102_n_18754),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [28]),
+	.X(n_35843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008919 (
+	.A1(n_19262),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [28]),
+	.B1(n_19436),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [28]),
+	.X(n_35844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008920 (
+	.A1(n_18484),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [28]),
+	.B1(n_18375),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [28]),
+	.X(n_35845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008921 (
+	.A1(n_74158),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [5]),
+	.B1(n_18606),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [5]),
+	.X(n_35846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008922 (
+	.A1(n_18250),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [28]),
+	.B1(n_19188),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [28]),
+	.X(n_35847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008923 (
+	.A1(n_19262),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [4]),
+	.B1(n_19436),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [4]),
+	.X(n_35848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1008924 (
+	.A1(FE_DBTN102_n_18754),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [27]),
+	.B1(n_18606),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [27]),
+	.Y(n_35849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008929 (
+	.A1(n_18484),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [4]),
+	.B1(n_18375),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [4]),
+	.X(n_35854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008930 (
+	.A1(n_18250),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [4]),
+	.B1(n_19188),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [4]),
+	.X(n_35855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008931 (
+	.A1(n_35038),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [27]),
+	.B1(n_19188),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [27]),
+	.X(n_35856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008932 (
+	.A1(n_18484),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [3]),
+	.B1(n_18375),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [3]),
+	.X(n_35857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008933 (
+	.A1(n_19157),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [27]),
+	.B1(n_18638),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [27]),
+	.X(n_35858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008935 (
+	.A1(n_19262),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [3]),
+	.B1(n_19436),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [3]),
+	.X(n_35860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008936 (
+	.A1(n_74158),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [3]),
+	.B1(n_19154),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [3]),
+	.X(n_35861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008937 (
+	.A1(n_18250),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [27]),
+	.B1(n_19188),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [27]),
+	.X(n_35862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008939 (
+	.A1(FE_DBTN102_n_18754),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [26]),
+	.B1(n_18606),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [26]),
+	.X(n_35864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008940 (
+	.A1(n_19013),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [26]),
+	.B1(n_18502),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [26]),
+	.X(n_35865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008941 (
+	.A1(n_18127),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [2]),
+	.B1(n_18169),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [2]),
+	.X(n_35866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008942 (
+	.A1(n_19157),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [26]),
+	.B1(n_18743),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [26]),
+	.X(n_35867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008943 (
+	.A1(n_35038),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [26]),
+	.B1(n_19277),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [26]),
+	.X(n_35868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008944 (
+	.A1(n_18094),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [26]),
+	.B1(n_19549),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [26]),
+	.X(n_35869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008946 (
+	.A1(n_19157),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [26]),
+	.B1(n_18638),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [26]),
+	.X(n_35871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008947 (
+	.A1(n_74158),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [26]),
+	.B1(n_18606),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [26]),
+	.X(n_35872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008949 (
+	.A1(n_18127),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [26]),
+	.B1(n_18169),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [26]),
+	.X(n_35874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008950 (
+	.A1(n_19262),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [26]),
+	.B1(n_19436),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [26]),
+	.X(n_35875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008951 (
+	.A1(n_18484),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [26]),
+	.B1(n_18375),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [26]),
+	.X(n_35876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008952 (
+	.A1(n_18250),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [26]),
+	.B1(n_19188),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [26]),
+	.X(n_35877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008953 (
+	.A1(n_18897),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [11]),
+	.B1(n_18531),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [11]),
+	.X(n_35878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008954 (
+	.A1(n_18484),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [0]),
+	.B1(n_18375),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [0]),
+	.X(n_35879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008955 (
+	.A1(n_19013),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [25]),
+	.B1(n_18502),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [25]),
+	.X(n_35880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_2 g1008956 (
+	.A1_N(n_16759),
+	.A2_N(n_19155),
+	.B1(n_19549),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [25]),
+	.X(n_35881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008957 (
+	.A1(n_19262),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [7]),
+	.B1(n_19436),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [7]),
+	.X(n_35882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008960 (
+	.A1(n_18250),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [25]),
+	.B1(n_19188),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [25]),
+	.X(n_35885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008961 (
+	.A1(n_19262),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [24]),
+	.B1(n_19436),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [24]),
+	.X(n_35886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 g1008962 (
+	.A1_N(n_17596),
+	.A2_N(n_18313),
+	.B1(n_18033),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [10]),
+	.X(n_35887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008964 (
+	.A1(n_18127),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [24]),
+	.B1(n_18169),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [24]),
+	.X(n_35889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008965 (
+	.A1(n_19262),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [24]),
+	.B1(n_19436),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [24]),
+	.X(n_35890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008966 (
+	.A1(n_19157),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [23]),
+	.B1(n_18638),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [23]),
+	.X(n_35891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008967 (
+	.A1(n_18169),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [23]),
+	.B1(n_18676),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [23]),
+	.X(n_35892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008968 (
+	.A1(n_18910),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [23]),
+	.B1(n_18127),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [23]),
+	.X(n_35893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008969 (
+	.A1(n_18127),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [22]),
+	.B1(n_18169),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [22]),
+	.X(n_35894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008970 (
+	.A1(n_19262),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [22]),
+	.B1(n_19436),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [22]),
+	.X(n_35895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008971 (
+	.A1(FE_DBTN102_n_18754),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [22]),
+	.B1(n_18606),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [22]),
+	.X(n_35896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008972 (
+	.A1(n_18250),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [22]),
+	.B1(n_19188),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [22]),
+	.X(n_35897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008973 (
+	.A1(n_19480),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [22]),
+	.B1(n_19106),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [22]),
+	.X(n_35898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008975 (
+	.A1(n_19185),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [8]),
+	.B1(n_19398),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [8]),
+	.X(n_35900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008976 (
+	.A1(n_18034),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [22]),
+	.B1(n_18094),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [22]),
+	.X(n_35901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008979 (
+	.A1(n_18484),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [22]),
+	.B1(n_18375),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [22]),
+	.X(n_35904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008980 (
+	.A1(n_19262),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [21]),
+	.B1(n_19436),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [21]),
+	.X(n_35905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008982 (
+	.A1(FE_DBTN102_n_18754),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [21]),
+	.B1(n_18606),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [21]),
+	.X(n_35907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008984 (
+	.A1(n_19106),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [21]),
+	.B1(n_19154),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [21]),
+	.X(n_35909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008989 (
+	.A1(FE_DBTN102_n_18754),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [20]),
+	.B1(n_18606),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [20]),
+	.X(n_35914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008990 (
+	.A1(n_18674),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [6]),
+	.B1(n_18640),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [6]),
+	.X(n_35915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008993 (
+	.A1(n_18169),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [20]),
+	.B1(n_18676),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [20]),
+	.X(n_35918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008994 (
+	.A1(n_19262),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [20]),
+	.B1(n_19436),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [20]),
+	.X(n_35919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008995 (
+	.A1(n_19262),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [19]),
+	.B1(n_19436),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [19]),
+	.X(n_35920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008998 (
+	.A1(n_19106),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [19]),
+	.B1(n_19154),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [19]),
+	.X(n_35923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1008999 (
+	.A1(n_18537),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [5]),
+	.B1(n_18376),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [5]),
+	.X(n_35924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1009000 (
+	.A1(n_19157),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [18]),
+	.B1(n_18638),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [18]),
+	.X(n_35925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1009002 (
+	.A1(n_19262),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [17]),
+	.B1(n_19436),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [17]),
+	.X(n_35927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1009004 (
+	.A1(n_18250),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [17]),
+	.B1(n_19188),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [17]),
+	.X(n_35929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g1009008 (
+	.A1_N(n_17482),
+	.A2_N(n_18264),
+	.B1(n_19332),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [2]),
+	.Y(n_35933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1009010 (
+	.A1(n_19013),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [15]),
+	.B1(n_19157),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [15]),
+	.X(n_35935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1009013 (
+	.A1(n_19185),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [1]),
+	.B1(n_19103),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [1]),
+	.X(n_35938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1009014 (
+	.A1(n_19157),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [14]),
+	.B1(n_18638),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [14]),
+	.X(n_35939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1009016 (
+	.A1(n_35038),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [14]),
+	.B1(n_19277),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [14]),
+	.X(n_35941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1009017 (
+	.A1(n_18250),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [14]),
+	.B1(n_19188),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [14]),
+	.X(n_35942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 g1009019 (
+	.A1(n_17577),
+	.A2(n_18312),
+	.B1_N(n_19825),
+	.X(n_35944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1009021 (
+	.A1(n_19262),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [13]),
+	.B1(n_19436),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [13]),
+	.X(n_35946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1009023 (
+	.A1(n_18910),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [28]),
+	.B1(n_18855),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [28]),
+	.X(n_35948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1009024 (
+	.A1(n_19262),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [12]),
+	.B1(n_19436),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [12]),
+	.X(n_35949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g1009026 (
+	.A1_N(n_17404),
+	.A2_N(n_19186),
+	.B1(n_18271),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [0]),
+	.Y(n_35951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1009027 (
+	.A1(n_18484),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [12]),
+	.B1(n_18375),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [12]),
+	.X(n_35952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1009028 (
+	.A1(n_18250),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [12]),
+	.B1(n_19188),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [12]),
+	.X(n_35953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1009030 (
+	.A1(n_18169),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [30]),
+	.B1(n_18676),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [30]),
+	.X(n_35955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1009031 (
+	.A1(n_18250),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [30]),
+	.B1(n_19188),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [30]),
+	.X(n_35956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1009034 (
+	.A1(n_19013),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [10]),
+	.B1(n_18502),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [10]),
+	.X(n_35959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1009035 (
+	.A1(n_18127),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [4]),
+	.B1(n_18169),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [4]),
+	.X(n_35960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1009036 (
+	.A1(n_18787),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [15]),
+	.B1(n_19043),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [15]),
+	.X(n_35961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1009038 (
+	.A1(n_19262),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [10]),
+	.B1(n_19436),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [10]),
+	.X(n_35963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1009040 (
+	.A1(n_18250),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [9]),
+	.B1(n_19188),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [9]),
+	.X(n_35965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1009041 (
+	.A1(n_18127),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [30]),
+	.B1(n_18169),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [30]),
+	.X(n_35966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1009043 (
+	.A1(FE_DBTN102_n_18754),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [9]),
+	.B1(n_18606),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [9]),
+	.X(n_35968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1009044 (
+	.A1(n_18250),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [30]),
+	.B1(n_19188),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [30]),
+	.X(n_35969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1009047 (
+	.A1(n_18250),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [8]),
+	.B1(n_19188),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [8]),
+	.X(n_35972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1009049 (
+	.A1(n_18169),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [8]),
+	.B1(n_18676),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [8]),
+	.X(n_35974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1009051 (
+	.A1(n_19262),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [8]),
+	.B1(n_19436),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [8]),
+	.X(n_35976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1009052 (
+	.A1(n_19480),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [8]),
+	.B1(n_19106),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [8]),
+	.X(n_35977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1009053 (
+	.A1(n_18250),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [3]),
+	.B1(n_19188),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [3]),
+	.X(n_35978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1009055 (
+	.A1(n_18910),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [7]),
+	.B1(n_18127),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [7]),
+	.X(n_35980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1009056 (
+	.A1(n_18484),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [7]),
+	.B1(n_18375),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [7]),
+	.X(n_35981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1009057 (
+	.A1(n_18250),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [7]),
+	.B1(n_19188),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [7]),
+	.X(n_35982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1009058 (
+	.A1(n_19277),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [27]),
+	.B1(n_18250),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [27]),
+	.X(n_35983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1009060 (
+	.A1(n_19237),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [7]),
+	.B1(n_18676),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [7]),
+	.X(n_35985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1009062 (
+	.A1(n_18910),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [28]),
+	.B1(n_18127),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [28]),
+	.X(n_35987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1009064 (
+	.A1(n_19013),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [28]),
+	.B1(n_18502),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [28]),
+	.X(n_35989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g1009065 (
+	.A1_N(n_16853),
+	.A2_N(n_18501),
+	.B1(n_19157),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [6]),
+	.Y(n_35990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1009066 (
+	.A1(n_17956),
+	.A2(n_17955),
+	.B1_N(soc_top_u_top_u_core_rf_waddr_wb[1]),
+	.X(n_35991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1009067 (
+	.A1(n_74161),
+	.A2(n_17962),
+	.B1_N(n_16620),
+	.X(n_35992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1009068 (
+	.A(n_35995),
+	.B(soc_top_u_top_u_core_alu_operator_ex[0]),
+	.C(n_37444),
+	.Y(n_35993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g1009069 (
+	.A(n_17760),
+	.B(n_17751),
+	.C(n_17643),
+	.D(n_17650),
+	.Y(n_35994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1009070 (
+	.A1(n_17736),
+	.A2(n_773),
+	.B1_N(FE_DBTN144_soc_top_u_top_u_core_alu_operator_ex_1),
+	.X(n_35995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1009073 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[17]),
+	.B(FE_DBTN148_soc_top_u_top_u_core_instr_rdata_alu_id_18),
+	.C(soc_top_u_top_u_core_instr_rdata_alu_id[16]),
+	.Y(n_35998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1009074 (
+	.A1(n_29591),
+	.A2(n_29592),
+	.B1_N(n_28741),
+	.Y(n_35999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1009084 (
+	.A1(n_16471),
+	.A2(n_16462),
+	.B1(n_16485),
+	.Y(n_36009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g1009097 (
+	.A_N(n_16444),
+	.B(n_36028),
+	.C(n_16421),
+	.X(n_36022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g1009103 (
+	.A(n_16404),
+	.B(n_60488),
+	.C(n_36035),
+	.D(n_16388),
+	.X(n_36028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1009110 (
+	.A1(n_15879),
+	.A2(n_16342),
+	.B1(n_16357),
+	.Y(n_36035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1009115 (
+	.A1(n_16276),
+	.A2(n_16275),
+	.B1_N(n_15807),
+	.X(n_36040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1009117 (
+	.A(n_16271),
+	.B(n_15808),
+	.C(n_16276),
+	.Y(n_36042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g1009124 (
+	.A1(n_16197),
+	.A2(n_16248),
+	.B1(n_16193),
+	.B2(n_69455),
+	.X(n_36049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g1009127 (
+	.A1(n_53845),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [25]),
+	.B1(n_16248),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [27]),
+	.X(n_36052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g1009128 (
+	.A1(n_42563),
+	.A2(n_76963),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [23]),
+	.C1(FE_DBTN108_n_71084),
+	.Y(n_36053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_2 g1009130 (
+	.A(n_36083),
+	.B(n_36077),
+	.C(n_36067),
+	.Y(n_36055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1009132 (
+	.A1(n_16182),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [17]),
+	.B1_N(n_16178),
+	.X(n_36057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1009134 (
+	.A1(n_16196),
+	.A2(n_30585),
+	.B1_N(n_16166),
+	.X(n_36059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1009140 (
+	.A(n_16001),
+	.B(n_16090),
+	.C(n_15989),
+	.Y(n_36065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g1009141 (
+	.A1(n_35125),
+	.A2(n_75104),
+	.B1(n_16080),
+	.C1(n_15989),
+	.Y(n_36066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1009142 (
+	.A1(n_31377),
+	.A2(n_71922),
+	.B1_N(n_36390),
+	.X(n_36067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g1009143 (
+	.A1(n_31383),
+	.A2(n_71922),
+	.B1_N(n_36395),
+	.X(n_36068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1009152 (
+	.A1(n_31378),
+	.A2(n_71922),
+	.B1_N(n_36393),
+	.X(n_36077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1009156 (
+	.A1(n_31382),
+	.A2(n_71922),
+	.B1_N(n_36396),
+	.X(n_36081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1009158 (
+	.A1(n_59589),
+	.A2(n_71922),
+	.B1_N(n_59599),
+	.X(n_36083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 g1009166 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_84 ),
+	.A2(n_15969),
+	.B1_N(n_29790),
+	.X(n_36091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 g1009167 (
+	.A1(FE_DBTN142_soc_top_u_top_u_core_fp_operands_0_28),
+	.A2(n_15969),
+	.B1_N(n_29790),
+	.X(n_36092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_2 g1009168 (
+	.A1(FE_DBTN56_n_59622),
+	.A2(n_15969),
+	.B1_N(n_29790),
+	.X(n_36093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 g1009169 (
+	.A1(n_40737),
+	.A2(n_15969),
+	.B1_N(n_29790),
+	.X(n_36094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_2 g1009170 (
+	.A1(FE_DBTN122_n_59102),
+	.A2(n_15969),
+	.B1_N(n_29790),
+	.X(n_36095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 g1009171 (
+	.A1(FE_DBTN98_n_26214),
+	.A2(n_15969),
+	.B1_N(n_29790),
+	.X(n_36096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1009172 (
+	.A1(n_71922),
+	.A2(n_61662),
+	.B1_N(n_15989),
+	.X(n_36097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 g1009173 (
+	.A1(n_602),
+	.A2(n_15969),
+	.B1_N(n_29790),
+	.X(n_36098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g1009183 (
+	.A(n_15443),
+	.B(n_15306),
+	.C(n_14191),
+	.D(n_14857),
+	.Y(n_36108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1009184 (
+	.A1(n_15372),
+	.A2(n_59697),
+	.B1(n_41606),
+	.Y(n_36109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1009185 (
+	.A1(n_29966),
+	.A2(soc_top_u_top_u_core_pc_if[1]),
+	.B1_N(n_29464),
+	.X(n_36110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1009190 (
+	.A1(n_72609),
+	.A2(n_13449),
+	.B1_N(n_14077),
+	.X(n_36115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1009191 (
+	.A1(n_31613),
+	.A2(n_13449),
+	.B1_N(n_14078),
+	.X(n_36116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1009192 (
+	.A1(n_31615),
+	.A2(n_13449),
+	.B1_N(n_14076),
+	.X(n_36117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1009193 (
+	.A1(n_31617),
+	.A2(n_13449),
+	.B1_N(n_14086),
+	.X(n_36118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1009194 (
+	.A1(n_31614),
+	.A2(n_13449),
+	.B1_N(n_14079),
+	.X(n_36119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1009196 (
+	.A_N(n_29301),
+	.B(soc_top_u_top_u_core_is_fp_instr),
+	.C(n_29299),
+	.D(n_29511),
+	.Y(n_36121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1009220 (
+	.A0(FE_DBTN68_n_54295),
+	.A1(n_54295),
+	.S(n_31197),
+	.X(n_36145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1009240 (
+	.A1(n_12701),
+	.A2(n_12702),
+	.B1(n_12741),
+	.Y(n_36165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1009247 (
+	.A1(n_31299),
+	.A2(n_12283),
+	.B1_N(n_12628),
+	.X(n_36172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g1009248 (
+	.A1(n_31298),
+	.A2(n_12283),
+	.B1_N(n_12630),
+	.X(n_36173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g1009249 (
+	.A1(n_31297),
+	.A2(n_12283),
+	.B1_N(n_12633),
+	.X(n_36174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g1009250 (
+	.A1(n_31295),
+	.A2(n_12283),
+	.B1_N(n_12635),
+	.X(n_36175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1009251 (
+	.A1(n_31294),
+	.A2(n_12283),
+	.B1_N(n_12640),
+	.X(n_36176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g1009252 (
+	.A1(n_31296),
+	.A2(n_12283),
+	.B1_N(n_12634),
+	.X(n_36177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 g1009264 (
+	.A1_N(n_17712),
+	.A2_N(n_85471),
+	.B1(n_17696),
+	.B2(n_17722),
+	.X(n_36189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g1009266 (
+	.A(soc_top_u_top_u_core_id_stage_i_bt_b_mux_sel[2]),
+	.B(soc_top_u_top_u_core_id_stage_i_bt_b_mux_sel[0]),
+	.C_N(soc_top_u_top_u_core_id_stage_i_bt_b_mux_sel[1]),
+	.Y(n_36191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_2 g1009267 (
+	.A1(n_31278),
+	.A2(n_12283),
+	.B1(n_31286),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.X(n_36192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1009287 (
+	.A1(n_31234),
+	.A2(n_12283),
+	.B1(n_31275),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.X(n_36212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_2 g1009290 (
+	.A1(n_31291),
+	.A2(n_12283),
+	.B1(n_31299),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.X(n_36215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1009291 (
+	.A1(n_31290),
+	.A2(n_12283),
+	.B1(n_31298),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.X(n_36216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_2 g1009292 (
+	.A1(n_31289),
+	.A2(n_12283),
+	.B1(n_31297),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.X(n_36217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1009293 (
+	.A1(n_75540),
+	.A2(n_12283),
+	.B1(n_31296),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.X(n_36218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_2 g1009294 (
+	.A1(n_75250),
+	.A2(n_12283),
+	.B1(n_31295),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.X(n_36219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_2 g1009295 (
+	.A1(n_31286),
+	.A2(n_12283),
+	.B1(n_31294),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.X(n_36220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_2 g1009296 (
+	.A1(n_31285),
+	.A2(n_12283),
+	.B1(n_31293),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.X(n_36221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1009297 (
+	.A1(n_31284),
+	.A2(n_12283),
+	.B1(n_31292),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.X(n_36222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1009298 (
+	.A1(n_31283),
+	.A2(n_12283),
+	.B1(n_31291),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.X(n_36223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1009299 (
+	.A1(n_31282),
+	.A2(n_12283),
+	.B1(n_31290),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.X(n_36224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1009307 (
+	.A0(n_30968),
+	.A1(n_55698),
+	.S(n_86613),
+	.X(n_36232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1009308 (
+	.A0(n_30967),
+	.A1(n_30891),
+	.S(n_86613),
+	.X(n_36233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1009309 (
+	.A0(n_30966),
+	.A1(n_30890),
+	.S(n_86613),
+	.X(n_36234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1009311 (
+	.A0(n_30964),
+	.A1(n_30888),
+	.S(n_86613),
+	.X(n_36236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1009312 (
+	.A0(n_30963),
+	.A1(n_30887),
+	.S(n_86613),
+	.X(n_36237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1009313 (
+	.A0(n_30962),
+	.A1(n_30886),
+	.S(n_86613),
+	.X(n_36238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1009314 (
+	.A0(n_30961),
+	.A1(n_30885),
+	.S(n_86613),
+	.X(n_36239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1009315 (
+	.A0(n_37543),
+	.A1(n_30884),
+	.S(n_86613),
+	.X(n_36240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1009316 (
+	.A0(n_30959),
+	.A1(n_30883),
+	.S(n_86613),
+	.X(n_36241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1009318 (
+	.A0(n_30954),
+	.A1(n_30878),
+	.S(n_86613),
+	.X(n_36243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1009319 (
+	.A0(n_30953),
+	.A1(n_30877),
+	.S(n_86613),
+	.X(n_36244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1009320 (
+	.A1(n_48345),
+	.A2(n_30952),
+	.B1(n_86613),
+	.B2(n_30876),
+	.X(n_36245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1009321 (
+	.A0(n_30951),
+	.A1(n_30875),
+	.S(n_86613),
+	.X(n_36246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1009322 (
+	.A1(n_86613),
+	.A2(n_30873),
+	.B1(n_48345),
+	.B2(n_30949),
+	.X(n_36247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1009323 (
+	.A1(n_48345),
+	.A2(n_30948),
+	.B1(n_86613),
+	.B2(n_30872),
+	.X(n_36248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1009324 (
+	.A1(n_48345),
+	.A2(n_30947),
+	.B1(n_86613),
+	.B2(n_30871),
+	.X(n_36249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1009325 (
+	.A1(n_30895),
+	.A2(n_86613),
+	.B1(n_35214),
+	.X(n_36250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1009326 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [53]),
+	.A2(n_15991),
+	.B1_N(n_12132),
+	.X(n_36251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1009327 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [52]),
+	.A2(n_15991),
+	.B1_N(n_12131),
+	.X(n_36252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1009328 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [51]),
+	.A2(n_15991),
+	.B1_N(n_12130),
+	.X(n_36253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1009329 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [50]),
+	.A2(n_16154),
+	.B1_N(n_12129),
+	.X(n_36254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1009330 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [49]),
+	.A2(n_16154),
+	.B1_N(n_12128),
+	.X(n_36255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1009331 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [48]),
+	.A2(n_16154),
+	.B1_N(n_12127),
+	.X(n_36256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1009332 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [47]),
+	.A2(n_16092),
+	.B1_N(n_12126),
+	.X(n_36257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1009333 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [46]),
+	.A2(n_16092),
+	.B1_N(n_12125),
+	.X(n_36258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1009335 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [44]),
+	.A2(n_16066),
+	.B1_N(n_12123),
+	.X(n_36260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1009336 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [38]),
+	.A2(n_16153),
+	.B1_N(n_12117),
+	.X(n_36261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1009337 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [42]),
+	.A2(n_16066),
+	.B1_N(n_12121),
+	.X(n_36262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1009338 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [41]),
+	.A2(n_16152),
+	.B1_N(n_12120),
+	.X(n_36263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1009339 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [40]),
+	.A2(n_16152),
+	.B1_N(n_12119),
+	.X(n_36264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1009340 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [39]),
+	.A2(n_16152),
+	.B1_N(n_12118),
+	.X(n_36265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1009341 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [43]),
+	.A2(n_16066),
+	.B1_N(n_12122),
+	.X(n_36266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1009342 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [37]),
+	.A2(n_16153),
+	.B1_N(n_12116),
+	.X(n_36267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1009343 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [36]),
+	.A2(n_16153),
+	.B1_N(n_12115),
+	.X(n_36268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1009344 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [35]),
+	.A2(n_16093),
+	.B1_N(n_12114),
+	.X(n_36269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1009345 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [34]),
+	.A2(n_16093),
+	.B1_N(n_12113),
+	.X(n_36270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1009346 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [33]),
+	.A2(n_16093),
+	.B1_N(n_12112),
+	.X(n_36271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1009347 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [32]),
+	.A2(n_16157),
+	.B1_N(n_12109),
+	.X(n_36272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1009381 (
+	.A1(n_86920),
+	.A2(n_43230),
+	.B1(n_11756),
+	.B2(n_31545),
+	.C1(n_43221),
+	.C2(n_7140),
+	.Y(n_36306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_4 g1009385 (
+	.A1(n_9893),
+	.A2(n_1351),
+	.A3(n_756),
+	.B1(n_8534),
+	.B2(n_9581),
+	.X(n_36310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g1009386 (
+	.A1_N(n_29300),
+	.A2_N(n_9593),
+	.B1(n_2270),
+	.B2(n_2278),
+	.Y(n_36311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g3 (
+	.A(n_36312),
+	.Y(n_36313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1009387 (
+	.A1(n_30121),
+	.A2(n_7068),
+	.B1(n_37546),
+	.B2(n_7060),
+	.C1(n_4509),
+	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [35]),
+	.Y(n_36312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1009388 (
+	.A1(n_30121),
+	.A2(n_7060),
+	.B1(n_30123),
+	.B2(n_7068),
+	.C1(n_6935),
+	.X(n_36314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1009389 (
+	.A1(n_30125),
+	.A2(n_7068),
+	.B1(n_30123),
+	.B2(n_7060),
+	.C1(n_6934),
+	.X(n_36315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1009390 (
+	.A1(n_30128),
+	.A2(n_7068),
+	.B1_N(n_8288),
+	.X(n_36316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1009391 (
+	.A1(n_30129),
+	.A2(n_7068),
+	.B1_N(n_8287),
+	.X(n_36317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1009394 (
+	.A1(n_6745),
+	.A2(n_6802),
+	.B1_N(n_6761),
+	.X(n_36320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4b_1 g1009396 (
+	.A(n_34952),
+	.B(soc_top_u_top_u_core_alu_operator_ex[4]),
+	.C(soc_top_u_top_u_core_alu_operator_ex[5]),
+	.D_N(n_4276),
+	.Y(n_36322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_2 g1009397 (
+	.A1(n_4698),
+	.A2(n_2596),
+	.B1_N(n_4592),
+	.Y(n_36323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g1009407 (
+	.A(n_34940),
+	.B(n_34941),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [29]),
+	.D(n_77402),
+	.Y(n_36333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_2 g1009408 (
+	.A1(n_38),
+	.A2(n_1246),
+	.B1(n_640),
+	.B2(n_1242),
+	.C1(n_2528),
+	.X(n_36334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_2 g1009409 (
+	.A1(n_605),
+	.A2(n_1246),
+	.B1(n_614),
+	.B2(n_1242),
+	.C1(n_2390),
+	.X(n_36335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_2 g1009415 (
+	.A1(n_634),
+	.A2(n_1246),
+	.B1(n_90),
+	.B2(n_1242),
+	.C1(n_2512),
+	.X(n_36341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1009416 (
+	.A1(n_2311),
+	.A2(n_31412),
+	.B1(n_31411),
+	.B2(n_1559),
+	.X(n_36342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_2 g1009417 (
+	.A1(n_652),
+	.A2(n_1246),
+	.B1(n_649),
+	.B2(n_1242),
+	.C1(n_2323),
+	.X(n_36343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g1009428 (
+	.A(soc_top_u_top_u_core_alu_operator_ex[4]),
+	.B(soc_top_u_top_u_core_is_fp_instr),
+	.C(n_34952),
+	.D(soc_top_u_top_u_core_alu_operator_ex[5]),
+	.Y(n_36354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g1009435 (
+	.A(soc_top_u_top_u_core_cs_registers_i_n_6223),
+	.B(n_29332),
+	.C_N(n_1317),
+	.Y(n_36361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_4 g1009437 (
+	.A(n_59077),
+	.B(n_28103),
+	.C(n_61545),
+	.X(n_36363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1009439 (
+	.A1(n_60521),
+	.A2(n_57529),
+	.B1_N(n_25105),
+	.Y(n_36365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1009443 (
+	.A1(n_25936),
+	.A2(n_65222),
+	.B1_N(n_22836),
+	.Y(n_36369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1009450 (
+	.A1(n_18743),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [27]),
+	.B1_N(n_20759),
+	.Y(n_36376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1009451 (
+	.A1(n_18674),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [26]),
+	.B1(n_18642),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [26]),
+	.Y(n_36377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1009452 (
+	.A1(n_18674),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [28]),
+	.B1(n_18642),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [28]),
+	.Y(n_36378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1009455 (
+	.A1(n_18154),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [4]),
+	.B1(n_19398),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [4]),
+	.Y(n_36381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1009458 (
+	.A1(n_18818),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [4]),
+	.B1(n_19366),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [4]),
+	.Y(n_36384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1009461 (
+	.A1(n_18169),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [14]),
+	.B1(n_18676),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [14]),
+	.Y(n_36387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1009462 (
+	.A1(n_18674),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [24]),
+	.B1(n_18640),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [24]),
+	.Y(n_36388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g1009463 (
+	.A(n_61063),
+	.B(n_15994),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.X(n_36389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1009464 (
+	.A1(n_16016),
+	.A2(n_77843),
+	.B1_N(n_15989),
+	.Y(n_36390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1009465 (
+	.A1(n_16016),
+	.A2(n_26564),
+	.B1_N(n_15989),
+	.Y(n_36391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1009466 (
+	.A1(n_16016),
+	.A2(n_80788),
+	.B1_N(n_15989),
+	.Y(n_36392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1009467 (
+	.A1(n_16016),
+	.A2(\soc_top_u_top_u_core_fp_operands[0] [9]),
+	.B1_N(n_15989),
+	.Y(n_36393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1009468 (
+	.A1(n_16016),
+	.A2(n_29042),
+	.B1_N(n_15989),
+	.Y(n_36394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1009469 (
+	.A1(n_16016),
+	.A2(n_43096),
+	.B1_N(n_15989),
+	.Y(n_36395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1009470 (
+	.A1(n_16016),
+	.A2(n_61234),
+	.B1_N(n_15989),
+	.Y(n_36396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1009472 (
+	.A(n_15479),
+	.B(n_15348),
+	.X(n_36398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_4 g1009475 (
+	.A(n_26127),
+	.B(n_26003),
+	.C(n_26018),
+	.D(n_75070),
+	.Y(n_36401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1009483 (
+	.A1(n_12312),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [40]),
+	.B1(n_12248),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [39]),
+	.Y(n_36409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g1009486 (
+	.A(n_23889),
+	.B(n_22974),
+	.C(n_23887),
+	.D(n_87027),
+	.X(n_36412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g1009487 (
+	.A(n_23425),
+	.B(n_23427),
+	.C(n_23422),
+	.D(n_23423),
+	.X(n_36413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g1009488 (
+	.A(n_23414),
+	.B(n_35747),
+	.C(n_23398),
+	.D(n_35752),
+	.X(n_36414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g1009490 (
+	.A(n_23358),
+	.B(n_22826),
+	.C(n_23339),
+	.D(n_23319),
+	.X(n_36416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g1009491 (
+	.A(n_21917),
+	.B(n_21913),
+	.C(n_21910),
+	.D(n_21908),
+	.X(n_36417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g1009492 (
+	.A(n_21476),
+	.B(n_21473),
+	.C(n_21466),
+	.D(n_36387),
+	.X(n_36418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1009494 (
+	.A1(FE_DBTN102_n_18754),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [2]),
+	.B1(n_18606),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [2]),
+	.C1(n_22499),
+	.Y(n_36420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1009497 (
+	.A1(n_18397),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [9]),
+	.B1(n_18988),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [9]),
+	.C1(n_22241),
+	.Y(n_36423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g1009501 (
+	.A(n_20679),
+	.B(n_20722),
+	.C(n_19904),
+	.D(n_19905),
+	.X(n_36427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1009504 (
+	.A1(n_18169),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [10]),
+	.B1(n_18676),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [10]),
+	.C1(n_35963),
+	.Y(n_36430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g1009506 (
+	.A(n_20487),
+	.B(n_20209),
+	.C(n_19842),
+	.D(n_19748),
+	.X(n_36432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g1009509 (
+	.A(n_20029),
+	.B(n_20356),
+	.C(n_20224),
+	.D(n_20187),
+	.X(n_36435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g1009511 (
+	.A(n_20602),
+	.B(n_20600),
+	.C(n_19996),
+	.D(n_20052),
+	.X(n_36437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g1009514 (
+	.A(n_10121),
+	.B(n_11446),
+	.C(n_9874),
+	.D(n_11933),
+	.X(n_36440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g1009515 (
+	.A1(n_75208),
+	.A2(n_9876),
+	.B1(n_11675),
+	.C1(n_9874),
+	.D1(n_11909),
+	.X(n_36441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g1009516 (
+	.A(n_86710),
+	.B(n_75877),
+	.C(n_46963),
+	.D(n_42682),
+	.X(n_36442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1009517 (
+	.A1(n_18250),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [5]),
+	.B1(n_19188),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [5]),
+	.Y(n_36443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 g1009518 (
+	.A1(n_18250),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [20]),
+	.B1(n_19188),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [20]),
+	.Y(n_36444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1009521 (
+	.A1(n_18009),
+	.A2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[28]),
+	.B1_N(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.X(n_36446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_2 g1009528 (
+	.A(n_36454),
+	.B(n_34874),
+	.C_N(n_15998),
+	.Y(n_36455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1009529 (
+	.A(n_29377),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [40]),
+	.Y(n_36454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1009544 (
+	.A1(n_91432),
+	.A2(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.B1(n_36470),
+	.X(n_36471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1009545 (
+	.A1(n_38788),
+	.A2(n_49061),
+	.B1(n_25101),
+	.Y(n_36470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g1009546 (
+	.A(n_59626),
+	.B(n_48960),
+	.Y(n_36473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1009549 (
+	.A_N(n_81283),
+	.B(n_25930),
+	.Y(n_36474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1009551 (
+	.A_N(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.B(n_57628),
+	.Y(n_36476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1009562 (
+	.A(n_36488),
+	.B(n_20194),
+	.C(n_23305),
+	.Y(n_36489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g1009563 (
+	.A(n_19907),
+	.B(n_20183),
+	.C(n_20721),
+	.X(n_36488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1009564 (
+	.A1(n_17328),
+	.A2(n_19501),
+	.B1(n_36490),
+	.X(n_36491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1009565 (
+	.A1(n_19106),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [25]),
+	.B1(n_22350),
+	.Y(n_36490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1009628 (
+	.A1(n_31374),
+	.A2(n_71922),
+	.B1(n_36554),
+	.X(n_36555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1009629 (
+	.A1(n_16016),
+	.A2(n_62568),
+	.B1_N(n_15989),
+	.X(n_36554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1009633 (
+	.A_N(n_30762),
+	.B(n_58735),
+	.Y(n_36558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1009660 (
+	.A1(n_31293),
+	.A2(n_12283),
+	.B1(n_36586),
+	.X(n_36587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g1009661 (
+	.A1(n_12502),
+	.A2(n_16418),
+	.B1_N(n_29517),
+	.X(n_36586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1009704 (
+	.A1(n_77596),
+	.A2(n_25890),
+	.B1(n_56978),
+	.X(n_36631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 fopt1009848 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [1]),
+	.Y(n_36659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt10 (
+	.A(n_26213),
+	.Y(n_36724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 fopt1009930 (
+	.A(n_36818),
+	.Y(n_36814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt1009937 (
+	.A(n_27207),
+	.Y(n_36828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1010032 (
+	.A(n_27234),
+	.Y(n_37003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt1010067 (
+	.A(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_285 ),
+	.Y(n_37059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt1010099 (
+	.A(n_91432),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_428 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt1010110 (
+	.A(n_27512),
+	.Y(n_37127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1010117 (
+	.A(n_49541),
+	.Y(n_37134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt1010139 (
+	.A(n_47216),
+	.Y(n_37171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1010192 (
+	.A(n_36310),
+	.B(n_68525),
+	.Y(n_37275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8385 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_898),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1885),
+	.Y(n_30894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8388 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_926),
+	.B(n_55695),
+	.Y(n_30893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8395 (
+	.A(n_55699),
+	.B(n_54700),
+	.Y(n_30891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8399 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_891),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1545),
+	.Y(n_30890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g8400 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1545),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_710),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g8402 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1543),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_885),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8403 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_914),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1541),
+	.Y(n_30889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g8404 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1541),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1850),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g8406 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1539),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_866),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8407 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_978),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1537),
+	.Y(n_30888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g8408 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1537),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1849),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g8410 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1535),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_868),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8411 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_980),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1530),
+	.Y(n_30887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g8412 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1530),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1848),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8413 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_925),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1533),
+	.Y(n_30883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 WALLACE_CSA_DUMMY_OP_groupi_g8414 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_1934),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_601),
+	.B1_N(WALLACE_CSA_DUMMY_OP_groupi_n_1845),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8415 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_923),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1934),
+	.Y(n_30882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8416 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_919),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1523),
+	.Y(n_30879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g8417 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1528),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_731),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8418 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_930),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1515),
+	.Y(n_30886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8419 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1515),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1825),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8420 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_921),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1782),
+	.Y(n_30881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8421 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_912),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1887),
+	.Y(n_30875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_2 WALLACE_CSA_DUMMY_OP_groupi_g8424 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_1935),
+	.A2(n_46292),
+	.B1_N(WALLACE_CSA_DUMMY_OP_groupi_n_778),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8425 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_917),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1935),
+	.Y(n_30878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8429 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_920),
+	.B(n_46299),
+	.Y(n_30880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8430 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_998),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1507),
+	.Y(n_30877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8431 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_909),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1505),
+	.Y(n_30874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g8432 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1513),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_699),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8433 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_929),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1495),
+	.Y(n_30885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g8434 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1495),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1824),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8437 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_906),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1500),
+	.Y(n_30873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 WALLACE_CSA_DUMMY_OP_groupi_g8440 (
+	.A1(n_58982),
+	.A2(n_46295),
+	.B1(WALLACE_CSA_DUMMY_OP_groupi_n_1753),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g8442 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1758),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1914),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8443 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_913),
+	.B(n_58982),
+	.Y(n_30876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8444 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_905),
+	.B(n_38354),
+	.Y(n_30871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8447 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1488),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_622),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8451 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_895),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1763),
+	.Y(n_30872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 WALLACE_CSA_DUMMY_OP_groupi_g8452 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1490),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_713),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8453 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_927),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1476),
+	.Y(n_30884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g8456 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1476),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1847),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8458 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1763),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1837),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g8481 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1446),
+	.B(n_76683),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8482 (
+	.A(n_79611),
+	.B(n_76266),
+	.Y(n_30850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8483 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1002),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1437),
+	.Y(n_30848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8484 (
+	.A(n_79554),
+	.B(n_57772),
+	.Y(n_30846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g8497 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1437),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_808),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8500 (
+	.A(n_57165),
+	.B(n_46297),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8502 (
+	.A(n_57165),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1128),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g8511 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1398),
+	.B(n_87878),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8514 (
+	.A(n_56506),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1186),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g8516 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1384),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1831),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g8526 (
+	.A(n_58390),
+	.B(n_38004),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8529 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_910),
+	.B(n_88202),
+	.Y(n_30833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8531 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_904),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1899),
+	.Y(n_30837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8532 (
+	.A(n_88158),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_931),
+	.Y(n_30838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8533 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1401),
+	.B(n_79612),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8535 (
+	.A(n_58800),
+	.B(n_59290),
+	.Y(n_30844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 WALLACE_CSA_DUMMY_OP_groupi_g8546 (
+	.A(n_58390),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_g8548 (
+	.A(n_85465),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_g8563 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1373),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8574 (
+	.A(n_85463),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1219),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8601 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_916),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1311),
+	.Y(n_30836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8603 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_922),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1901),
+	.Y(n_30829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8604 (
+	.A(n_88187),
+	.B(n_79569),
+	.Y(n_30830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_g8617 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1324),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_g8619 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1321),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8620 (
+	.A(n_59310),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1275),
+	.Y(n_30825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g8623 (
+	.A(n_71926),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1257),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g8626 (
+	.A(n_71927),
+	.B(n_61109),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 WALLACE_CSA_DUMMY_OP_groupi_g8635 (
+	.A1(n_56508),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_1033),
+	.B1(WALLACE_CSA_DUMMY_OP_groupi_n_1964),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 WALLACE_CSA_DUMMY_OP_groupi_g8641 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1294),
+	.B(n_78440),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 WALLACE_CSA_DUMMY_OP_groupi_g8642 (
+	.A(n_62652),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 WALLACE_CSA_DUMMY_OP_groupi_g8648 (
+	.A1(n_56508),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_1120),
+	.B1(WALLACE_CSA_DUMMY_OP_groupi_n_1188),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_g8653 (
+	.A(n_61463),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 WALLACE_CSA_DUMMY_OP_groupi_g8663 (
+	.A(n_78440),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 WALLACE_CSA_DUMMY_OP_groupi_g8668 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_1235),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_1024),
+	.B1(n_77059),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 WALLACE_CSA_DUMMY_OP_groupi_g8671 (
+	.A1(n_42408),
+	.A2(n_44387),
+	.B1(WALLACE_CSA_DUMMY_OP_groupi_n_797),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g8684 (
+	.A(n_54042),
+	.B(n_55026),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_g8690 (
+	.A(n_53969),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 WALLACE_CSA_DUMMY_OP_groupi_g8698 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_782),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_1195),
+	.B1(WALLACE_CSA_DUMMY_OP_groupi_n_1846),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_4 WALLACE_CSA_DUMMY_OP_groupi_g8704 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_845),
+	.A2(n_42856),
+	.B1_N(WALLACE_CSA_DUMMY_OP_groupi_n_718),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 WALLACE_CSA_DUMMY_OP_groupi_g8705 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_1171),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_654),
+	.B1(WALLACE_CSA_DUMMY_OP_groupi_n_702),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 WALLACE_CSA_DUMMY_OP_groupi_g8719 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1222),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1823),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g8724 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1188),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_786),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8726 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_970),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1201),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 WALLACE_CSA_DUMMY_OP_groupi_g8727 (
+	.A(n_76684),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1201),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g8732 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1176),
+	.B(n_57262),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g8738 (
+	.A(n_88159),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1099),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8747 (
+	.A(n_44469),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1148),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 WALLACE_CSA_DUMMY_OP_groupi_g8751 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_1122),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_601),
+	.B1_N(WALLACE_CSA_DUMMY_OP_groupi_n_1845),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 WALLACE_CSA_DUMMY_OP_groupi_g8757 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1166),
+	.B(n_58594),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 WALLACE_CSA_DUMMY_OP_groupi_g8764 (
+	.A(n_49436),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g8767 (
+	.A(n_79608),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1813),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 WALLACE_CSA_DUMMY_OP_groupi_g8769 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_1915),
+	.A2(n_46292),
+	.B1_N(WALLACE_CSA_DUMMY_OP_groupi_n_778),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 WALLACE_CSA_DUMMY_OP_groupi_g8772 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_568),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_1914),
+	.B1(WALLACE_CSA_DUMMY_OP_groupi_n_852),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g8773 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1158),
+	.B(n_56768),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8774 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1104),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1165),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_g8781 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1165),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g8784 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1070),
+	.B(n_75215),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g8788 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1964),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_803),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8789 (
+	.A(n_77059),
+	.B(n_75816),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 WALLACE_CSA_DUMMY_OP_groupi_g8792 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1120),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_786),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 WALLACE_CSA_DUMMY_OP_groupi_g8818 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1913),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8836 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1024),
+	.B(n_75816),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g8841 (
+	.A(n_76682),
+	.B(n_58061),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 WALLACE_CSA_DUMMY_OP_groupi_g8843 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_775),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_1843),
+	.B1(WALLACE_CSA_DUMMY_OP_groupi_n_722),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_8 WALLACE_CSA_DUMMY_OP_groupi_g8844 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_802),
+	.B(n_44469),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_g8848 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1962),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g8878 (
+	.A(n_87799),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1832),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g8886 (
+	.A(n_46273),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1816),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_g8898 (
+	.A(n_45928),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_g8901 (
+	.A(n_59053),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 WALLACE_CSA_DUMMY_OP_groupi_g8909 (
+	.A(n_44469),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 WALLACE_CSA_DUMMY_OP_groupi_g8916 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_970),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8938 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_808),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_863),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8943 (
+	.A(n_46293),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1840),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8947 (
+	.A(n_86885),
+	.B(n_39613),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8955 (
+	.A(n_78264),
+	.B(n_62345),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8963 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1848),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_868),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8965 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1849),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_866),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8977 (
+	.A(n_78183),
+	.B(n_86778),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_g8990 (
+	.A(n_46274),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9012 (
+	.A(n_38268),
+	.B(n_60358),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9013 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_731),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1825),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9014 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1824),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_699),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9015 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_566),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1940),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9016 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1847),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_713),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9017 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_874),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_701),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 WALLACE_CSA_DUMMY_OP_groupi_g9018 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_782),
+	.B_N(WALLACE_CSA_DUMMY_OP_groupi_n_1846),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9021 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_601),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1845),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9022 (
+	.A(n_79565),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_805),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9023 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_776),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_722),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9025 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1844),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1843),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 WALLACE_CSA_DUMMY_OP_groupi_g9026 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_790),
+	.B_N(WALLACE_CSA_DUMMY_OP_groupi_n_1842),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9028 (
+	.A(n_46292),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_778),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9029 (
+	.A(n_75607),
+	.B(n_45929),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9031 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1850),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_885),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g9032 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_1753),
+	.B(n_46295),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g9033 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_702),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_654),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9035 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_556),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1939),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9036 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_569),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_852),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g9039 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_1838),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_571),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9040 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1836),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1835),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9041 (
+	.A(n_59193),
+	.B(n_88154),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9042 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1852),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_857),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9049 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_728),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_884),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9052 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_622),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1837),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9054 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1823),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_786),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9056 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_710),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1851),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 WALLACE_CSA_DUMMY_OP_groupi_g9073 (
+	.A(n_76664),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 WALLACE_CSA_DUMMY_OP_groupi_g9096 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_807),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_g9099 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_803),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_g9103 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_732),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_g9107 (
+	.A(n_46294),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 WALLACE_CSA_DUMMY_OP_groupi_g9111 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_781),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 WALLACE_CSA_DUMMY_OP_groupi_g9114 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_775),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 WALLACE_CSA_DUMMY_OP_groupi_g9134 (
+	.A(n_75816),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9143 (
+	.A(n_80762),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_424),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 WALLACE_CSA_DUMMY_OP_groupi_g9144 (
+	.A(n_88734),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_409),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g9151 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_226),
+	.B(n_88732),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9156 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_381),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_304),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9158 (
+	.A(n_80765),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_323),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9161 (
+	.A(n_79419),
+	.B(n_57265),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_863), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9166 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_371),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_234),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9169 (
+	.A(n_37538),
+	.B(n_37989),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g9185 (
+	.A(n_44462),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_497),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g9187 (
+	.A(n_57265),
+	.B(n_79419),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 WALLACE_CSA_DUMMY_OP_groupi_g9188 (
+	.A(n_54354),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_241),
+	.C(WALLACE_CSA_DUMMY_OP_groupi_n_230),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g9189 (
+	.A(n_75770),
+	.B(n_80449),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9191 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_352),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_435),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g9197 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1876),
+	.B(n_75705),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9199 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_414),
+	.B(n_87868),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g9201 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1923),
+	.B(n_37942),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g9202 (
+	.A(n_87876),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_292),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_g9233 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_685),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_g9254 (
+	.A(n_55478),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 WALLACE_CSA_DUMMY_OP_groupi_g9308 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_570),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_g9309 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_568),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9319 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_380),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_227),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9322 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_409),
+	.B(n_88734),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9326 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_292),
+	.B(n_87876),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 WALLACE_CSA_DUMMY_OP_groupi_g9328 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_1868),
+	.A2(n_59578),
+	.B1(WALLACE_CSA_DUMMY_OP_groupi_n_326),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9332 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_359),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_327),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g9334 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_213),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_364),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g9340 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1870),
+	.B(n_42705),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g9341 (
+	.A_N(n_88732),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_226),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9343 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_418),
+	.B(n_75000),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g9348 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_374),
+	.B(n_55796),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g9358 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_536),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_300),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9361 (
+	.A(n_42705),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1870),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9373 (
+	.A(n_59322),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1925),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9381 (
+	.A(n_87869),
+	.B(n_87874),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g9390 (
+	.A(n_50032),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_321),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g9391 (
+	.A(n_37989),
+	.B(n_37538),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 WALLACE_CSA_DUMMY_OP_groupi_g9392 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_252),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_341),
+	.C(n_41856),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 WALLACE_CSA_DUMMY_OP_groupi_g9394 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_203),
+	.B(n_55361),
+	.C(n_58290),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_g9411 (
+	.A(n_79520),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 WALLACE_CSA_DUMMY_OP_groupi_g9445 (
+	.A(n_41730),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_g9450 (
+	.A(n_44463),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_g9512 (
+	.A(n_86404),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 WALLACE_CSA_DUMMY_OP_groupi_g9528 (
+	.A(n_31041),
+	.B(addinc_ADD_UNS_OP_2_n_6),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 WALLACE_CSA_DUMMY_OP_groupi_g9534 (
+	.A(FE_DBTN137_n_79412),
+	.B(n_31037),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g9535 (
+	.A(n_89283),
+	.B(addinc_ADD_UNS_OP_2_n_55),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g9540 (
+	.A(n_31035),
+	.B(n_23737),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 WALLACE_CSA_DUMMY_OP_groupi_g9546 (
+	.A1(n_76677),
+	.A2(addinc_ADD_UNS_OP_2_n_54),
+	.B1(WALLACE_CSA_DUMMY_OP_groupi_n_202),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 WALLACE_CSA_DUMMY_OP_groupi_g9547 (
+	.A1_N(WALLACE_CSA_DUMMY_OP_groupi_n_18),
+	.A2_N(n_79530),
+	.B1(WALLACE_CSA_DUMMY_OP_groupi_n_18),
+	.B2(n_79530),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 WALLACE_CSA_DUMMY_OP_groupi_g9567 (
+	.A(addinc_ADD_UNS_OP_2_n_2073),
+	.B(n_80763),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 WALLACE_CSA_DUMMY_OP_groupi_g9570 (
+	.A(n_79412),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1991),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g9572 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_278),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_285),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g9582 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_190),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_261),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 WALLACE_CSA_DUMMY_OP_groupi_g9585 (
+	.A(n_53320),
+	.B(n_88729),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g9592 (
+	.A(n_23730),
+	.B(n_31042),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g9598 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_281),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_263),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9602 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_194),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_191),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9610 (
+	.A(n_30977),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_15),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9614 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_16),
+	.B(n_78494),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g9617 (
+	.A(FE_DBTN21_n_78083),
+	.B(n_77045),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9620 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_10),
+	.B(n_47723),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9621 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_13),
+	.B(n_23719),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9626 (
+	.A(n_57913),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_78),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 WALLACE_CSA_DUMMY_OP_groupi_g9633 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_106),
+	.B(n_78711),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9636 (
+	.A(n_79693),
+	.B(n_39895),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9639 (
+	.A(n_77962),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_40),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9640 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_166),
+	.B(n_38066),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9642 (
+	.A(n_88175),
+	.B(n_78083),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9644 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_8),
+	.B(n_23764),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g9650 (
+	.A(n_31035),
+	.B(n_23737),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9651 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_16),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_96),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g9654 (
+	.A(addinc_ADD_UNS_OP_2_n_2073),
+	.B(n_80763),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g9656 (
+	.A(n_50030),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_18),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9657 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_99),
+	.B(n_62024),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9664 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_2043),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_124),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9670 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_106),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_132),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g9673 (
+	.A(n_79412),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1991),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9677 (
+	.A(n_62844),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_89),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9678 (
+	.A(n_63944),
+	.B(addinc_ADD_UNS_OP_2_n_2054),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g9684 (
+	.A(n_81760),
+	.B(n_81761),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g9685 (
+	.A(n_78000),
+	.B(n_54318),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g9697 (
+	.A(n_79671),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_123),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9698 (
+	.A(n_77620),
+	.B(n_78660),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9700 (
+	.A(n_77621),
+	.B(n_78660),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9705 (
+	.A(n_77620),
+	.B(FE_DBTN23_n_78660),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9706 (
+	.A(n_23687),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_141),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9708 (
+	.A(n_86331),
+	.B(n_39894),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9711 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_36),
+	.B(n_55514),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9712 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_95),
+	.B(n_78524),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g9727 (
+	.A(n_77963),
+	.B(n_23718),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9729 (
+	.A(n_23756),
+	.B(n_62024),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9733 (
+	.A(n_54330),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_7),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 WALLACE_CSA_DUMMY_OP_groupi_g9736 (
+	.A(n_23701),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_132),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9738 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_96),
+	.B(n_62604),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g9743 (
+	.A(n_31043),
+	.B(n_53321),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 WALLACE_CSA_DUMMY_OP_groupi_g9746 (
+	.A(n_88170),
+	.B(n_88169),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9747 (
+	.A(addinc_ADD_UNS_OP_2_n_135),
+	.B(addinc_ADD_UNS_OP_2_n_25),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9748 (
+	.A(n_79645),
+	.B(n_39805),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9749 (
+	.A(addinc_ADD_UNS_OP_2_n_27),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_7),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g9750 (
+	.A(FE_DBTN137_n_79412),
+	.B(n_31037),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g9751 (
+	.A(n_53320),
+	.B(n_88729),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9755 (
+	.A(n_39622),
+	.B(addinc_ADD_UNS_OP_2_n_109),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9756 (
+	.A(n_38881),
+	.B(n_61552),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9761 (
+	.A(n_58714),
+	.B(n_39867),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g9764 (
+	.A(n_31041),
+	.B(addinc_ADD_UNS_OP_2_n_6),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g9766 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_36),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_78),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g9773 (
+	.A(n_88474),
+	.B(n_88475),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g9774 (
+	.A(addinc_ADD_UNS_OP_2_n_54),
+	.B(n_76677),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 WALLACE_CSA_DUMMY_OP_groupi_g9805 (
+	.A(n_23764),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_g9814 (
+	.A(n_78711),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_g9823 (
+	.A(n_56544),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_g9824 (
+	.A(n_79670),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_g9841 (
+	.A(n_23701),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 WALLACE_CSA_DUMMY_OP_groupi_g9850 (
+	.A(n_78494),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_96), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_g9877 (
+	.A(n_75743),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_70), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 WALLACE_CSA_DUMMY_OP_groupi_g9917 (
+	.A(n_39622),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_30), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 WALLACE_CSA_DUMMY_OP_groupi_g9931 (
+	.A(n_62604),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_16), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_g9934 (
+	.A(n_30977),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_13), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 WALLACE_CSA_DUMMY_OP_groupi_g9938 (
+	.A(n_23687),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_8), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 WALLACE_CSA_DUMMY_OP_groupi_g9949 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_745),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_1278),
+	.B1(WALLACE_CSA_DUMMY_OP_groupi_n_1793),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 WALLACE_CSA_DUMMY_OP_groupi_g9953 (
+	.A(n_75826),
+	.B(n_75825),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 WALLACE_CSA_DUMMY_OP_groupi_g9954 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_790),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_1174),
+	.B1(WALLACE_CSA_DUMMY_OP_groupi_n_1842),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 WALLACE_CSA_DUMMY_OP_groupi_g9962 (
+	.A(n_75746),
+	.B(n_55388),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 WALLACE_CSA_DUMMY_OP_groupi_g9963 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1837),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_569),
+	.C(WALLACE_CSA_DUMMY_OP_groupi_n_654),
+	.D(WALLACE_CSA_DUMMY_OP_groupi_n_571),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 WALLACE_CSA_DUMMY_OP_groupi_g9970 (
+	.A(n_44387),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_732),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 WALLACE_CSA_DUMMY_OP_groupi_g9973 (
+	.A(n_54533),
+	.B(n_81241),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 WALLACE_CSA_DUMMY_OP_groupi_g9976 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1994),
+	.B(n_86814),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 WALLACE_CSA_DUMMY_OP_groupi_g9980 (
+	.A(n_75672),
+	.B(n_42704),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 WALLACE_CSA_DUMMY_OP_groupi_g9982 (
+	.A(n_79606),
+	.B(n_55323),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g9985 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_1488),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_571),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g9986 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_1450),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1736),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g9990 (
+	.A_N(n_76599),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1450),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 WALLACE_CSA_DUMMY_OP_groupi_g9993 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_1217),
+	.B(n_37982),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1766), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 WALLACE_CSA_DUMMY_OP_groupi_g9995 (
+	.A(n_46261),
+	.B(n_79624),
+	.X(n_30822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 WALLACE_CSA_DUMMY_OP_groupi_g10003 (
+	.A_N(n_76684),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1235),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 WALLACE_CSA_DUMMY_OP_groupi_g10007 (
+	.A_N(n_58801),
+	.B(n_59290),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 WALLACE_CSA_DUMMY_OP_groupi_g10009 (
+	.A1(n_46299),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_1844),
+	.B1_N(WALLACE_CSA_DUMMY_OP_groupi_n_1843),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 WALLACE_CSA_DUMMY_OP_groupi_g10020 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_1186),
+	.A2(n_56508),
+	.B1_N(n_75817),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 WALLACE_CSA_DUMMY_OP_groupi_g10040 (
+	.A(n_79606),
+	.B(n_79605),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g10043 (
+	.A_N(n_46270),
+	.B(n_57214),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 WALLACE_CSA_DUMMY_OP_groupi_g10050 (
+	.A(n_75705),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1876),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 WALLACE_CSA_DUMMY_OP_groupi_g10051 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_418),
+	.B(n_75000),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 WALLACE_CSA_DUMMY_OP_groupi_g10052 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_380),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_227),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g10053 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_320),
+	.B(n_62100),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g10057 (
+	.A_N(n_86405),
+	.B(n_80807),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 WALLACE_CSA_DUMMY_OP_groupi_g10058 (
+	.A_N(n_80807),
+	.B(n_86405),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 WALLACE_CSA_DUMMY_OP_groupi_g10059 (
+	.A(n_87795),
+	.B(n_87796),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g10062 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_313),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_392),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g10063 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_392),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_313),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 WALLACE_CSA_DUMMY_OP_groupi_g10064 (
+	.A(n_59322),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1925),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 WALLACE_CSA_DUMMY_OP_groupi_g10065 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_321),
+	.B(n_50032),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 WALLACE_CSA_DUMMY_OP_groupi_g10067 (
+	.A(n_37943),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_216),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 WALLACE_CSA_DUMMY_OP_groupi_g10069 (
+	.A(n_37536),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_222),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g10070 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_1877),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1921),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 WALLACE_CSA_DUMMY_OP_groupi_g10071 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_1921),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1877),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 WALLACE_CSA_DUMMY_OP_groupi_g10072 (
+	.A(n_87869),
+	.B(n_87874),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 WALLACE_CSA_DUMMY_OP_groupi_g10073 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_414),
+	.B(n_87868),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 WALLACE_CSA_DUMMY_OP_groupi_g10074 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_359),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_327),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 WALLACE_CSA_DUMMY_OP_groupi_g10075 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_304),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_381),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 WALLACE_CSA_DUMMY_OP_groupi_g10076 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_323),
+	.B(n_80765),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 WALLACE_CSA_DUMMY_OP_groupi_g10077 (
+	.A(n_80762),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_424),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 WALLACE_CSA_DUMMY_OP_groupi_g10078 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_364),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_213),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 WALLACE_CSA_DUMMY_OP_groupi_g10079 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_234),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_371),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g10095 (
+	.A_N(n_44965),
+	.B(FE_DBTN57_n_78875),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g10097 (
+	.A_N(n_42700),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_26),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g10103 (
+	.A(n_39805),
+	.B(n_79645),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g10104 (
+	.A_N(n_23741),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_30),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor3_1 WALLACE_CSA_DUMMY_OP_groupi_g10110 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_202),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_397),
+	.C(WALLACE_CSA_DUMMY_OP_groupi_n_1884),
+	.X(n_30895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 WALLACE_CSA_DUMMY_OP_groupi_g10111 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_1885),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_884),
+	.B1_N(WALLACE_CSA_DUMMY_OP_groupi_n_728),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 WALLACE_CSA_DUMMY_OP_groupi_g10112 (
+	.A1(n_55695),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_874),
+	.B1_N(WALLACE_CSA_DUMMY_OP_groupi_n_701),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 WALLACE_CSA_DUMMY_OP_groupi_g10114 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_1505),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_569),
+	.B1_N(WALLACE_CSA_DUMMY_OP_groupi_n_852),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 WALLACE_CSA_DUMMY_OP_groupi_g10117 (
+	.A1(n_88158),
+	.A2(n_38268),
+	.B1_N(n_60358),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 WALLACE_CSA_DUMMY_OP_groupi_g10126 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_1311),
+	.A2(n_45929),
+	.B1_N(n_75607),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 WALLACE_CSA_DUMMY_OP_groupi_g10128 (
+	.A1(n_78439),
+	.A2(n_86814),
+	.B1_N(WALLACE_CSA_DUMMY_OP_groupi_n_1994),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 WALLACE_CSA_DUMMY_OP_groupi_g10135 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_1090),
+	.A2(n_76267),
+	.B1_N(n_53849),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 WALLACE_CSA_DUMMY_OP_groupi_g10140 (
+	.A(n_87798),
+	.B(n_76267),
+	.C(WALLACE_CSA_DUMMY_OP_groupi_n_1836),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 WALLACE_CSA_DUMMY_OP_groupi_g10141 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_570),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_622),
+	.B1_N(WALLACE_CSA_DUMMY_OP_groupi_n_1838),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 WALLACE_CSA_DUMMY_OP_groupi_g10142 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_1753),
+	.A2(n_46293),
+	.B1_N(WALLACE_CSA_DUMMY_OP_groupi_n_1840),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 WALLACE_CSA_DUMMY_OP_groupi_g10148 (
+	.A(n_54318),
+	.B(n_78000),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 WALLACE_CSA_DUMMY_OP_groupi_g10150 (
+	.A1(n_39622),
+	.A2(n_53655),
+	.B1(addinc_ADD_UNS_OP_2_n_109),
+	.B2(WALLACE_CSA_DUMMY_OP_groupi_n_30),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 WALLACE_CSA_DUMMY_OP_groupi_g10152 (
+	.A(n_50030),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_18),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 WALLACE_CSA_DUMMY_OP_groupi_g10157 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_781),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_601),
+	.C(WALLACE_CSA_DUMMY_OP_groupi_n_1844),
+	.D(WALLACE_CSA_DUMMY_OP_groupi_n_776),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31o_2 WALLACE_CSA_DUMMY_OP_groupi_g10160 (
+	.A1(n_46299),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_1844),
+	.A3(WALLACE_CSA_DUMMY_OP_groupi_n_776),
+	.B1(WALLACE_CSA_DUMMY_OP_groupi_n_1122),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31o_2 WALLACE_CSA_DUMMY_OP_groupi_g10161 (
+	.A1(n_58982),
+	.A2(n_46295),
+	.A3(n_46293),
+	.B1(WALLACE_CSA_DUMMY_OP_groupi_n_1915),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_2 WALLACE_CSA_DUMMY_OP_groupi_g10165 (
+	.A1(n_58290),
+	.A2(n_55361),
+	.B1(WALLACE_CSA_DUMMY_OP_groupi_n_203),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 WALLACE_CSA_DUMMY_OP_groupi_g10166 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_252),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_341),
+	.B1(n_41856),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 WALLACE_CSA_DUMMY_OP_groupi_g10170 (
+	.A1(n_54209),
+	.A2(n_54218),
+	.B1(n_55963),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g10185 (
+	.A(n_59052),
+	.B(n_87511),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g10187 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1963),
+	.B(n_39613),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 WALLACE_CSA_DUMMY_OP_groupi_g10188 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_733),
+	.B(n_86885),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 WALLACE_CSA_DUMMY_OP_groupi_fopt10193 (
+	.A(n_85670),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 WALLACE_CSA_DUMMY_OP_groupi_fopt10198 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_352),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_fopt10201 (
+	.A(n_61673),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 WALLACE_CSA_DUMMY_OP_groupi_fopt10233 (
+	.A(n_53728),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_2043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g5278 (
+	.A(addinc_ADD_UNS_OP_2_n_660),
+	.B(addinc_ADD_UNS_OP_2_n_1947),
+	.Y(n_30970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g5279 (
+	.A(addinc_ADD_UNS_OP_2_n_671),
+	.B(addinc_ADD_UNS_OP_2_n_1944),
+	.Y(n_30969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g5280 (
+	.A(addinc_ADD_UNS_OP_2_n_555),
+	.B(addinc_ADD_UNS_OP_2_n_1948),
+	.Y(n_30966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g5281 (
+	.A(addinc_ADD_UNS_OP_2_n_554),
+	.B(addinc_ADD_UNS_OP_2_n_1945),
+	.Y(n_30965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g5282 (
+	.A(addinc_ADD_UNS_OP_2_n_1931),
+	.B(n_76999),
+	.Y(n_30963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g5283 (
+	.A(addinc_ADD_UNS_OP_2_n_682),
+	.B(addinc_ADD_UNS_OP_2_n_1950),
+	.Y(n_30971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g5284 (
+	.A(addinc_ADD_UNS_OP_2_n_667),
+	.B(addinc_ADD_UNS_OP_2_n_1656),
+	.Y(n_30968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g5285 (
+	.A(addinc_ADD_UNS_OP_2_n_557),
+	.B(addinc_ADD_UNS_OP_2_n_1951),
+	.Y(n_30967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 addinc_ADD_UNS_OP_2_g5286 (
+	.A(addinc_ADD_UNS_OP_2_n_567),
+	.B(n_75814),
+	.X(n_30964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g5287 (
+	.A(addinc_ADD_UNS_OP_2_n_654),
+	.B(addinc_ADD_UNS_OP_2_n_1658),
+	.Y(n_30962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g5288 (
+	.A(addinc_ADD_UNS_OP_2_n_652),
+	.B(n_86294),
+	.Y(n_30961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g5296 (
+	.A(addinc_ADD_UNS_OP_2_n_571),
+	.B(n_47799),
+	.Y(n_30933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g5299 (
+	.A(addinc_ADD_UNS_OP_2_n_680),
+	.B(n_47796),
+	.Y(n_30934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g5304 (
+	.A(addinc_ADD_UNS_OP_2_n_1613),
+	.B(n_55186),
+	.Y(n_30942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 addinc_ADD_UNS_OP_2_g5307 (
+	.A1(n_55827),
+	.A2(addinc_ADD_UNS_OP_2_n_1545),
+	.B1(addinc_ADD_UNS_OP_2_n_1625),
+	.Y(n_30945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 addinc_ADD_UNS_OP_2_g5309 (
+	.A1(n_55827),
+	.A2(addinc_ADD_UNS_OP_2_n_1918),
+	.B1(n_58405),
+	.Y(n_30943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g5313 (
+	.A(addinc_ADD_UNS_OP_2_n_1615),
+	.B(addinc_ADD_UNS_OP_2_n_788),
+	.Y(addinc_ADD_UNS_OP_2_n_1658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g5315 (
+	.A(addinc_ADD_UNS_OP_2_n_1630),
+	.B(addinc_ADD_UNS_OP_2_n_1222),
+	.Y(addinc_ADD_UNS_OP_2_n_1656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 addinc_ADD_UNS_OP_2_g5317 (
+	.A(n_87849),
+	.B(n_47797),
+	.Y(n_30931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g5321 (
+	.A(addinc_ADD_UNS_OP_2_n_548),
+	.B(addinc_ADD_UNS_OP_2_n_1957),
+	.Y(n_30959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g5324 (
+	.A(addinc_ADD_UNS_OP_2_n_563),
+	.B(addinc_ADD_UNS_OP_2_n_1578),
+	.Y(n_30956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g5325 (
+	.A(n_58019),
+	.B(addinc_ADD_UNS_OP_2_n_1566),
+	.Y(n_30955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g5327 (
+	.A(addinc_ADD_UNS_OP_2_n_678),
+	.B(addinc_ADD_UNS_OP_2_n_1900),
+	.Y(n_30932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 addinc_ADD_UNS_OP_2_g5328 (
+	.A(addinc_ADD_UNS_OP_2_n_552),
+	.B(addinc_ADD_UNS_OP_2_n_1963),
+	.X(n_30952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 addinc_ADD_UNS_OP_2_g5329 (
+	.A(addinc_ADD_UNS_OP_2_n_570),
+	.B(addinc_ADD_UNS_OP_2_n_2010),
+	.X(n_30949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 addinc_ADD_UNS_OP_2_g5330 (
+	.A(addinc_ADD_UNS_OP_2_n_569),
+	.B(addinc_ADD_UNS_OP_2_n_1962),
+	.X(n_30948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 addinc_ADD_UNS_OP_2_g5331 (
+	.A(n_75828),
+	.B(addinc_ADD_UNS_OP_2_n_2011),
+	.X(n_30947), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g5332 (
+	.A(addinc_ADD_UNS_OP_2_n_572),
+	.B(addinc_ADD_UNS_OP_2_n_1577),
+	.Y(n_30950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g5333 (
+	.A(addinc_ADD_UNS_OP_2_n_575),
+	.B(addinc_ADD_UNS_OP_2_n_1573),
+	.Y(n_30951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g5335 (
+	.A(addinc_ADD_UNS_OP_2_n_1932),
+	.B(n_47800),
+	.Y(n_30939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g5336 (
+	.A(addinc_ADD_UNS_OP_2_n_541),
+	.B(n_47794),
+	.Y(n_30936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g5337 (
+	.A(n_44968),
+	.B(n_47798),
+	.Y(n_30935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g5340 (
+	.A(addinc_ADD_UNS_OP_2_n_1630),
+	.Y(addinc_ADD_UNS_OP_2_n_1631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g5344 (
+	.A(n_79084),
+	.B(n_55827),
+	.Y(addinc_ADD_UNS_OP_2_n_1625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g5349 (
+	.A(n_55144),
+	.B(n_55827),
+	.Y(addinc_ADD_UNS_OP_2_n_1620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g5354 (
+	.A(addinc_ADD_UNS_OP_2_n_1970),
+	.B(addinc_ADD_UNS_OP_2_n_752),
+	.Y(addinc_ADD_UNS_OP_2_n_1615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g5355 (
+	.A(addinc_ADD_UNS_OP_2_n_1970),
+	.B(n_75217),
+	.Y(addinc_ADD_UNS_OP_2_n_1630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g5357 (
+	.A(addinc_ADD_UNS_OP_2_n_558),
+	.B(addinc_ADD_UNS_OP_2_n_1975),
+	.Y(n_30905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g5358 (
+	.A(addinc_ADD_UNS_OP_2_n_1540),
+	.B(n_55827),
+	.Y(addinc_ADD_UNS_OP_2_n_1613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g5361 (
+	.A(addinc_ADD_UNS_OP_2_n_1536),
+	.B(n_55827),
+	.Y(addinc_ADD_UNS_OP_2_n_1610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g5363 (
+	.A(n_56183),
+	.B(n_55827),
+	.Y(addinc_ADD_UNS_OP_2_n_1608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g5367 (
+	.A(n_47728),
+	.B(addinc_ADD_UNS_OP_2_n_1977),
+	.Y(n_30910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g5368 (
+	.A(n_80455),
+	.B(addinc_ADD_UNS_OP_2_n_1974),
+	.Y(n_30909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g5370 (
+	.A(addinc_ADD_UNS_OP_2_n_1879),
+	.B(addinc_ADD_UNS_OP_2_n_1490),
+	.Y(n_30907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g5371 (
+	.A(n_79459),
+	.B(addinc_ADD_UNS_OP_2_n_2008),
+	.Y(n_30906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 addinc_ADD_UNS_OP_2_g5381 (
+	.A1(n_53974),
+	.A2(n_58920),
+	.B1(addinc_ADD_UNS_OP_2_n_1463),
+	.Y(addinc_ADD_UNS_OP_2_n_1584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g5387 (
+	.A(addinc_ADD_UNS_OP_2_n_1978),
+	.B(addinc_ADD_UNS_OP_2_n_1379),
+	.Y(addinc_ADD_UNS_OP_2_n_1595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g5388 (
+	.A(n_76268),
+	.B(addinc_ADD_UNS_OP_2_n_1387),
+	.Y(addinc_ADD_UNS_OP_2_n_1593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g5389 (
+	.A(n_76270),
+	.B(addinc_ADD_UNS_OP_2_n_1367),
+	.Y(addinc_ADD_UNS_OP_2_n_1578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g5391 (
+	.A(addinc_ADD_UNS_OP_2_n_1979),
+	.B(addinc_ADD_UNS_OP_2_n_1372),
+	.Y(addinc_ADD_UNS_OP_2_n_1589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g5392 (
+	.A(addinc_ADD_UNS_OP_2_n_1973),
+	.B(addinc_ADD_UNS_OP_2_n_1368),
+	.Y(addinc_ADD_UNS_OP_2_n_1577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g5396 (
+	.A(n_76269),
+	.B(addinc_ADD_UNS_OP_2_n_1386),
+	.Y(addinc_ADD_UNS_OP_2_n_1573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g5403 (
+	.A(addinc_ADD_UNS_OP_2_n_1980),
+	.B(addinc_ADD_UNS_OP_2_n_1388),
+	.Y(addinc_ADD_UNS_OP_2_n_1566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 addinc_ADD_UNS_OP_2_g5410 (
+	.A(n_38367),
+	.B(addinc_ADD_UNS_OP_2_n_1984),
+	.Y(n_30925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g5411 (
+	.A(addinc_ADD_UNS_OP_2_n_683),
+	.B(addinc_ADD_UNS_OP_2_n_1989),
+	.Y(n_30924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g5412 (
+	.A(n_79736),
+	.B(addinc_ADD_UNS_OP_2_n_1985),
+	.Y(n_30923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g5413 (
+	.A(n_55356),
+	.B(addinc_ADD_UNS_OP_2_n_1982),
+	.Y(n_30922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g5414 (
+	.A(n_89328),
+	.B(addinc_ADD_UNS_OP_2_n_1454),
+	.Y(n_30921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g5415 (
+	.A(n_61440),
+	.B(addinc_ADD_UNS_OP_2_n_1895),
+	.Y(n_30920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g5416 (
+	.A(n_79640),
+	.B(addinc_ADD_UNS_OP_2_n_1448),
+	.Y(n_30919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g5418 (
+	.A(n_88179),
+	.B(addinc_ADD_UNS_OP_2_n_1986),
+	.Y(n_30917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g5420 (
+	.A(n_48482),
+	.B(addinc_ADD_UNS_OP_2_n_1990),
+	.Y(n_30915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g5426 (
+	.A(addinc_ADD_UNS_OP_2_n_605),
+	.B(addinc_ADD_UNS_OP_2_n_1449),
+	.Y(addinc_ADD_UNS_OP_2_n_1545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 addinc_ADD_UNS_OP_2_g5431 (
+	.A(addinc_ADD_UNS_OP_2_n_613),
+	.B(addinc_ADD_UNS_OP_2_n_1433),
+	.Y(addinc_ADD_UNS_OP_2_n_1540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 addinc_ADD_UNS_OP_2_g5432 (
+	.A(addinc_ADD_UNS_OP_2_n_613),
+	.B(addinc_ADD_UNS_OP_2_n_1429),
+	.Y(addinc_ADD_UNS_OP_2_n_1539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g5435 (
+	.A(n_40134),
+	.B(addinc_ADD_UNS_OP_2_n_1428),
+	.Y(addinc_ADD_UNS_OP_2_n_1536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g5436 (
+	.A(n_40134),
+	.B(addinc_ADD_UNS_OP_2_n_1439),
+	.Y(addinc_ADD_UNS_OP_2_n_1535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g5438 (
+	.A(n_64210),
+	.B(addinc_ADD_UNS_OP_2_n_1988),
+	.Y(n_30926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g5453 (
+	.A(n_58922),
+	.B(addinc_ADD_UNS_OP_2_n_1173),
+	.Y(addinc_ADD_UNS_OP_2_n_1518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g5464 (
+	.A(addinc_ADD_UNS_OP_2_n_549),
+	.B(addinc_ADD_UNS_OP_2_n_1991),
+	.Y(n_30901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g5476 (
+	.A(addinc_ADD_UNS_OP_2_n_566),
+	.B(n_58255),
+	.Y(n_30902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g5479 (
+	.A(addinc_ADD_UNS_OP_2_n_664),
+	.B(addinc_ADD_UNS_OP_2_n_1365),
+	.Y(n_30903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 addinc_ADD_UNS_OP_2_g5481 (
+	.A1(n_75800),
+	.A2(n_79661),
+	.B1(n_79664),
+	.Y(addinc_ADD_UNS_OP_2_n_1490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g5504 (
+	.A(n_58920),
+	.B(n_58240),
+	.Y(addinc_ADD_UNS_OP_2_n_1463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 addinc_ADD_UNS_OP_2_g5514 (
+	.A1(n_48435),
+	.A2(addinc_ADD_UNS_OP_2_n_1351),
+	.B1(n_76847),
+	.Y(addinc_ADD_UNS_OP_2_n_1454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g5518 (
+	.A(addinc_ADD_UNS_OP_2_n_1896),
+	.B(addinc_ADD_UNS_OP_2_n_2001),
+	.Y(addinc_ADD_UNS_OP_2_n_1452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 addinc_ADD_UNS_OP_2_g5521 (
+	.A1(addinc_ADD_UNS_OP_2_n_1182),
+	.A2(n_56492),
+	.B1(n_76272),
+	.Y(addinc_ADD_UNS_OP_2_n_1449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 addinc_ADD_UNS_OP_2_g5522 (
+	.A1(addinc_ADD_UNS_OP_2_n_1904),
+	.A2(addinc_ADD_UNS_OP_2_n_1351),
+	.B1(addinc_ADD_UNS_OP_2_n_1200),
+	.Y(addinc_ADD_UNS_OP_2_n_1448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g5524 (
+	.A(n_53880),
+	.Y(addinc_ADD_UNS_OP_2_n_1447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g5531 (
+	.A(addinc_ADD_UNS_OP_2_n_1404),
+	.B(addinc_ADD_UNS_OP_2_n_1331),
+	.Y(addinc_ADD_UNS_OP_2_n_1439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g5533 (
+	.A(n_75608),
+	.B(n_46373),
+	.Y(addinc_ADD_UNS_OP_2_n_1437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g5534 (
+	.A(n_75609),
+	.B(n_40643),
+	.Y(addinc_ADD_UNS_OP_2_n_1436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g5537 (
+	.A(addinc_ADD_UNS_OP_2_n_1399),
+	.B(n_60611),
+	.Y(addinc_ADD_UNS_OP_2_n_1433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g5541 (
+	.A(addinc_ADD_UNS_OP_2_n_1397),
+	.B(n_60611),
+	.Y(addinc_ADD_UNS_OP_2_n_1429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g5542 (
+	.A(addinc_ADD_UNS_OP_2_n_1405),
+	.B(addinc_ADD_UNS_OP_2_n_1331),
+	.Y(addinc_ADD_UNS_OP_2_n_1428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 addinc_ADD_UNS_OP_2_g5544 (
+	.A1(addinc_ADD_UNS_OP_2_n_1133),
+	.A2(n_56492),
+	.B1(n_40643),
+	.Y(addinc_ADD_UNS_OP_2_n_1426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g5545 (
+	.A(addinc_ADD_UNS_OP_2_n_1396),
+	.B(n_78998),
+	.Y(addinc_ADD_UNS_OP_2_n_1425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g5562 (
+	.A(n_58923),
+	.B(addinc_ADD_UNS_OP_2_n_1135),
+	.Y(addinc_ADD_UNS_OP_2_n_1405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g5563 (
+	.A(n_58924),
+	.B(addinc_ADD_UNS_OP_2_n_1135),
+	.Y(addinc_ADD_UNS_OP_2_n_1404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g5568 (
+	.A(n_58923),
+	.B(addinc_ADD_UNS_OP_2_n_1862),
+	.Y(addinc_ADD_UNS_OP_2_n_1399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g5569 (
+	.A(n_89284),
+	.B(addinc_ADD_UNS_OP_2_n_1351),
+	.Y(addinc_ADD_UNS_OP_2_n_1398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g5570 (
+	.A(n_58924),
+	.B(addinc_ADD_UNS_OP_2_n_1862),
+	.Y(addinc_ADD_UNS_OP_2_n_1397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g5571 (
+	.A(n_58923),
+	.B(FE_DBTN65_n_58755),
+	.Y(addinc_ADD_UNS_OP_2_n_1396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 addinc_ADD_UNS_OP_2_g5583 (
+	.A1(n_78997),
+	.A2(addinc_ADD_UNS_OP_2_n_1131),
+	.B1(addinc_ADD_UNS_OP_2_n_1285),
+	.Y(addinc_ADD_UNS_OP_2_n_1388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 addinc_ADD_UNS_OP_2_g5584 (
+	.A1(n_78997),
+	.A2(addinc_ADD_UNS_OP_2_n_1129),
+	.B1(addinc_ADD_UNS_OP_2_n_1284),
+	.Y(addinc_ADD_UNS_OP_2_n_1387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 addinc_ADD_UNS_OP_2_g5585 (
+	.A1(n_78997),
+	.A2(addinc_ADD_UNS_OP_2_n_969),
+	.B1(addinc_ADD_UNS_OP_2_n_1187),
+	.Y(addinc_ADD_UNS_OP_2_n_1386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g5591 (
+	.A(addinc_ADD_UNS_OP_2_n_666),
+	.B(n_90612),
+	.Y(n_30899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 addinc_ADD_UNS_OP_2_g5592 (
+	.A1(n_78997),
+	.A2(addinc_ADD_UNS_OP_2_n_1142),
+	.B1(addinc_ADD_UNS_OP_2_n_1279),
+	.Y(addinc_ADD_UNS_OP_2_n_1379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 addinc_ADD_UNS_OP_2_g5599 (
+	.A1(n_78997),
+	.A2(addinc_ADD_UNS_OP_2_n_1132),
+	.B1(addinc_ADD_UNS_OP_2_n_1316),
+	.Y(addinc_ADD_UNS_OP_2_n_1372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 addinc_ADD_UNS_OP_2_g5600 (
+	.A1(addinc_ADD_UNS_OP_2_n_1130),
+	.A2(n_78998),
+	.B1(addinc_ADD_UNS_OP_2_n_1317),
+	.X(addinc_ADD_UNS_OP_2_n_1371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 addinc_ADD_UNS_OP_2_g5601 (
+	.A1(addinc_ADD_UNS_OP_2_n_1128),
+	.A2(n_78998),
+	.B1(addinc_ADD_UNS_OP_2_n_1321),
+	.X(addinc_ADD_UNS_OP_2_n_1370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 addinc_ADD_UNS_OP_2_g5603 (
+	.A1(n_78997),
+	.A2(addinc_ADD_UNS_OP_2_n_1011),
+	.B1(n_58475),
+	.Y(addinc_ADD_UNS_OP_2_n_1368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 addinc_ADD_UNS_OP_2_g5604 (
+	.A1(n_78997),
+	.A2(addinc_ADD_UNS_OP_2_n_1136),
+	.B1(addinc_ADD_UNS_OP_2_n_1312),
+	.Y(addinc_ADD_UNS_OP_2_n_1367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 addinc_ADD_UNS_OP_2_g5606 (
+	.A1(n_75748),
+	.A2(n_79676),
+	.B1(n_79674),
+	.Y(addinc_ADD_UNS_OP_2_n_1365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g5631 (
+	.A(addinc_ADD_UNS_OP_2_n_1351),
+	.Y(addinc_ADD_UNS_OP_2_n_1335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_8 addinc_ADD_UNS_OP_2_g5638 (
+	.A(addinc_ADD_UNS_OP_2_n_1287),
+	.B(addinc_ADD_UNS_OP_2_n_1263),
+	.Y(addinc_ADD_UNS_OP_2_n_1351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 addinc_ADD_UNS_OP_2_g5646 (
+	.A1(n_38170),
+	.A2(addinc_ADD_UNS_OP_2_n_970),
+	.B1(addinc_ADD_UNS_OP_2_n_1189),
+	.Y(addinc_ADD_UNS_OP_2_n_1321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 addinc_ADD_UNS_OP_2_g5647 (
+	.A1(addinc_ADD_UNS_OP_2_n_1221),
+	.A2(addinc_ADD_UNS_OP_2_n_706),
+	.B1(addinc_ADD_UNS_OP_2_n_774),
+	.Y(addinc_ADD_UNS_OP_2_n_1320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 addinc_ADD_UNS_OP_2_g5650 (
+	.A1(n_38170),
+	.A2(addinc_ADD_UNS_OP_2_n_611),
+	.B1(addinc_ADD_UNS_OP_2_n_808),
+	.Y(addinc_ADD_UNS_OP_2_n_1317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 addinc_ADD_UNS_OP_2_g5651 (
+	.A1(n_47674),
+	.A2(n_38172),
+	.B1(addinc_ADD_UNS_OP_2_n_350),
+	.Y(addinc_ADD_UNS_OP_2_n_1316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 addinc_ADD_UNS_OP_2_g5652 (
+	.A1(n_63887),
+	.A2(addinc_ADD_UNS_OP_2_n_972),
+	.B1(addinc_ADD_UNS_OP_2_n_1996),
+	.Y(addinc_ADD_UNS_OP_2_n_1315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 addinc_ADD_UNS_OP_2_g5653 (
+	.A1(addinc_ADD_UNS_OP_2_n_1221),
+	.A2(addinc_ADD_UNS_OP_2_n_1935),
+	.B1_N(addinc_ADD_UNS_OP_2_n_412),
+	.Y(addinc_ADD_UNS_OP_2_n_1314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 addinc_ADD_UNS_OP_2_g5655 (
+	.A1(addinc_ADD_UNS_OP_2_n_871),
+	.A2(n_38172),
+	.B1(addinc_ADD_UNS_OP_2_n_1071),
+	.Y(addinc_ADD_UNS_OP_2_n_1312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 addinc_ADD_UNS_OP_2_g5656 (
+	.A1(n_63887),
+	.A2(addinc_ADD_UNS_OP_2_n_856),
+	.B1(addinc_ADD_UNS_OP_2_n_1042),
+	.Y(addinc_ADD_UNS_OP_2_n_1311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 addinc_ADD_UNS_OP_2_g5657 (
+	.A1(n_89302),
+	.A2(n_46372),
+	.B1(addinc_ADD_UNS_OP_2_n_784),
+	.X(addinc_ADD_UNS_OP_2_n_1331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g5681 (
+	.A(n_90613),
+	.B(addinc_ADD_UNS_OP_2_n_1198),
+	.Y(addinc_ADD_UNS_OP_2_n_1287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 addinc_ADD_UNS_OP_2_g5683 (
+	.A1(addinc_ADD_UNS_OP_2_n_932),
+	.A2(n_38172),
+	.B1(addinc_ADD_UNS_OP_2_n_1038),
+	.Y(addinc_ADD_UNS_OP_2_n_1285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 addinc_ADD_UNS_OP_2_g5684 (
+	.A1(addinc_ADD_UNS_OP_2_n_1905),
+	.A2(n_38172),
+	.B1(n_42572),
+	.B2(addinc_ADD_UNS_OP_2_n_1071),
+	.C1(n_54323),
+	.Y(addinc_ADD_UNS_OP_2_n_1284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 addinc_ADD_UNS_OP_2_g5685 (
+	.A1(addinc_ADD_UNS_OP_2_n_774),
+	.A2(addinc_ADD_UNS_OP_2_n_396),
+	.B1(addinc_ADD_UNS_OP_2_n_1221),
+	.B2(addinc_ADD_UNS_OP_2_n_913),
+	.C1(addinc_ADD_UNS_OP_2_n_215),
+	.Y(addinc_ADD_UNS_OP_2_n_1283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 addinc_ADD_UNS_OP_2_g5686 (
+	.A1(n_63887),
+	.A2(addinc_ADD_UNS_OP_2_n_907),
+	.B1(n_55355),
+	.Y(addinc_ADD_UNS_OP_2_n_1282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 addinc_ADD_UNS_OP_2_g5687 (
+	.A1(n_63887),
+	.A2(addinc_ADD_UNS_OP_2_n_1017),
+	.B1(addinc_ADD_UNS_OP_2_n_1242),
+	.Y(addinc_ADD_UNS_OP_2_n_1281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 addinc_ADD_UNS_OP_2_g5688 (
+	.A1(n_63887),
+	.A2(addinc_ADD_UNS_OP_2_n_1014),
+	.B1(n_47061),
+	.Y(addinc_ADD_UNS_OP_2_n_1280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 addinc_ADD_UNS_OP_2_g5689 (
+	.A1(addinc_ADD_UNS_OP_2_n_1015),
+	.A2(n_38172),
+	.B1(addinc_ADD_UNS_OP_2_n_1236),
+	.Y(addinc_ADD_UNS_OP_2_n_1279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g5694 (
+	.A(addinc_ADD_UNS_OP_2_n_1269),
+	.B(addinc_ADD_UNS_OP_2_n_1027),
+	.Y(addinc_ADD_UNS_OP_2_n_1276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g5697 (
+	.A(FE_DBTN76_n_78163),
+	.B(n_88102),
+	.Y(addinc_ADD_UNS_OP_2_n_1274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g5702 (
+	.A(addinc_ADD_UNS_OP_2_n_1221),
+	.B(addinc_ADD_UNS_OP_2_n_923),
+	.Y(addinc_ADD_UNS_OP_2_n_1269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 addinc_ADD_UNS_OP_2_g5708 (
+	.A(addinc_ADD_UNS_OP_2_n_1232),
+	.B(n_79727),
+	.C(addinc_ADD_UNS_OP_2_n_1161),
+	.Y(addinc_ADD_UNS_OP_2_n_1263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 addinc_ADD_UNS_OP_2_g5725 (
+	.A1(n_88722),
+	.A2(addinc_ADD_UNS_OP_2_n_206),
+	.B1(addinc_ADD_UNS_OP_2_n_261),
+	.Y(addinc_ADD_UNS_OP_2_n_1244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 addinc_ADD_UNS_OP_2_g5727 (
+	.A1(addinc_ADD_UNS_OP_2_n_174),
+	.A2(addinc_ADD_UNS_OP_2_n_1044),
+	.B1(n_79420),
+	.Y(addinc_ADD_UNS_OP_2_n_1242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 addinc_ADD_UNS_OP_2_g5728 (
+	.A1(n_63882),
+	.A2(n_39883),
+	.B1(n_38349),
+	.Y(addinc_ADD_UNS_OP_2_n_1241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 addinc_ADD_UNS_OP_2_g5733 (
+	.A1(addinc_ADD_UNS_OP_2_n_1070),
+	.A2(n_87873),
+	.B1(n_54322),
+	.Y(addinc_ADD_UNS_OP_2_n_1236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 addinc_ADD_UNS_OP_2_g5736 (
+	.A1(addinc_ADD_UNS_OP_2_n_356),
+	.A2(addinc_ADD_UNS_OP_2_n_1049),
+	.B1(addinc_ADD_UNS_OP_2_n_351),
+	.Y(addinc_ADD_UNS_OP_2_n_1233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 addinc_ADD_UNS_OP_2_g5739 (
+	.A(n_38335),
+	.Y(addinc_ADD_UNS_OP_2_n_1232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g5748 (
+	.A(addinc_ADD_UNS_OP_2_n_1221),
+	.Y(addinc_ADD_UNS_OP_2_n_1222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 addinc_ADD_UNS_OP_2_g5767 (
+	.A1(n_63882),
+	.A2(addinc_ADD_UNS_OP_2_n_925),
+	.B1(addinc_ADD_UNS_OP_2_n_1108),
+	.Y(addinc_ADD_UNS_OP_2_n_1200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g5769 (
+	.A(n_50578),
+	.B(n_50104),
+	.Y(addinc_ADD_UNS_OP_2_n_1198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 addinc_ADD_UNS_OP_2_g5771 (
+	.A1(n_88722),
+	.A2(addinc_ADD_UNS_OP_2_n_927),
+	.B1(n_47048),
+	.Y(addinc_ADD_UNS_OP_2_n_1196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 addinc_ADD_UNS_OP_2_g5778 (
+	.A1(addinc_ADD_UNS_OP_2_n_921),
+	.A2(addinc_ADD_UNS_OP_2_n_1071),
+	.B1(n_87870),
+	.C1(addinc_ADD_UNS_OP_2_n_988),
+	.Y(addinc_ADD_UNS_OP_2_n_1189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 addinc_ADD_UNS_OP_2_g5780 (
+	.A1(addinc_ADD_UNS_OP_2_n_911),
+	.A2(addinc_ADD_UNS_OP_2_n_1049),
+	.B1(n_58474),
+	.Y(addinc_ADD_UNS_OP_2_n_1187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g5782 (
+	.A(n_50555),
+	.B(n_79688),
+	.Y(addinc_ADD_UNS_OP_2_n_1221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g5794 (
+	.A(n_86606),
+	.B(n_58755),
+	.Y(addinc_ADD_UNS_OP_2_n_1173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g5797 (
+	.A(addinc_ADD_UNS_OP_2_n_1130),
+	.B(n_58755),
+	.Y(addinc_ADD_UNS_OP_2_n_1170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g5798 (
+	.A(addinc_ADD_UNS_OP_2_n_1042),
+	.B(addinc_ADD_UNS_OP_2_n_912),
+	.Y(addinc_ADD_UNS_OP_2_n_1169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g5799 (
+	.A(addinc_ADD_UNS_OP_2_n_1070),
+	.B(n_75386),
+	.Y(addinc_ADD_UNS_OP_2_n_1168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 addinc_ADD_UNS_OP_2_g5800 (
+	.A(n_58755),
+	.B_N(addinc_ADD_UNS_OP_2_n_1009),
+	.Y(addinc_ADD_UNS_OP_2_n_1167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g5803 (
+	.A(FE_DBTN65_n_58755),
+	.B(n_90575),
+	.Y(addinc_ADD_UNS_OP_2_n_1184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g5804 (
+	.A(FE_DBTN65_n_58755),
+	.B(n_46740),
+	.Y(addinc_ADD_UNS_OP_2_n_1182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g5807 (
+	.A(addinc_ADD_UNS_OP_2_n_1151),
+	.Y(addinc_ADD_UNS_OP_2_n_1164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g5809 (
+	.A(n_51114),
+	.B(n_51095),
+	.Y(addinc_ADD_UNS_OP_2_n_1161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g5810 (
+	.A(addinc_ADD_UNS_OP_2_n_1128),
+	.B(n_58755),
+	.Y(addinc_ADD_UNS_OP_2_n_1160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g5813 (
+	.A(n_90578),
+	.B(n_58755),
+	.Y(addinc_ADD_UNS_OP_2_n_1157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g5819 (
+	.A(n_40154),
+	.B(FE_DBTN132_n_63885),
+	.Y(addinc_ADD_UNS_OP_2_n_1151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g5820 (
+	.A(n_90635),
+	.B(n_58755),
+	.Y(addinc_ADD_UNS_OP_2_n_1150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 addinc_ADD_UNS_OP_2_g5823 (
+	.A(n_58755),
+	.B_N(addinc_ADD_UNS_OP_2_n_908),
+	.Y(addinc_ADD_UNS_OP_2_n_1147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 addinc_ADD_UNS_OP_2_g5848 (
+	.A1(addinc_ADD_UNS_OP_2_n_166),
+	.A2(addinc_ADD_UNS_OP_2_n_2067),
+	.B1(addinc_ADD_UNS_OP_2_n_252),
+	.Y(addinc_ADD_UNS_OP_2_n_1108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g5849 (
+	.A(addinc_ADD_UNS_OP_2_n_1016),
+	.B(n_40154),
+	.Y(addinc_ADD_UNS_OP_2_n_1107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 addinc_ADD_UNS_OP_2_g5854 (
+	.A(n_75217),
+	.B(addinc_ADD_UNS_OP_2_n_913),
+	.X(addinc_ADD_UNS_OP_2_n_1102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g5856 (
+	.A(n_55357),
+	.B(n_40154),
+	.Y(addinc_ADD_UNS_OP_2_n_1100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g5857 (
+	.A(n_75679),
+	.B(n_79483),
+	.Y(addinc_ADD_UNS_OP_2_n_1099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g5858 (
+	.A(n_89920),
+	.B(n_79483),
+	.Y(addinc_ADD_UNS_OP_2_n_1098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g5859 (
+	.A(n_55349),
+	.B(n_40154),
+	.Y(addinc_ADD_UNS_OP_2_n_1097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g5860 (
+	.A(n_47768),
+	.B(n_40154),
+	.Y(addinc_ADD_UNS_OP_2_n_1096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g5861 (
+	.A(n_40154),
+	.B(addinc_ADD_UNS_OP_2_n_971),
+	.Y(addinc_ADD_UNS_OP_2_n_1095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g5863 (
+	.A(addinc_ADD_UNS_OP_2_n_1015),
+	.B(n_90635),
+	.Y(addinc_ADD_UNS_OP_2_n_1142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g5866 (
+	.A(addinc_ADD_UNS_OP_2_n_2041),
+	.B(addinc_ADD_UNS_OP_2_n_159),
+	.Y(addinc_ADD_UNS_OP_2_n_1137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g5867 (
+	.A(addinc_ADD_UNS_OP_2_n_871),
+	.B(n_90635),
+	.Y(addinc_ADD_UNS_OP_2_n_1136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 addinc_ADD_UNS_OP_2_g5868 (
+	.A(n_89302),
+	.B(n_79483),
+	.Y(addinc_ADD_UNS_OP_2_n_1135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g5869 (
+	.A(n_77910),
+	.B(addinc_ADD_UNS_OP_2_n_2041),
+	.Y(addinc_ADD_UNS_OP_2_n_1133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g5870 (
+	.A(n_47674),
+	.B(n_90635),
+	.Y(addinc_ADD_UNS_OP_2_n_1132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g5871 (
+	.A(addinc_ADD_UNS_OP_2_n_932),
+	.B(n_90635),
+	.Y(addinc_ADD_UNS_OP_2_n_1131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g5872 (
+	.A(FE_DBTN133_n_90635),
+	.B(addinc_ADD_UNS_OP_2_n_611),
+	.Y(addinc_ADD_UNS_OP_2_n_1130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g5873 (
+	.A(addinc_ADD_UNS_OP_2_n_1905),
+	.B(n_90635),
+	.Y(addinc_ADD_UNS_OP_2_n_1129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g5874 (
+	.A(FE_DBTN133_n_90635),
+	.B(addinc_ADD_UNS_OP_2_n_970),
+	.Y(addinc_ADD_UNS_OP_2_n_1128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g5878 (
+	.A(addinc_ADD_UNS_OP_2_n_1041),
+	.Y(addinc_ADD_UNS_OP_2_n_1093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g5879 (
+	.A(n_90521),
+	.Y(addinc_ADD_UNS_OP_2_n_1092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g5881 (
+	.A(addinc_ADD_UNS_OP_2_n_1026),
+	.Y(addinc_ADD_UNS_OP_2_n_1090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g5882 (
+	.A(addinc_ADD_UNS_OP_2_n_1024),
+	.Y(addinc_ADD_UNS_OP_2_n_1089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g5897 (
+	.A(addinc_ADD_UNS_OP_2_n_1070),
+	.Y(addinc_ADD_UNS_OP_2_n_1071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g5914 (
+	.A(n_56407),
+	.Y(addinc_ADD_UNS_OP_2_n_1049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g5918 (
+	.A(addinc_ADD_UNS_OP_2_n_1042),
+	.Y(addinc_ADD_UNS_OP_2_n_1044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g5920 (
+	.A(n_40073),
+	.B(n_79575),
+	.Y(addinc_ADD_UNS_OP_2_n_1041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 addinc_ADD_UNS_OP_2_g5923 (
+	.A1(addinc_ADD_UNS_OP_2_n_808),
+	.A2(n_54034),
+	.B1_N(addinc_ADD_UNS_OP_2_n_439),
+	.Y(addinc_ADD_UNS_OP_2_n_1038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 addinc_ADD_UNS_OP_2_g5925 (
+	.A1(n_79533),
+	.A2(n_79620),
+	.B1(n_53772),
+	.Y(addinc_ADD_UNS_OP_2_n_1036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 addinc_ADD_UNS_OP_2_g5927 (
+	.A1(addinc_ADD_UNS_OP_2_n_402),
+	.A2(addinc_ADD_UNS_OP_2_n_816),
+	.B1(addinc_ADD_UNS_OP_2_n_416),
+	.Y(addinc_ADD_UNS_OP_2_n_1034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 addinc_ADD_UNS_OP_2_g5934 (
+	.A1(addinc_ADD_UNS_OP_2_n_774),
+	.A2(addinc_ADD_UNS_OP_2_n_633),
+	.B1(addinc_ADD_UNS_OP_2_n_763),
+	.Y(addinc_ADD_UNS_OP_2_n_1027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g5935 (
+	.A(addinc_ADD_UNS_OP_2_n_942),
+	.B(n_75218),
+	.Y(addinc_ADD_UNS_OP_2_n_1026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g5937 (
+	.A(addinc_ADD_UNS_OP_2_n_939),
+	.B(addinc_ADD_UNS_OP_2_n_826),
+	.Y(addinc_ADD_UNS_OP_2_n_1024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 addinc_ADD_UNS_OP_2_g5938 (
+	.A1(n_54322),
+	.A2(n_53998),
+	.B1(addinc_ADD_UNS_OP_2_n_761),
+	.Y(addinc_ADD_UNS_OP_2_n_1023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g5945 (
+	.A(addinc_ADD_UNS_OP_2_n_948),
+	.B(n_58018),
+	.Y(addinc_ADD_UNS_OP_2_n_1070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g5951 (
+	.A(n_55347),
+	.B(addinc_ADD_UNS_OP_2_n_821),
+	.Y(addinc_ADD_UNS_OP_2_n_1042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g5953 (
+	.A(addinc_ADD_UNS_OP_2_n_1016),
+	.Y(addinc_ADD_UNS_OP_2_n_1017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g5954 (
+	.A(n_47768),
+	.Y(addinc_ADD_UNS_OP_2_n_1014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 addinc_ADD_UNS_OP_2_g5973 (
+	.A_N(n_87871),
+	.B(n_54322),
+	.Y(addinc_ADD_UNS_OP_2_n_988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 addinc_ADD_UNS_OP_2_g5983 (
+	.A(addinc_ADD_UNS_OP_2_n_624),
+	.B(n_86815),
+	.Y(addinc_ADD_UNS_OP_2_n_979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g5986 (
+	.A(n_88181),
+	.B(n_40156),
+	.Y(addinc_ADD_UNS_OP_2_n_976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g5988 (
+	.A(addinc_ADD_UNS_OP_2_n_856),
+	.B(n_47057),
+	.Y(addinc_ADD_UNS_OP_2_n_1016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 addinc_ADD_UNS_OP_2_g5989 (
+	.A_N(addinc_ADD_UNS_OP_2_n_871),
+	.B(n_87873),
+	.Y(addinc_ADD_UNS_OP_2_n_1015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 addinc_ADD_UNS_OP_2_g5992 (
+	.A(n_90578),
+	.B_N(n_56368),
+	.Y(addinc_ADD_UNS_OP_2_n_1011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g5994 (
+	.A(addinc_ADD_UNS_OP_2_n_356),
+	.B(n_90578),
+	.Y(addinc_ADD_UNS_OP_2_n_1009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g5998 (
+	.A(addinc_ADD_UNS_OP_2_n_971),
+	.Y(addinc_ADD_UNS_OP_2_n_972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g6014 (
+	.A(addinc_ADD_UNS_OP_2_n_808),
+	.B(n_56588),
+	.Y(addinc_ADD_UNS_OP_2_n_948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g6018 (
+	.A(addinc_ADD_UNS_OP_2_n_926),
+	.B(addinc_ADD_UNS_OP_2_n_2025),
+	.Y(addinc_ADD_UNS_OP_2_n_944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g6020 (
+	.A(addinc_ADD_UNS_OP_2_n_2017),
+	.B(addinc_ADD_UNS_OP_2_n_639),
+	.Y(addinc_ADD_UNS_OP_2_n_942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g6023 (
+	.A(addinc_ADD_UNS_OP_2_n_780),
+	.B(n_50046),
+	.Y(addinc_ADD_UNS_OP_2_n_939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g6024 (
+	.A(n_38349),
+	.B(n_91011),
+	.Y(addinc_ADD_UNS_OP_2_n_938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g6028 (
+	.A(n_75613),
+	.B(n_89920),
+	.Y(addinc_ADD_UNS_OP_2_n_973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6029 (
+	.A(n_47766),
+	.B(addinc_ADD_UNS_OP_2_n_856),
+	.Y(addinc_ADD_UNS_OP_2_n_971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g6030 (
+	.A(addinc_ADD_UNS_OP_2_n_921),
+	.B(addinc_ADD_UNS_OP_2_n_871),
+	.Y(addinc_ADD_UNS_OP_2_n_970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g6031 (
+	.A(addinc_ADD_UNS_OP_2_n_911),
+	.B(n_90578),
+	.Y(addinc_ADD_UNS_OP_2_n_969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g6032 (
+	.A(addinc_ADD_UNS_OP_2_n_2122),
+	.B(n_89920),
+	.Y(addinc_ADD_UNS_OP_2_n_968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g6037 (
+	.A(n_47766),
+	.Y(addinc_ADD_UNS_OP_2_n_934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g6038 (
+	.A(addinc_ADD_UNS_OP_2_n_930),
+	.Y(addinc_ADD_UNS_OP_2_n_931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g6039 (
+	.A(addinc_ADD_UNS_OP_2_n_926),
+	.Y(addinc_ADD_UNS_OP_2_n_927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g6042 (
+	.A(n_75613),
+	.Y(addinc_ADD_UNS_OP_2_n_920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g6043 (
+	.A(n_75679),
+	.Y(addinc_ADD_UNS_OP_2_n_918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 addinc_ADD_UNS_OP_2_g6046 (
+	.A(n_47769),
+	.Y(addinc_ADD_UNS_OP_2_n_912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g6048 (
+	.A(n_55357),
+	.Y(addinc_ADD_UNS_OP_2_n_907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g6054 (
+	.A(n_86815),
+	.Y(addinc_ADD_UNS_OP_2_n_900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g6078 (
+	.A(n_54030),
+	.Y(addinc_ADD_UNS_OP_2_n_871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 addinc_ADD_UNS_OP_2_g6093 (
+	.A(n_55349),
+	.Y(addinc_ADD_UNS_OP_2_n_856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6108 (
+	.A(addinc_ADD_UNS_OP_2_n_611),
+	.B(n_54034),
+	.Y(addinc_ADD_UNS_OP_2_n_932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g6109 (
+	.A(n_61553),
+	.B(addinc_ADD_UNS_OP_2_n_639),
+	.Y(addinc_ADD_UNS_OP_2_n_930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 addinc_ADD_UNS_OP_2_g6111 (
+	.A(n_75219),
+	.B(addinc_ADD_UNS_OP_2_n_391),
+	.X(addinc_ADD_UNS_OP_2_n_928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6112 (
+	.A(n_37995),
+	.B(n_50048),
+	.Y(addinc_ADD_UNS_OP_2_n_926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g6113 (
+	.A(addinc_ADD_UNS_OP_2_n_166),
+	.B(n_88181),
+	.Y(addinc_ADD_UNS_OP_2_n_925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 addinc_ADD_UNS_OP_2_g6114 (
+	.A(addinc_ADD_UNS_OP_2_n_632),
+	.B(addinc_ADD_UNS_OP_2_n_1924),
+	.Y(addinc_ADD_UNS_OP_2_n_923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 addinc_ADD_UNS_OP_2_g6116 (
+	.A_N(n_87871),
+	.B(n_87873),
+	.Y(addinc_ADD_UNS_OP_2_n_921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6120 (
+	.A(FE_DBTN135_n_88477),
+	.B(n_50543),
+	.Y(addinc_ADD_UNS_OP_2_n_914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 addinc_ADD_UNS_OP_2_g6121 (
+	.A(addinc_ADD_UNS_OP_2_n_706),
+	.B(addinc_ADD_UNS_OP_2_n_396),
+	.X(addinc_ADD_UNS_OP_2_n_913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6123 (
+	.A(n_56368),
+	.B(addinc_ADD_UNS_OP_2_n_200),
+	.Y(addinc_ADD_UNS_OP_2_n_911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 addinc_ADD_UNS_OP_2_g6126 (
+	.A(n_79533),
+	.B_N(n_90575),
+	.Y(addinc_ADD_UNS_OP_2_n_908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g6132 (
+	.A(n_50099),
+	.B(FE_DBTN135_n_88477),
+	.Y(addinc_ADD_UNS_OP_2_n_837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 addinc_ADD_UNS_OP_2_g6145 (
+	.A(addinc_ADD_UNS_OP_2_n_766),
+	.Y(addinc_ADD_UNS_OP_2_n_826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 addinc_ADD_UNS_OP_2_g6150 (
+	.A(addinc_ADD_UNS_OP_2_n_758),
+	.Y(addinc_ADD_UNS_OP_2_n_821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 addinc_ADD_UNS_OP_2_g6154 (
+	.A(addinc_ADD_UNS_OP_2_n_2017),
+	.Y(addinc_ADD_UNS_OP_2_n_816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 addinc_ADD_UNS_OP_2_g6161 (
+	.A(n_77585),
+	.Y(addinc_ADD_UNS_OP_2_n_804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g6165 (
+	.A(n_55662),
+	.Y(addinc_ADD_UNS_OP_2_n_798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g6171 (
+	.A(n_86297),
+	.Y(addinc_ADD_UNS_OP_2_n_788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g6173 (
+	.A(addinc_ADD_UNS_OP_2_n_783),
+	.Y(addinc_ADD_UNS_OP_2_n_784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g6175 (
+	.A(addinc_ADD_UNS_OP_2_n_780),
+	.Y(addinc_ADD_UNS_OP_2_n_781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g6181 (
+	.A(n_47788),
+	.B(n_88143),
+	.Y(addinc_ADD_UNS_OP_2_n_771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g6186 (
+	.A(n_44969),
+	.B(n_59575),
+	.Y(addinc_ADD_UNS_OP_2_n_766), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 addinc_ADD_UNS_OP_2_g6189 (
+	.A1(addinc_ADD_UNS_OP_2_n_214),
+	.A2(addinc_ADD_UNS_OP_2_n_501),
+	.B1(addinc_ADD_UNS_OP_2_n_321),
+	.Y(addinc_ADD_UNS_OP_2_n_763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 addinc_ADD_UNS_OP_2_g6191 (
+	.A1(n_87870),
+	.A2(addinc_ADD_UNS_OP_2_n_274),
+	.B1(addinc_ADD_UNS_OP_2_n_304),
+	.Y(addinc_ADD_UNS_OP_2_n_761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g6193 (
+	.A(n_48483),
+	.B(n_62845),
+	.Y(addinc_ADD_UNS_OP_2_n_759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g6194 (
+	.A(n_88462),
+	.B(n_58216),
+	.Y(addinc_ADD_UNS_OP_2_n_758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g6201 (
+	.A(n_58934),
+	.B(n_37944),
+	.Y(addinc_ADD_UNS_OP_2_n_808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g6211 (
+	.A(n_88456),
+	.B(addinc_ADD_UNS_OP_2_n_531),
+	.Y(addinc_ADD_UNS_OP_2_n_783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g6212 (
+	.A(addinc_ADD_UNS_OP_2_n_692),
+	.B(addinc_ADD_UNS_OP_2_n_311),
+	.Y(addinc_ADD_UNS_OP_2_n_780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 addinc_ADD_UNS_OP_2_g6215 (
+	.A1(addinc_ADD_UNS_OP_2_n_412),
+	.A2(n_88730),
+	.B1(n_88731),
+	.Y(addinc_ADD_UNS_OP_2_n_774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g6227 (
+	.A(addinc_ADD_UNS_OP_2_n_653),
+	.Y(addinc_ADD_UNS_OP_2_n_732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 addinc_ADD_UNS_OP_2_g6248 (
+	.A(addinc_ADD_UNS_OP_2_n_1924),
+	.Y(addinc_ADD_UNS_OP_2_n_706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g6254 (
+	.A(addinc_ADD_UNS_OP_2_n_697),
+	.Y(addinc_ADD_UNS_OP_2_n_698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g6257 (
+	.A(addinc_ADD_UNS_OP_2_n_432),
+	.B(addinc_ADD_UNS_OP_2_n_261),
+	.Y(addinc_ADD_UNS_OP_2_n_692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6258 (
+	.A(addinc_ADD_UNS_OP_2_n_473),
+	.B(n_38883),
+	.Y(addinc_ADD_UNS_OP_2_n_691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6267 (
+	.A(n_47057),
+	.B(n_79420),
+	.Y(addinc_ADD_UNS_OP_2_n_683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 addinc_ADD_UNS_OP_2_g6268 (
+	.A_N(addinc_ADD_UNS_OP_2_n_501),
+	.B(addinc_ADD_UNS_OP_2_n_321),
+	.Y(addinc_ADD_UNS_OP_2_n_682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6270 (
+	.A(n_50048),
+	.B(addinc_ADD_UNS_OP_2_n_143),
+	.Y(addinc_ADD_UNS_OP_2_n_680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 addinc_ADD_UNS_OP_2_g6272 (
+	.A(n_75000),
+	.B(addinc_ADD_UNS_OP_2_n_297),
+	.Y(addinc_ADD_UNS_OP_2_n_752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6273 (
+	.A(addinc_ADD_UNS_OP_2_n_469),
+	.B(addinc_ADD_UNS_OP_2_n_1938),
+	.Y(addinc_ADD_UNS_OP_2_n_751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6276 (
+	.A(addinc_ADD_UNS_OP_2_n_206),
+	.B(addinc_ADD_UNS_OP_2_n_144),
+	.Y(addinc_ADD_UNS_OP_2_n_678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 addinc_ADD_UNS_OP_2_g6282 (
+	.A_N(n_87871),
+	.B(n_87870),
+	.Y(addinc_ADD_UNS_OP_2_n_748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6283 (
+	.A(n_54034),
+	.B(addinc_ADD_UNS_OP_2_n_439),
+	.Y(addinc_ADD_UNS_OP_2_n_746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 addinc_ADD_UNS_OP_2_g6285 (
+	.A_N(n_88730),
+	.B(n_88731),
+	.Y(addinc_ADD_UNS_OP_2_n_671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6287 (
+	.A(n_90530),
+	.B(n_42216),
+	.Y(addinc_ADD_UNS_OP_2_n_744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6291 (
+	.A(addinc_ADD_UNS_OP_2_n_1935),
+	.B(addinc_ADD_UNS_OP_2_n_412),
+	.Y(addinc_ADD_UNS_OP_2_n_667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6292 (
+	.A(n_86404),
+	.B(n_86401),
+	.Y(addinc_ADD_UNS_OP_2_n_666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 addinc_ADD_UNS_OP_2_g6294 (
+	.A_N(n_57202),
+	.B(n_54321),
+	.Y(addinc_ADD_UNS_OP_2_n_740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 addinc_ADD_UNS_OP_2_g6295 (
+	.A_N(n_38242),
+	.B(n_38243),
+	.X(addinc_ADD_UNS_OP_2_n_664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6299 (
+	.A(addinc_ADD_UNS_OP_2_n_396),
+	.B(addinc_ADD_UNS_OP_2_n_214),
+	.Y(addinc_ADD_UNS_OP_2_n_660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6307 (
+	.A(addinc_ADD_UNS_OP_2_n_361),
+	.B(addinc_ADD_UNS_OP_2_n_348),
+	.Y(addinc_ADD_UNS_OP_2_n_654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6308 (
+	.A(n_38883),
+	.B(addinc_ADD_UNS_OP_2_n_361),
+	.Y(addinc_ADD_UNS_OP_2_n_653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 addinc_ADD_UNS_OP_2_g6309 (
+	.A_N(addinc_ADD_UNS_OP_2_n_297),
+	.B(addinc_ADD_UNS_OP_2_n_335),
+	.Y(addinc_ADD_UNS_OP_2_n_652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g6311 (
+	.A(addinc_ADD_UNS_OP_2_n_217),
+	.B(addinc_ADD_UNS_OP_2_n_489),
+	.Y(addinc_ADD_UNS_OP_2_n_651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6321 (
+	.A(n_38882),
+	.B(n_61554),
+	.Y(addinc_ADD_UNS_OP_2_n_697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 addinc_ADD_UNS_OP_2_g6330 (
+	.A(n_90531),
+	.Y(addinc_ADD_UNS_OP_2_n_637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g6332 (
+	.A(addinc_ADD_UNS_OP_2_n_632),
+	.Y(addinc_ADD_UNS_OP_2_n_633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 addinc_ADD_UNS_OP_2_g6341 (
+	.A(addinc_ADD_UNS_OP_2_n_538),
+	.Y(addinc_ADD_UNS_OP_2_n_621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g6352 (
+	.A(n_79079),
+	.Y(addinc_ADD_UNS_OP_2_n_605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g6361 (
+	.A(addinc_ADD_UNS_OP_2_n_593),
+	.Y(addinc_ADD_UNS_OP_2_n_594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g6376 (
+	.A(addinc_ADD_UNS_OP_2_n_1885),
+	.B(addinc_ADD_UNS_OP_2_n_469),
+	.Y(addinc_ADD_UNS_OP_2_n_578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6379 (
+	.A(addinc_ADD_UNS_OP_2_n_229),
+	.B(n_42701),
+	.Y(addinc_ADD_UNS_OP_2_n_575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6382 (
+	.A(addinc_ADD_UNS_OP_2_n_200),
+	.B(addinc_ADD_UNS_OP_2_n_268),
+	.Y(addinc_ADD_UNS_OP_2_n_572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6384 (
+	.A(addinc_ADD_UNS_OP_2_n_432),
+	.B(addinc_ADD_UNS_OP_2_n_311),
+	.Y(addinc_ADD_UNS_OP_2_n_571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 addinc_ADD_UNS_OP_2_g6385 (
+	.A(n_56359),
+	.B(n_56499),
+	.X(addinc_ADD_UNS_OP_2_n_570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 addinc_ADD_UNS_OP_2_g6386 (
+	.A(addinc_ADD_UNS_OP_2_n_356),
+	.B_N(addinc_ADD_UNS_OP_2_n_351),
+	.Y(addinc_ADD_UNS_OP_2_n_569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 addinc_ADD_UNS_OP_2_g6389 (
+	.A(addinc_ADD_UNS_OP_2_n_444),
+	.B_N(n_56601),
+	.Y(addinc_ADD_UNS_OP_2_n_567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6390 (
+	.A(n_79677),
+	.B(n_56722),
+	.Y(addinc_ADD_UNS_OP_2_n_566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 addinc_ADD_UNS_OP_2_g6393 (
+	.A_N(n_42572),
+	.B(n_54323),
+	.Y(addinc_ADD_UNS_OP_2_n_563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 addinc_ADD_UNS_OP_2_g6394 (
+	.A(n_39740),
+	.B(addinc_ADD_UNS_OP_2_n_402),
+	.Y(addinc_ADD_UNS_OP_2_n_639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6401 (
+	.A(addinc_ADD_UNS_OP_2_n_217),
+	.B(addinc_ADD_UNS_OP_2_n_317),
+	.Y(addinc_ADD_UNS_OP_2_n_558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6402 (
+	.A(addinc_ADD_UNS_OP_2_n_303),
+	.B(addinc_ADD_UNS_OP_2_n_514),
+	.Y(addinc_ADD_UNS_OP_2_n_557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6405 (
+	.A(addinc_ADD_UNS_OP_2_n_391),
+	.B(addinc_ADD_UNS_OP_2_n_441),
+	.Y(addinc_ADD_UNS_OP_2_n_555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6406 (
+	.A(n_56598),
+	.B(n_79685),
+	.Y(addinc_ADD_UNS_OP_2_n_554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6407 (
+	.A(n_87755),
+	.B(n_55237),
+	.Y(addinc_ADD_UNS_OP_2_n_553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g6408 (
+	.A(addinc_ADD_UNS_OP_2_n_477),
+	.B(n_47674),
+	.Y(addinc_ADD_UNS_OP_2_n_552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6411 (
+	.A(addinc_ADD_UNS_OP_2_n_264),
+	.B(n_77584),
+	.Y(addinc_ADD_UNS_OP_2_n_549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 addinc_ADD_UNS_OP_2_g6412 (
+	.A_N(addinc_ADD_UNS_OP_2_n_274),
+	.B(addinc_ADD_UNS_OP_2_n_304),
+	.Y(addinc_ADD_UNS_OP_2_n_548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6416 (
+	.A(addinc_ADD_UNS_OP_2_n_396),
+	.B(addinc_ADD_UNS_OP_2_n_500),
+	.Y(addinc_ADD_UNS_OP_2_n_632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6420 (
+	.A(addinc_ADD_UNS_OP_2_n_159),
+	.B(addinc_ADD_UNS_OP_2_n_352),
+	.Y(addinc_ADD_UNS_OP_2_n_541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6424 (
+	.A(n_87755),
+	.B(n_86404),
+	.Y(addinc_ADD_UNS_OP_2_n_624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g6425 (
+	.A(addinc_ADD_UNS_OP_2_n_264),
+	.B(n_75611),
+	.Y(addinc_ADD_UNS_OP_2_n_538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6427 (
+	.A(addinc_ADD_UNS_OP_2_n_2069),
+	.B(addinc_ADD_UNS_OP_2_n_416),
+	.Y(addinc_ADD_UNS_OP_2_n_613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6434 (
+	.A(n_46740),
+	.B(n_90603),
+	.Y(addinc_ADD_UNS_OP_2_n_593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g6451 (
+	.A(addinc_ADD_UNS_OP_2_n_500),
+	.Y(addinc_ADD_UNS_OP_2_n_501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g6468 (
+	.A(addinc_ADD_UNS_OP_2_n_350),
+	.Y(addinc_ADD_UNS_OP_2_n_477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g6472 (
+	.A(addinc_ADD_UNS_OP_2_n_348),
+	.Y(addinc_ADD_UNS_OP_2_n_473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g6490 (
+	.A(n_80764),
+	.Y(addinc_ADD_UNS_OP_2_n_444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g6492 (
+	.A(addinc_ADD_UNS_OP_2_n_441),
+	.Y(addinc_ADD_UNS_OP_2_n_442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 addinc_ADD_UNS_OP_2_g6550 (
+	.A(n_56366),
+	.Y(addinc_ADD_UNS_OP_2_n_356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6553 (
+	.A(n_80763),
+	.B(addinc_ADD_UNS_OP_2_n_2073),
+	.Y(addinc_ADD_UNS_OP_2_n_533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6554 (
+	.A(n_80445),
+	.B(n_57185),
+	.Y(addinc_ADD_UNS_OP_2_n_531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6562 (
+	.A(n_23741),
+	.B(n_39622),
+	.Y(addinc_ADD_UNS_OP_2_n_518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6565 (
+	.A(n_53321),
+	.B(n_31043),
+	.Y(addinc_ADD_UNS_OP_2_n_514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6572 (
+	.A(n_31048),
+	.B(addinc_ADD_UNS_OP_2_n_54),
+	.Y(addinc_ADD_UNS_OP_2_n_500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6573 (
+	.A(n_39656),
+	.B(n_54373),
+	.Y(addinc_ADD_UNS_OP_2_n_497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6576 (
+	.A(addinc_ADD_UNS_OP_2_n_135),
+	.B(addinc_ADD_UNS_OP_2_n_25),
+	.Y(addinc_ADD_UNS_OP_2_n_489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g6577 (
+	.A(n_57913),
+	.B(n_55514),
+	.Y(addinc_ADD_UNS_OP_2_n_352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6580 (
+	.A(n_50030),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_18),
+	.Y(addinc_ADD_UNS_OP_2_n_351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g6581 (
+	.A(n_59284),
+	.B(n_58715),
+	.Y(addinc_ADD_UNS_OP_2_n_350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g6583 (
+	.A(n_79412),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1991),
+	.Y(addinc_ADD_UNS_OP_2_n_348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g6585 (
+	.A(n_63944),
+	.B(addinc_ADD_UNS_OP_2_n_2054),
+	.Y(addinc_ADD_UNS_OP_2_n_469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6598 (
+	.A(n_31042),
+	.B(n_23730),
+	.Y(addinc_ADD_UNS_OP_2_n_441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6599 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_30),
+	.B(n_53655),
+	.Y(addinc_ADD_UNS_OP_2_n_439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g6603 (
+	.A(n_38994),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_50),
+	.Y(addinc_ADD_UNS_OP_2_n_432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g6609 (
+	.A(n_56573),
+	.B(n_58660),
+	.Y(addinc_ADD_UNS_OP_2_n_416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6611 (
+	.A(n_88729),
+	.B(n_53320),
+	.Y(addinc_ADD_UNS_OP_2_n_412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 addinc_ADD_UNS_OP_2_g6614 (
+	.A(n_58660),
+	.B(n_56573),
+	.Y(addinc_ADD_UNS_OP_2_n_402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6617 (
+	.A(addinc_ADD_UNS_OP_2_n_49),
+	.B(addinc_ADD_UNS_OP_2_n_55),
+	.Y(addinc_ADD_UNS_OP_2_n_396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g6619 (
+	.A(addinc_ADD_UNS_OP_2_n_6),
+	.B(n_31043),
+	.Y(addinc_ADD_UNS_OP_2_n_391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6627 (
+	.A(addinc_ADD_UNS_OP_2_n_2073),
+	.B(FE_DBTN137_n_79412),
+	.Y(addinc_ADD_UNS_OP_2_n_361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g6646 (
+	.A(addinc_ADD_UNS_OP_2_n_302),
+	.Y(addinc_ADD_UNS_OP_2_n_303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g6650 (
+	.A(n_86292),
+	.Y(addinc_ADD_UNS_OP_2_n_297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g6673 (
+	.A(addinc_ADD_UNS_OP_2_n_144),
+	.Y(addinc_ADD_UNS_OP_2_n_261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g6681 (
+	.A(addinc_ADD_UNS_OP_2_n_143),
+	.Y(addinc_ADD_UNS_OP_2_n_249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g6695 (
+	.A(addinc_ADD_UNS_OP_2_n_229),
+	.Y(addinc_ADD_UNS_OP_2_n_230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g6699 (
+	.A(n_75000),
+	.Y(addinc_ADD_UNS_OP_2_n_224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g6705 (
+	.A(addinc_ADD_UNS_OP_2_n_214),
+	.Y(addinc_ADD_UNS_OP_2_n_215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g6712 (
+	.A(n_37993),
+	.Y(addinc_ADD_UNS_OP_2_n_206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g6727 (
+	.A(addinc_ADD_UNS_OP_2_n_184),
+	.Y(addinc_ADD_UNS_OP_2_n_186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 addinc_ADD_UNS_OP_2_g6730 (
+	.A(n_50543),
+	.Y(addinc_ADD_UNS_OP_2_n_182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g6736 (
+	.A(n_47057),
+	.Y(addinc_ADD_UNS_OP_2_n_174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g6742 (
+	.A(addinc_ADD_UNS_OP_2_n_166),
+	.Y(addinc_ADD_UNS_OP_2_n_167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6760 (
+	.A(n_31037),
+	.B(FE_DBTN137_n_79412),
+	.Y(addinc_ADD_UNS_OP_2_n_335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6765 (
+	.A(n_40679),
+	.B(n_63924),
+	.Y(addinc_ADD_UNS_OP_2_n_326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6768 (
+	.A(addinc_ADD_UNS_OP_2_n_55),
+	.B(n_89283),
+	.Y(addinc_ADD_UNS_OP_2_n_321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6770 (
+	.A(n_54330),
+	.B(n_54353),
+	.Y(addinc_ADD_UNS_OP_2_n_317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6773 (
+	.A(n_59145),
+	.B(n_23763),
+	.Y(addinc_ADD_UNS_OP_2_n_311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6778 (
+	.A(n_23737),
+	.B(n_31035),
+	.Y(addinc_ADD_UNS_OP_2_n_304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 addinc_ADD_UNS_OP_2_g6779 (
+	.A(n_31043),
+	.B(n_53321),
+	.Y(addinc_ADD_UNS_OP_2_n_302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 addinc_ADD_UNS_OP_2_g6793 (
+	.A(n_31035),
+	.B(n_23737),
+	.Y(addinc_ADD_UNS_OP_2_n_274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6796 (
+	.A(n_42700),
+	.B(n_58605),
+	.Y(addinc_ADD_UNS_OP_2_n_268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g6798 (
+	.A(n_77963),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_40),
+	.Y(addinc_ADD_UNS_OP_2_n_264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g6799 (
+	.A(n_23687),
+	.B(n_23764),
+	.Y(addinc_ADD_UNS_OP_2_n_144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6803 (
+	.A(n_23701),
+	.B(n_78711),
+	.Y(addinc_ADD_UNS_OP_2_n_252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g6804 (
+	.A(n_78494),
+	.B(n_62604),
+	.Y(addinc_ADD_UNS_OP_2_n_143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6809 (
+	.A(n_30977),
+	.B(n_23719),
+	.Y(addinc_ADD_UNS_OP_2_n_141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g6812 (
+	.A(n_59284),
+	.B(n_42700),
+	.Y(addinc_ADD_UNS_OP_2_n_229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g6816 (
+	.A(addinc_ADD_UNS_OP_2_n_27),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_7),
+	.Y(addinc_ADD_UNS_OP_2_n_217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6817 (
+	.A(n_76677),
+	.B(addinc_ADD_UNS_OP_2_n_54),
+	.Y(addinc_ADD_UNS_OP_2_n_214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g6820 (
+	.A(n_87446),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_26),
+	.Y(addinc_ADD_UNS_OP_2_n_200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g6824 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_99),
+	.B(n_62024),
+	.Y(addinc_ADD_UNS_OP_2_n_184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 addinc_ADD_UNS_OP_2_g6828 (
+	.A(n_23701),
+	.B(n_78711),
+	.Y(addinc_ADD_UNS_OP_2_n_166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g6830 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_36),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_78),
+	.Y(addinc_ADD_UNS_OP_2_n_159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g6842 (
+	.A(n_23718),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_40), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g6843 (
+	.A(n_54353),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_7), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g6850 (
+	.A(n_57913),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_36), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g6862 (
+	.A(n_53655),
+	.Y(addinc_ADD_UNS_OP_2_n_109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g6864 (
+	.A(n_55514),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_78), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 addinc_ADD_UNS_OP_2_g6887 (
+	.A(n_54268),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_63), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g6891 (
+	.A(n_23719),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_15), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g6918 (
+	.A(n_23756),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_99), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 addinc_ADD_UNS_OP_2_g6944 (
+	.A(n_54330),
+	.Y(addinc_ADD_UNS_OP_2_n_27), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 addinc_ADD_UNS_OP_2_g6952 (
+	.A(n_23763),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_50), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 addinc_ADD_UNS_OP_2_g6980 (
+	.A(addinc_ADD_UNS_OP_2_n_968),
+	.B(addinc_ADD_UNS_OP_2_n_2041),
+	.X(addinc_ADD_UNS_OP_2_n_1862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 addinc_ADD_UNS_OP_2_g6990 (
+	.A1(addinc_ADD_UNS_OP_2_n_302),
+	.A2(addinc_ADD_UNS_OP_2_n_441),
+	.B1(addinc_ADD_UNS_OP_2_n_514),
+	.X(addinc_ADD_UNS_OP_2_n_1872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 addinc_ADD_UNS_OP_2_g6997 (
+	.A(n_51091),
+	.B(n_80243),
+	.X(addinc_ADD_UNS_OP_2_n_1879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 addinc_ADD_UNS_OP_2_g6998 (
+	.A(addinc_ADD_UNS_OP_2_n_167),
+	.B(addinc_ADD_UNS_OP_2_n_252),
+	.X(addinc_ADD_UNS_OP_2_n_1880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 addinc_ADD_UNS_OP_2_g6999 (
+	.A(addinc_ADD_UNS_OP_2_n_489),
+	.B(addinc_ADD_UNS_OP_2_n_497),
+	.X(addinc_ADD_UNS_OP_2_n_1881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 addinc_ADD_UNS_OP_2_g7001 (
+	.A(n_75611),
+	.B(addinc_ADD_UNS_OP_2_n_141),
+	.X(addinc_ADD_UNS_OP_2_n_1883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 addinc_ADD_UNS_OP_2_g7003 (
+	.A(n_79693),
+	.B(n_39894),
+	.X(addinc_ADD_UNS_OP_2_n_1885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 addinc_ADD_UNS_OP_2_g7011 (
+	.A(addinc_ADD_UNS_OP_2_n_1881),
+	.B(n_75800),
+	.X(n_30904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 addinc_ADD_UNS_OP_2_g7012 (
+	.A(addinc_ADD_UNS_OP_2_n_1883),
+	.B(n_75748),
+	.X(n_30900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 addinc_ADD_UNS_OP_2_g7013 (
+	.A1(n_40154),
+	.A2(addinc_ADD_UNS_OP_2_n_1351),
+	.B1_N(n_63887),
+	.Y(addinc_ADD_UNS_OP_2_n_1895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 addinc_ADD_UNS_OP_2_g7014 (
+	.A_N(addinc_ADD_UNS_OP_2_n_1184),
+	.B(n_58923),
+	.Y(addinc_ADD_UNS_OP_2_n_1896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 addinc_ADD_UNS_OP_2_g7015 (
+	.A_N(addinc_ADD_UNS_OP_2_n_1182),
+	.B(n_58923),
+	.Y(addinc_ADD_UNS_OP_2_n_1897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 addinc_ADD_UNS_OP_2_g7017 (
+	.A_N(n_86606),
+	.B(n_78997),
+	.Y(addinc_ADD_UNS_OP_2_n_1899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 addinc_ADD_UNS_OP_2_g7018 (
+	.A_N(n_88722),
+	.B(n_47802),
+	.Y(addinc_ADD_UNS_OP_2_n_1900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 addinc_ADD_UNS_OP_2_g7019 (
+	.A1(n_40156),
+	.A2(addinc_ADD_UNS_OP_2_n_1351),
+	.B1_N(n_63882),
+	.Y(addinc_ADD_UNS_OP_2_n_1901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 addinc_ADD_UNS_OP_2_g7022 (
+	.A_N(n_40156),
+	.B(addinc_ADD_UNS_OP_2_n_925),
+	.Y(addinc_ADD_UNS_OP_2_n_1904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 addinc_ADD_UNS_OP_2_g7023 (
+	.A(n_42572),
+	.B(addinc_ADD_UNS_OP_2_n_871),
+	.X(addinc_ADD_UNS_OP_2_n_1905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 addinc_ADD_UNS_OP_2_g7028 (
+	.A1(n_63887),
+	.A2(n_38960),
+	.B1_N(n_46326),
+	.Y(addinc_ADD_UNS_OP_2_n_1910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 addinc_ADD_UNS_OP_2_g7029 (
+	.A1(n_88722),
+	.A2(n_37995),
+	.B1_N(addinc_ADD_UNS_OP_2_n_781),
+	.Y(addinc_ADD_UNS_OP_2_n_1911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 addinc_ADD_UNS_OP_2_g7033 (
+	.A_N(addinc_ADD_UNS_OP_2_n_2122),
+	.B(n_50035),
+	.Y(addinc_ADD_UNS_OP_2_n_1915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 addinc_ADD_UNS_OP_2_g7036 (
+	.A(n_56551),
+	.B(n_53702),
+	.X(addinc_ADD_UNS_OP_2_n_1918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 addinc_ADD_UNS_OP_2_g7038 (
+	.A(n_40154),
+	.B_N(n_38960),
+	.Y(addinc_ADD_UNS_OP_2_n_1920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 addinc_ADD_UNS_OP_2_g7042 (
+	.A_N(n_88730),
+	.B(addinc_ADD_UNS_OP_2_n_1935),
+	.Y(addinc_ADD_UNS_OP_2_n_1924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 addinc_ADD_UNS_OP_2_g7046 (
+	.A_N(n_55237),
+	.B(n_86404),
+	.Y(addinc_ADD_UNS_OP_2_n_1928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7049 (
+	.A(n_38883),
+	.B(addinc_ADD_UNS_OP_2_n_533),
+	.Y(addinc_ADD_UNS_OP_2_n_1931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 addinc_ADD_UNS_OP_2_g7050 (
+	.A_N(FE_DBTN136_n_89917),
+	.B(n_79648),
+	.Y(addinc_ADD_UNS_OP_2_n_1932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 addinc_ADD_UNS_OP_2_g7051 (
+	.A(addinc_ADD_UNS_OP_2_n_2025),
+	.B_N(addinc_ADD_UNS_OP_2_n_206),
+	.Y(addinc_ADD_UNS_OP_2_n_1933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 addinc_ADD_UNS_OP_2_g7053 (
+	.A(n_88729),
+	.B(n_53320),
+	.X(addinc_ADD_UNS_OP_2_n_1935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 addinc_ADD_UNS_OP_2_g7056 (
+	.A_N(addinc_ADD_UNS_OP_2_n_2054),
+	.B(n_23690),
+	.Y(addinc_ADD_UNS_OP_2_n_1938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g7062 (
+	.A1(addinc_ADD_UNS_OP_2_n_1631),
+	.A2(addinc_ADD_UNS_OP_2_n_1935),
+	.B1_N(addinc_ADD_UNS_OP_2_n_1314),
+	.X(addinc_ADD_UNS_OP_2_n_1944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g7063 (
+	.A1(addinc_ADD_UNS_OP_2_n_1629),
+	.A2(n_56601),
+	.B1_N(n_50552),
+	.X(addinc_ADD_UNS_OP_2_n_1945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g7065 (
+	.A1(addinc_ADD_UNS_OP_2_n_1631),
+	.A2(addinc_ADD_UNS_OP_2_n_706),
+	.B1_N(addinc_ADD_UNS_OP_2_n_1320),
+	.X(addinc_ADD_UNS_OP_2_n_1947), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g7066 (
+	.A1(addinc_ADD_UNS_OP_2_n_1629),
+	.A2(n_75219),
+	.B1_N(n_79690),
+	.X(addinc_ADD_UNS_OP_2_n_1948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g7068 (
+	.A1(addinc_ADD_UNS_OP_2_n_1970),
+	.A2(addinc_ADD_UNS_OP_2_n_1102),
+	.B1_N(addinc_ADD_UNS_OP_2_n_1283),
+	.X(addinc_ADD_UNS_OP_2_n_1950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g7069 (
+	.A1(addinc_ADD_UNS_OP_2_n_1970),
+	.A2(n_58780),
+	.B1_N(n_79691),
+	.X(addinc_ADD_UNS_OP_2_n_1951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g7070 (
+	.A(addinc_ADD_UNS_OP_2_n_1589),
+	.B(n_58933),
+	.Y(n_30953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g7071 (
+	.A(addinc_ADD_UNS_OP_2_n_1595),
+	.B(addinc_ADD_UNS_OP_2_n_748),
+	.Y(n_30958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g7072 (
+	.A(addinc_ADD_UNS_OP_2_n_1593),
+	.B(addinc_ADD_UNS_OP_2_n_740),
+	.Y(n_30957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g7073 (
+	.A(addinc_ADD_UNS_OP_2_n_1958),
+	.B(addinc_ADD_UNS_OP_2_n_746),
+	.Y(n_30954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g7075 (
+	.A1(n_58922),
+	.A2(addinc_ADD_UNS_OP_2_n_1160),
+	.B1_N(addinc_ADD_UNS_OP_2_n_1370),
+	.X(addinc_ADD_UNS_OP_2_n_1957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g7076 (
+	.A1(n_58922),
+	.A2(addinc_ADD_UNS_OP_2_n_1170),
+	.B1_N(addinc_ADD_UNS_OP_2_n_1371),
+	.X(addinc_ADD_UNS_OP_2_n_1958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 addinc_ADD_UNS_OP_2_g7080 (
+	.A1(n_58922),
+	.A2(addinc_ADD_UNS_OP_2_n_1157),
+	.B1(n_78997),
+	.B2(n_90633),
+	.C1(n_56407),
+	.X(addinc_ADD_UNS_OP_2_n_1962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 addinc_ADD_UNS_OP_2_g7081 (
+	.A1(n_58922),
+	.A2(addinc_ADD_UNS_OP_2_n_1150),
+	.B1(n_78997),
+	.B2(FE_DBTN133_n_90635),
+	.C1(n_38170),
+	.X(addinc_ADD_UNS_OP_2_n_1963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 addinc_ADD_UNS_OP_2_g7088 (
+	.A_N(n_53959),
+	.B(addinc_ADD_UNS_OP_2_n_1518),
+	.C(addinc_ADD_UNS_OP_2_n_1899),
+	.Y(addinc_ADD_UNS_OP_2_n_1970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 addinc_ADD_UNS_OP_2_g7091 (
+	.A_N(n_58755),
+	.B(n_58922),
+	.C(addinc_ADD_UNS_OP_2_n_1011),
+	.Y(addinc_ADD_UNS_OP_2_n_1973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g7092 (
+	.A1(n_75800),
+	.A2(n_58523),
+	.B1_N(n_79730),
+	.X(addinc_ADD_UNS_OP_2_n_1974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g7093 (
+	.A1(n_75800),
+	.A2(addinc_ADD_UNS_OP_2_n_489),
+	.B1_N(addinc_ADD_UNS_OP_2_n_497),
+	.X(addinc_ADD_UNS_OP_2_n_1975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g7095 (
+	.A1(n_75800),
+	.A2(n_47000),
+	.B1_N(n_79654),
+	.X(addinc_ADD_UNS_OP_2_n_1977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 addinc_ADD_UNS_OP_2_g7096 (
+	.A_N(n_58755),
+	.B(n_58922),
+	.C(addinc_ADD_UNS_OP_2_n_1142),
+	.Y(addinc_ADD_UNS_OP_2_n_1978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 addinc_ADD_UNS_OP_2_g7097 (
+	.A_N(n_58755),
+	.B(n_58922),
+	.C(addinc_ADD_UNS_OP_2_n_1132),
+	.Y(addinc_ADD_UNS_OP_2_n_1979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 addinc_ADD_UNS_OP_2_g7098 (
+	.A_N(n_58755),
+	.B(n_58922),
+	.C(addinc_ADD_UNS_OP_2_n_1131),
+	.Y(addinc_ADD_UNS_OP_2_n_1980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g7100 (
+	.A1(addinc_ADD_UNS_OP_2_n_1335),
+	.A2(addinc_ADD_UNS_OP_2_n_1920),
+	.B1_N(addinc_ADD_UNS_OP_2_n_1910),
+	.X(addinc_ADD_UNS_OP_2_n_1982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g7102 (
+	.A1(addinc_ADD_UNS_OP_2_n_1335),
+	.A2(addinc_ADD_UNS_OP_2_n_1107),
+	.B1_N(addinc_ADD_UNS_OP_2_n_1281),
+	.X(addinc_ADD_UNS_OP_2_n_1984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g7103 (
+	.A1(addinc_ADD_UNS_OP_2_n_1335),
+	.A2(addinc_ADD_UNS_OP_2_n_1100),
+	.B1_N(addinc_ADD_UNS_OP_2_n_1282),
+	.X(addinc_ADD_UNS_OP_2_n_1985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g7104 (
+	.A1(addinc_ADD_UNS_OP_2_n_1335),
+	.A2(n_88173),
+	.B1_N(n_89320),
+	.X(addinc_ADD_UNS_OP_2_n_1986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g7106 (
+	.A1(addinc_ADD_UNS_OP_2_n_1335),
+	.A2(addinc_ADD_UNS_OP_2_n_1096),
+	.B1_N(addinc_ADD_UNS_OP_2_n_1280),
+	.X(addinc_ADD_UNS_OP_2_n_1988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g7107 (
+	.A1(addinc_ADD_UNS_OP_2_n_1335),
+	.A2(addinc_ADD_UNS_OP_2_n_1097),
+	.B1_N(addinc_ADD_UNS_OP_2_n_1311),
+	.X(addinc_ADD_UNS_OP_2_n_1989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 addinc_ADD_UNS_OP_2_g7108 (
+	.A1(n_90533),
+	.A2(addinc_ADD_UNS_OP_2_n_1351),
+	.B1(n_61396),
+	.C1(n_42216),
+	.Y(addinc_ADD_UNS_OP_2_n_1990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g7109 (
+	.A1(n_75748),
+	.A2(n_75611),
+	.B1_N(addinc_ADD_UNS_OP_2_n_141),
+	.X(addinc_ADD_UNS_OP_2_n_1991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 addinc_ADD_UNS_OP_2_g7114 (
+	.A1(addinc_ADD_UNS_OP_2_n_934),
+	.A2(addinc_ADD_UNS_OP_2_n_1044),
+	.B1(n_64216),
+	.B2(n_40072),
+	.C1(n_79573),
+	.Y(addinc_ADD_UNS_OP_2_n_1996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_2 addinc_ADD_UNS_OP_2_g7119 (
+	.A1(n_78997),
+	.A2(n_90575),
+	.B1_N(n_79620),
+	.Y(addinc_ADD_UNS_OP_2_n_2001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 addinc_ADD_UNS_OP_2_g7124 (
+	.A1(n_75800),
+	.A2(n_51093),
+	.B1(n_60593),
+	.X(addinc_ADD_UNS_OP_2_n_2008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 addinc_ADD_UNS_OP_2_g7126 (
+	.A1(n_58922),
+	.A2(addinc_ADD_UNS_OP_2_n_1167),
+	.B1(n_78997),
+	.B2(addinc_ADD_UNS_OP_2_n_1009),
+	.C1(addinc_ADD_UNS_OP_2_n_1233),
+	.X(addinc_ADD_UNS_OP_2_n_2010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 addinc_ADD_UNS_OP_2_g7127 (
+	.A1(n_58922),
+	.A2(addinc_ADD_UNS_OP_2_n_1147),
+	.B1(n_78997),
+	.B2(addinc_ADD_UNS_OP_2_n_908),
+	.C1(addinc_ADD_UNS_OP_2_n_1036),
+	.X(addinc_ADD_UNS_OP_2_n_2011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g7131 (
+	.A(n_58760),
+	.B(n_61554),
+	.Y(addinc_ADD_UNS_OP_2_n_2017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_fopt7138 (
+	.A(n_79482),
+	.Y(addinc_ADD_UNS_OP_2_n_2025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_fopt4 (
+	.A(n_79483),
+	.Y(addinc_ADD_UNS_OP_2_n_2041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_fopt7152 (
+	.A(n_89920),
+	.Y(addinc_ADD_UNS_OP_2_n_2050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 addinc_ADD_UNS_OP_2_fopt7154 (
+	.A(n_23767),
+	.Y(addinc_ADD_UNS_OP_2_n_2054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_fopt7160 (
+	.A(addinc_ADD_UNS_OP_2_n_771),
+	.Y(addinc_ADD_UNS_OP_2_n_2061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_fopt7164 (
+	.A(n_38349),
+	.Y(addinc_ADD_UNS_OP_2_n_2067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_fopt7167 (
+	.A(addinc_ADD_UNS_OP_2_n_402),
+	.Y(addinc_ADD_UNS_OP_2_n_2069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_fopt7186 (
+	.A(n_50035),
+	.Y(addinc_ADD_UNS_OP_2_n_2098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_fopt7189 (
+	.A(addinc_ADD_UNS_OP_2_n_352),
+	.Y(addinc_ADD_UNS_OP_2_n_2102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_fopt7205 (
+	.A(n_61553),
+	.Y(addinc_ADD_UNS_OP_2_n_2122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_fopt7208 (
+	.A(n_37996),
+	.Y(addinc_ADD_UNS_OP_2_n_2129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2174  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_115 ),
+	.A2(n_86948),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_55 ),
+	.Y(n_30411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2175  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_403 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_404 ),
+	.Y(n_30524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2176  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_401 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_192 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_404 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2177  (
+	.A(n_86948),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_191 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_403 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2179  (
+	.A(n_86948),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_401 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2181  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_165 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_395 ),
+	.X(n_30522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2183  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_166 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_391 ),
+	.X(n_30523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2185  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_14 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_388 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_38 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_395 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2186  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_170 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_388 ),
+	.Y(n_30521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2187  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_158 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_524 ),
+	.X(n_30520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2189  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_387 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_236 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_62 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_391 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2190  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_155 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_384 ),
+	.Y(n_30518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2192  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_379 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_72 ),
+	.A3(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_19 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_208 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_388 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2193  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_379 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_200 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_19 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_387 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2194  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_156 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_379 ),
+	.X(n_30519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2196  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_11 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_377 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_20 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_384 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2197  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_154 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_377 ),
+	.Y(n_30517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2198  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_152 ),
+	.B(n_76273),
+	.Y(n_30516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2201  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_376 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_240 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_379 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2203  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_372 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_76 ),
+	.A3(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_102 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_214 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_377 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2204  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_372 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_196 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_102 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_376 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2205  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_372 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_151 ),
+	.Y(n_30515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2207  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_149 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_370 ),
+	.Y(n_30514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2208  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_371 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_126 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_372 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2209  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_368 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_53 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_371 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2210  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_85 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_365 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_31 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_370 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2211  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_173 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_365 ),
+	.Y(n_30513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2212  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_366 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_31 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_368 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2213  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_146 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_364 ),
+	.X(n_30512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2214  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_363 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_84 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_366 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2215  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_361 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_8 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_204 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_365 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2216  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_361 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_86 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_364 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2217  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_204 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_360 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_363 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2218  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_145 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_359 ),
+	.X(n_30511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2219  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_99 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_359 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_361 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2220  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_359 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_188 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_360 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2221  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_358 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_121 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_359 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2222  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_356 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_40 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_358 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2223  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_184 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_354 ),
+	.Y(n_30510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2224  (
+	.A(n_87141),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_17 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_356 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2226  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_347 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_24 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_17 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_354 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2227  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_141 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_347 ),
+	.Y(n_30509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2228  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_139 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_348 ),
+	.Y(n_30508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2229  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_137 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_346 ),
+	.Y(n_30506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2231  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_341 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_94 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_75 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_349 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2232  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_341 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_94 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_92 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_348 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31o_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2233  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_341 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_94 ),
+	.A3(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_75 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_201 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_347 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2234  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_65 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_340 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_87 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_346 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2235  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_138 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_341 ),
+	.Y(n_30507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2236  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_135 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_340 ),
+	.Y(n_30505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2237  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_133 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_339 ),
+	.Y(n_30504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2238  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_132 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_338 ),
+	.Y(n_30502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2239  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_334 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_238 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_341 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2240  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_332 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_162 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_220 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_340 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2241  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_112 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_331 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_88 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_339 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2242  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_69 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_329 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_28 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_338 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2243  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_169 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_330 ),
+	.Y(n_30500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2244  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_177 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_332 ),
+	.Y(n_30503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2245  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_175 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_329 ),
+	.Y(n_30501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2246  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_332 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_211 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_334 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2247  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_185 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_326 ),
+	.Y(n_30498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2248  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_332 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_331 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2249  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_325 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_243 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_332 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2250  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_35 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_320 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_106 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_330 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2251  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_321 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_190 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_218 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_329 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2252  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_186 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_321 ),
+	.Y(n_30499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2253  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_183 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_319 ),
+	.Y(n_30495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2254  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_71 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_316 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_30 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_326 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2255  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_321 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_210 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_325 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2256  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_182 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_316 ),
+	.Y(n_30497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2257  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_181 ),
+	.B(n_76274),
+	.Y(n_30496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2258  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_131 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_315 ),
+	.Y(n_30491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2259  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_321 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_320 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2260  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_314 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_242 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_321 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2261  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_13 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_307 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_25 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_319 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2262  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_168 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_307 ),
+	.Y(n_30494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2264  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_301 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_2 ),
+	.A3(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_90 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_215 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_316 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2265  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_82 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_304 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_96 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_315 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2266  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_301 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_195 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_90 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_314 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2267  (
+	.A1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_194 ),
+	.A2_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_301 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_301 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_194 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] [28]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2268  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_148 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_305 ),
+	.Y(n_30493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2269  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_178 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_304 ),
+	.Y(n_30490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2270  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_176 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_303 ),
+	.Y(n_30489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2271  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_134 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_302 ),
+	.Y(n_30487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2273  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_296 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_3 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_203 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_307 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2274  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_163 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_294 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] [15]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2275  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_296 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_26 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_305 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2276  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_289 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_161 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_206 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_304 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2277  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_23 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_288 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_93 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_303 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2278  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_67 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_287 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_104 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_302 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2279  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_295 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_241 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_301 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2280  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_187 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_291 ),
+	.Y(n_30492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2281  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_140 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_289 ),
+	.Y(n_30488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2282  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_147 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_287 ),
+	.Y(n_30486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2283  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_143 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_286 ),
+	.Y(n_30485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2284  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_89 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_291 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_296 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2285  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_290 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_198 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_295 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2286  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_7 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_283 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_107 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_294 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2287  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_142 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_283 ),
+	.Y(n_30483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2288  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_174 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_282 ),
+	.Y(n_30481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2289  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_290 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_291 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2290  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_281 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_247 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_290 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2291  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_288 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_289 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2292  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_278 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_219 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_237 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_288 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2293  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_278 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_193 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_216 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_287 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2294  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_108 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_277 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_111 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_286 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2295  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_136 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_277 ),
+	.Y(n_30484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2296  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_160 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_279 ),
+	.Y(n_30482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2297  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_274 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_78 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_205 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_283 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2298  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_81 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_272 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_36 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_282 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2299  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_278 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_221 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_219 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_281 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2300  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_172 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_272 ),
+	.Y(n_30480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2301  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_274 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_103 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_279 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2302  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_278 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_277 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2303  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_273 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_235 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_129 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_278 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2304  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_179 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_270 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] [12]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2305  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_150 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_271 ),
+	.Y(n_30479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2306  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_21 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_270 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_274 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2307  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_269 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_199 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_273 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2308  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_267 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_6 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_217 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_272 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2309  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_267 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_22 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_271 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2310  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_269 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_270 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2311  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_266 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_239 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_269 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2312  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_171 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_265 ),
+	.Y(n_30478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2313  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_27 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_265 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_267 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2314  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_265 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_197 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_266 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2315  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_263 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_45 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_265 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2316  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_153 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_261 ),
+	.Y(n_30477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2317  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_261 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_130 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_263 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2318  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_180 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_258 ),
+	.Y(n_30475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2319  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_259 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_52 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_261 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2320  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_167 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_257 ),
+	.Y(n_30476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2321  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_256 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_128 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_259 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2322  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_253 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_32 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_15 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_258 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2323  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_256 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_257 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2324  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_254 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_209 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_256 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2325  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_157 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_253 ),
+	.Y(n_30474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2326  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_253 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_189 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_254 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2327  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_251 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_50 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_253 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2328  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_144 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_250 ),
+	.Y(n_30473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2329  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_250 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_127 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_251 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2330  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_248 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_117 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_250 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2331  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_246 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_159 ),
+	.Y(n_30472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2332  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_246 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_57 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_248 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2333  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_229 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_16 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_237 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_221 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_54 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_247 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2334  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_244 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_46 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_246 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2335  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_164 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_226 ),
+	.Y(n_30471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2336  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_226 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_44 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_244 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2337  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_34 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_228 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_59 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_243 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2338  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_18 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_232 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_120 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_242 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2339  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_33 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_230 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_63 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_241 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2340  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_29 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_231 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_42 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_240 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2341  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_37 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_233 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_58 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_239 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2342  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_109 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_227 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_122 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_238 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2343  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_39 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_234 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_51 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_237 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2344  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_223 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_38 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_113 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_236 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2345  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_224 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_107 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_110 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_235 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2346  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_216 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_66 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_104 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_234 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2347  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_217 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_80 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_36 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_233 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2348  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_215 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_70 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_30 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_232 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2349  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_214 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_10 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_20 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_231 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2350  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_203 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_12 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_25 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_230 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2351  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_82 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_207 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_96 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_229 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2352  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_218 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_68 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_28 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_228 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2353  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_220 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_64 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_87 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_227 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2354  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_212 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_222 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_226 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2355  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_213 ),
+	.B(n_30525),
+	.X(n_30470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2356  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_7 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_205 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_224 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2357  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_14 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_208 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_223 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2358  (
+	.A1(n_30595),
+	.A2(n_75098),
+	.B1(n_30525),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_222 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2359  (
+	.A(n_30595),
+	.B(n_75098),
+	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_212 ),
+	.SUM(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_213 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2360  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_65 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_109 ),
+	.C_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_162 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_211 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2361  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_69 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_34 ),
+	.C_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_190 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_210 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2362  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_15 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_83 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_56 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_209 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2363  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_82 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_161 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_16 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_221 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2364  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_88 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_95 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_123 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_220 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2365  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_39 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_193 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_66 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_219 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2366  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_106 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_91 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_116 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_218 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2367  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_22 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_5 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_124 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_217 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2368  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_111 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_97 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_125 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_216 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2369  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_100 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_1 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_43 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_215 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2370  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_98 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_77 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_49 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_214 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2371  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_206 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_207 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2372  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_201 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_202 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2373  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_14 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_113 ),
+	.C_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_72 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_200 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2374  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_21 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_7 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_110 ),
+	.D_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_78 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_199 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2375  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_89 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_13 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_33 ),
+	.D_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_3 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_198 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2376  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_5 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_27 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_81 ),
+	.D(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_37 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_197 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2377  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_77 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_29 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_11 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_196 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2378  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_1 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_71 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_18 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_195 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2379  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_101 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_73 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_48 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_208 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2380  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_93 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_105 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_118 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_206 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2381  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_103 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_79 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_61 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_205 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2382  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_86 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_9 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_119 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_204 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2383  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_26 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_4 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_47 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_203 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2384  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_92 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_74 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_41 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_201 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2385  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_191 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_192 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2386  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_83 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_32 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_189 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2387  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_99 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_9 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_188 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2388  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_89 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_26 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_187 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2389  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_35 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_106 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_186 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2390  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_18 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_120 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_185 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2391  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_40 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_121 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_184 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2392  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_33 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_63 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_183 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2393  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_71 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_30 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_182 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2394  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_2 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_43 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_181 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2395  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_90 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_100 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_194 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2396  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_83 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_56 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_180 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2397  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_108 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_97 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_193 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2398  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_21 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_103 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_179 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2399  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_82 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_96 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_178 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2400  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_112 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_88 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_177 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2401  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_105 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_118 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_176 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2402  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_69 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_28 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_175 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2403  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_37 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_58 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_174 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2404  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_85 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_31 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_173 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2405  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_81 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_36 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_172 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2406  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_27 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_22 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_171 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2407  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_14 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_38 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_170 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2408  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_91 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_116 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_169 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2409  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_13 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_25 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_168 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2410  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_128 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_52 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_167 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2411  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_115 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_55 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_191 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2412  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_114 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_60 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_166 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2413  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_113 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_62 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_165 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2414  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_35 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_91 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_190 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2415  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_44 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_46 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_164 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2416  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_110 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_129 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_163 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2417  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_79 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_61 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_160 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2418  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_57 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_117 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_159 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2419  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_73 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_48 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_158 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2420  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_32 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_15 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_157 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2421  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_19 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_101 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_156 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2422  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_29 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_42 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_155 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2423  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_11 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_20 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_154 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2424  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_130 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_45 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_153 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2425  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_76 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_49 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_152 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2426  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_102 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_98 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_151 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2427  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_6 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_124 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_150 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2428  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_53 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_126 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_149 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2429  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_4 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_47 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_148 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2430  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_67 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_104 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_147 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2431  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_9 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_119 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_146 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2432  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_99 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_86 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_145 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2433  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_127 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_50 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_144 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2434  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_97 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_125 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_143 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2435  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_7 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_107 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_142 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2436  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_24 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_17 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_141 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2437  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_23 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_93 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_140 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2438  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_75 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_41 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_139 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2439  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_94 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_92 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_138 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2440  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_109 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_122 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_137 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2441  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_108 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_111 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_136 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2442  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_65 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_87 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_135 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2443  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_39 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_51 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_134 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2444  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_95 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_123 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_133 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2445  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_95 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_112 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_162 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2446  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_23 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_105 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_161 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2447  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_34 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_59 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_132 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2448  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_54 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_16 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_131 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2449  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_85 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_84 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2450  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_81 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_80 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2451  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_79 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_78 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2452  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_77 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_76 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2453  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_74 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_75 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2454  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_73 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_72 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2455  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_71 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_70 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2456  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_69 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_68 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2457  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_67 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_66 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2458  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_65 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_64 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2459  (
+	.A(n_30602),
+	.B(n_30533),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_130 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2460  (
+	.A(n_30541),
+	.B(n_30610),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_129 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2461  (
+	.A(n_30601),
+	.B(n_30532),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_128 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2462  (
+	.A(n_30598),
+	.B(n_30529),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_127 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2463  (
+	.A(n_36257),
+	.B(n_30642),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_126 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2464  (
+	.A(n_30543),
+	.B(n_30612),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_125 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2465  (
+	.A(n_30535),
+	.B(n_30604),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_124 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2466  (
+	.A(n_36267),
+	.B(n_30632),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_123 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2467  (
+	.A(n_36265),
+	.B(n_30634),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_122 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2468  (
+	.A(n_36266),
+	.B(n_30638),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_121 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2469  (
+	.A(n_30557),
+	.B(n_30626),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_120 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2470  (
+	.A(n_76217),
+	.B(n_30640),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_119 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2471  (
+	.A(n_30547),
+	.B(n_30616),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_118 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2472  (
+	.A(n_76131),
+	.B(n_30597),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_117 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2473  (
+	.A(n_36271),
+	.B(n_30628),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_116 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2474  (
+	.A(n_30583),
+	.B(n_30652),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_115 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2475  (
+	.A(n_30651),
+	.B(n_30582),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_114 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2476  (
+	.A(n_30650),
+	.B(n_30581),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_113 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2477  (
+	.A(n_30631),
+	.B(n_36268),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_112 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2478  (
+	.A(n_30542),
+	.B(n_30611),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_111 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2479  (
+	.A(n_30610),
+	.B(n_30541),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_110 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2480  (
+	.A(n_30634),
+	.B(n_36265),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_109 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2481  (
+	.A(n_30611),
+	.B(n_30542),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_108 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2482  (
+	.A(n_30540),
+	.B(n_30609),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_107 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2483  (
+	.A(n_36272),
+	.B(n_30627),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_106 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2484  (
+	.A(n_30616),
+	.B(n_30547),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_105 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2485  (
+	.A(n_30544),
+	.B(n_30613),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_104 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2486  (
+	.A(n_30538),
+	.B(n_30607),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_103 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2487  (
+	.A(n_30643),
+	.B(n_36256),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_102 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2488  (
+	.A(n_36252),
+	.B(n_30647),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_101 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2489  (
+	.A(n_30554),
+	.B(n_30623),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_100 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2490  (
+	.A(n_30639),
+	.B(n_36260),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_99 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2491  (
+	.A(n_36256),
+	.B(n_30643),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_98 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2492  (
+	.A(n_30612),
+	.B(n_30543),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_97 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2493  (
+	.A(n_30548),
+	.B(n_30617),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_96 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2494  (
+	.A(n_30632),
+	.B(n_36267),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_95 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2495  (
+	.A(n_30635),
+	.B(n_36264),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_94 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2496  (
+	.A(n_30546),
+	.B(n_30615),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_93 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2497  (
+	.A(n_36264),
+	.B(n_30635),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_92 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2498  (
+	.A(n_30628),
+	.B(n_36271),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_91 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2499  (
+	.A(n_30623),
+	.B(n_30554),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_90 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2500  (
+	.A(n_30619),
+	.B(n_30550),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_89 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2501  (
+	.A(n_36268),
+	.B(n_30631),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_88 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2502  (
+	.A(n_36261),
+	.B(n_30633),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_87 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2503  (
+	.A(n_36260),
+	.B(n_30639),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_86 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2504  (
+	.A(n_30641),
+	.B(n_36258),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_85 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2505  (
+	.A(n_30600),
+	.B(n_30531),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_83 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2506  (
+	.A(n_30617),
+	.B(n_30548),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_82 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2507  (
+	.A(n_30605),
+	.B(n_30536),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_81 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2508  (
+	.A(n_30608),
+	.B(n_30539),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_79 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2509  (
+	.A(n_30644),
+	.B(n_36255),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_77 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2510  (
+	.A(n_30636),
+	.B(n_36263),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_74 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2511  (
+	.A(n_30648),
+	.B(n_36251),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_73 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2512  (
+	.A(n_30625),
+	.B(n_30556),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_71 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2513  (
+	.A(n_30629),
+	.B(n_36270),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_69 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2514  (
+	.A(n_30613),
+	.B(n_30544),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_67 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2515  (
+	.A(n_30633),
+	.B(n_36261),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_65 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2516  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_13 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_12 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2517  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_11 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_10 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2518  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_9 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_8 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2519  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_5 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_6 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2520  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_4 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_3 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2521  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_1 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_2 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2522  (
+	.A(n_30553),
+	.B(n_30622),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_63 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2523  (
+	.A(n_30581),
+	.B(n_30650),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_62 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2524  (
+	.A(n_30539),
+	.B(n_30608),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_61 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2525  (
+	.A(n_30582),
+	.B(n_30651),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_60 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2526  (
+	.A(n_36269),
+	.B(n_30630),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_59 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2527  (
+	.A(n_30537),
+	.B(n_30606),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_58 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2528  (
+	.A(n_30597),
+	.B(n_76131),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_57 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2529  (
+	.A(n_30531),
+	.B(n_30600),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_56 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2530  (
+	.A(n_30652),
+	.B(n_30583),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_55 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2531  (
+	.A(n_30549),
+	.B(n_30618),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_54 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2532  (
+	.A(n_30642),
+	.B(n_36257),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_53 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2533  (
+	.A(n_30532),
+	.B(n_30601),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_52 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2534  (
+	.A(n_30545),
+	.B(n_30614),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_51 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2535  (
+	.A(n_30529),
+	.B(n_30598),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_50 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2536  (
+	.A(n_36255),
+	.B(n_30644),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_49 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2537  (
+	.A(n_36251),
+	.B(n_30648),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_48 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2538  (
+	.A(n_30551),
+	.B(n_30620),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_47 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2539  (
+	.A(n_36059),
+	.B(n_30596),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_46 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2540  (
+	.A(n_30533),
+	.B(n_30602),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_45 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2541  (
+	.A_N(n_30596),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_0 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_44 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2542  (
+	.A(n_30555),
+	.B(n_30624),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_43 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2543  (
+	.A(n_36253),
+	.B(n_30646),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_42 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2544  (
+	.A(n_36263),
+	.B(n_30636),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_41 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2545  (
+	.A(n_30638),
+	.B(n_36266),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_40 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2546  (
+	.A(n_30614),
+	.B(n_30545),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_39 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2547  (
+	.A(n_30649),
+	.B(n_30580),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_38 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2548  (
+	.A(n_30606),
+	.B(n_30537),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_37 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2549  (
+	.A(n_30536),
+	.B(n_30605),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_36 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2550  (
+	.A(n_30627),
+	.B(n_36272),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_35 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2551  (
+	.A(n_30630),
+	.B(n_36269),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_34 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2552  (
+	.A(n_30622),
+	.B(n_30553),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_33 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2553  (
+	.A(n_30599),
+	.B(n_30530),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_32 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2554  (
+	.A(n_36258),
+	.B(n_30641),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_31 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2555  (
+	.A(n_30556),
+	.B(n_30625),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_30 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2556  (
+	.A(n_30646),
+	.B(n_36253),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_29 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2557  (
+	.A(n_36270),
+	.B(n_30629),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_28 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2558  (
+	.A(n_30603),
+	.B(n_30534),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_27 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2559  (
+	.A(n_30550),
+	.B(n_30619),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_26 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2560  (
+	.A(n_30552),
+	.B(n_30621),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_25 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2561  (
+	.A(n_30637),
+	.B(n_36262),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_24 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2562  (
+	.A(n_30615),
+	.B(n_30546),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_23 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2563  (
+	.A(n_30534),
+	.B(n_30603),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_22 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2564  (
+	.A(n_30607),
+	.B(n_30538),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_21 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2565  (
+	.A(n_36254),
+	.B(n_30645),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_20 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2566  (
+	.A(n_30647),
+	.B(n_36252),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_19 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2567  (
+	.A(n_30626),
+	.B(n_30557),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_18 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2568  (
+	.A(n_36262),
+	.B(n_30637),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_17 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2569  (
+	.A(n_30618),
+	.B(n_30549),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_16 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2570  (
+	.A(n_30530),
+	.B(n_30599),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_15 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2571  (
+	.A(n_30580),
+	.B(n_30649),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_14 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2572  (
+	.A(n_30621),
+	.B(n_30552),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_13 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2573  (
+	.A(n_30645),
+	.B(n_36254),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_11 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2574  (
+	.A(n_30640),
+	.B(n_76217),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_9 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2575  (
+	.A(n_30609),
+	.B(n_30540),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_7 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2576  (
+	.A(n_30604),
+	.B(n_30535),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_5 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2577  (
+	.A(n_30620),
+	.B(n_30551),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_4 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2578  (
+	.A(n_30624),
+	.B(n_30555),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_1 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2579  (
+	.A(n_36059),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_0 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_379 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_19 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_101 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_524 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2150  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_49 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_409 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_61 ),
+	.Y(n_30410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2151  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_174 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_407 ),
+	.Y(n_30358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2152  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_407 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_409 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2153  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_172 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_404 ),
+	.Y(n_30408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2154  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_405 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_130 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_407 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2155  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_173 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_400 ),
+	.Y(n_30409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2156  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_399 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_65 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_405 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2157  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_76 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_397 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_39 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_404 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2158  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_170 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_397 ),
+	.Y(n_30407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2159  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_168 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_529 ),
+	.Y(n_30406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2160  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_164 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_395 ),
+	.Y(n_30404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2161  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_399 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_400 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2162  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_396 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_250 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_399 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2164  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_388 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_73 ),
+	.A3(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_91 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_217 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_397 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2165  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_390 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_201 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_396 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2166  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_17 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_386 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_35 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_395 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2167  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_166 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_388 ),
+	.X(n_30405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2168  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_162 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_386 ),
+	.Y(n_30403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2169  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_161 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_385 ),
+	.X(n_30402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2171  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_92 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_387 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_390 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2172  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_157 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_382 ),
+	.Y(n_30400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2173  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_387 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_388 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2174  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_376 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_213 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_257 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_387 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2175  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_376 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_195 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_219 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_386 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2176  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_31 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_377 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_32 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_385 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2177  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_159 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_376 ),
+	.X(n_30401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2178  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_150 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_375 ),
+	.Y(n_30396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2179  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_80 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_372 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_119 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_382 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2180  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_155 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_372 ),
+	.Y(n_30399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2181  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_154 ),
+	.B(n_76275),
+	.Y(n_30398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2182  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_144 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_371 ),
+	.Y(n_30392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2184  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_376 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_377 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2185  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_370 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_256 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_376 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2186  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_13 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_363 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_109 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_375 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2187  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_148 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_363 ),
+	.Y(n_30395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2189  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_358 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_5 ),
+	.A3(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_88 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_209 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_372 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2190  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_68 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_361 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_112 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_371 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2191  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_358 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_203 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_88 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_370 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2192  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_358 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_152 ),
+	.Y(n_30397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2193  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_179 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_362 ),
+	.Y(n_30394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2194  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_142 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_361 ),
+	.Y(n_30391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2195  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_139 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_360 ),
+	.Y(n_30390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2196  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_149 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_359 ),
+	.Y(n_30388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2198  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_352 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_85 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_206 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_363 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2199  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_352 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_89 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_362 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2200  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_346 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_530 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_225 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_361 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2201  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_105 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_345 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_104 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_360 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2202  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_83 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_344 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_34 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_359 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2203  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_351 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_253 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_358 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2204  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_186 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_344 ),
+	.Y(n_30387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2205  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_192 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_348 ),
+	.Y(n_30386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2206  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_190 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_347 ),
+	.Y(n_30384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2207  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_146 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_350 ),
+	.Y(n_30393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2208  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_147 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_346 ),
+	.Y(n_30389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2209  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_43 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_350 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_352 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2210  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_349 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_199 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_351 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2211  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_349 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_350 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2212  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_339 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_263 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_349 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2213  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_33 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_336 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_99 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_348 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2214  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_11 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_335 ),
+	.B1(n_75354),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_347 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2215  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_345 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_346 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2216  (
+	.A1(n_76276),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_227 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_260 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_345 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2217  (
+	.A1(n_76276),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_196 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_211 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_344 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2218  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_191 ),
+	.B(n_76276),
+	.X(n_30385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2219  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_189 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_335 ),
+	.Y(n_30383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2220  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_175 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_334 ),
+	.Y(n_30381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2221  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_143 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_333 ),
+	.Y(n_30377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2222  (
+	.A(n_76276),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_229 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_339 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2223  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_188 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_329 ),
+	.Y(n_30382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2224  (
+	.A(n_76276),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_336 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2226  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_323 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_8 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_220 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_335 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2227  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_19 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_322 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_28 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_334 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2228  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_7 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_320 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_95 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_333 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2229  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_185 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_322 ),
+	.Y(n_30380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2230  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_183 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_320 ),
+	.Y(n_30376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2231  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_137 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_321 ),
+	.X(n_30373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2232  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_323 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_42 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_329 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2234  (
+	.A1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_197 ),
+	.A2_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_316 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_197 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_316 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [28]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2235  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_187 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_318 ),
+	.Y(n_30379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2236  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_140 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_317 ),
+	.Y(n_30375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2238  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_53 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_315 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_323 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2239  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_310 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_15 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_210 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_322 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2240  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_87 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_309 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_115 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_321 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2241  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_311 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_71 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_207 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_320 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2242  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_182 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_309 ),
+	.Y(n_30372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2243  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_310 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_40 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_318 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2244  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_311 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_25 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_317 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2245  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_315 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_316 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2246  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_306 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_200 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_252 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_315 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2247  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_184 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_306 ),
+	.Y(n_30378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2248  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_145 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_305 ),
+	.Y(n_30374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2249  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_181 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_307 ),
+	.Y(n_30371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2250  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_101 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_305 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_311 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2251  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_106 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_306 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_310 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2252  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_303 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_78 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_224 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_309 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2253  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_153 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_302 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [15]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2254  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_303 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_108 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_307 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2255  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_301 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_264 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_306 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2256  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_295 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_208 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_259 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_305 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2257  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_138 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_295 ),
+	.Y(n_30370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2258  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_107 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_295 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_303 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2259  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_81 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_293 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_102 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_302 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2260  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_295 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_230 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_301 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2261  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_151 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_293 ),
+	.Y(n_30369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2262  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_141 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_535 ),
+	.Y(n_30368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2263  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_180 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_291 ),
+	.Y(n_30367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2266  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_292 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_254 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_295 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31a_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2268  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_117 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_72 ),
+	.A3(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_285 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_221 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_293 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2269  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_286 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_204 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_116 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_292 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2270  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_3 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_283 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_44 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_291 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2271  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_156 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_286 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [12]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2272  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_178 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_283 ),
+	.Y(n_30366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2273  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_177 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_284 ),
+	.Y(n_30365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2275  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_286 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_285 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2276  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_281 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_255 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_286 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2277  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_38 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_280 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_45 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_284 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2278  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_279 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_167 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_218 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_283 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2279  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_158 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_279 ),
+	.Y(n_30364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2280  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_279 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_214 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_281 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2281  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_279 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_280 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2282  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_277 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_132 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_279 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2283  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_160 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_275 ),
+	.Y(n_30363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2284  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_275 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_54 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_277 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2285  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_165 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_272 ),
+	.Y(n_30361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2286  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_273 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_63 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_275 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2287  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_169 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_271 ),
+	.Y(n_30362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2288  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_270 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_122 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_273 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2289  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_267 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_100 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_24 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_272 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2290  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_270 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_271 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2291  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_268 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_212 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_270 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2292  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_163 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_267 ),
+	.Y(n_30360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2293  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_267 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_193 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_268 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2294  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_265 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_58 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_267 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2295  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_176 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_262 ),
+	.Y(n_30359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2296  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_262 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_57 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_265 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2297  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_259 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_205 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_251 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_264 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2298  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_260 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_223 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_249 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_263 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2299  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_258 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_125 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_262 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2300  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_171 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_247 ),
+	.Y(n_30357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2301  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_247 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_48 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_258 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2302  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_90 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_236 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_134 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_257 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2303  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_98 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_232 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_120 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_256 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2304  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_111 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_240 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_50 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_255 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2305  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_29 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_533 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_123 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_260 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2306  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_118 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_242 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_129 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_259 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2307  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_22 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_241 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_128 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_254 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2308  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_23 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_235 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_55 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_253 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2309  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_46 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_234 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_131 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_252 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2310  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_94 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_233 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_127 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_251 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2311  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_110 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_239 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_56 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_250 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2312  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_21 ),
+	.A2(n_75953),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_126 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_249 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2313  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_97 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_237 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_60 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_248 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2314  (
+	.A(n_30413),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_215 ),
+	.CI(n_30181),
+	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_247 ),
+	.SUM(n_30356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2318  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_224 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_86 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_115 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_242 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2319  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_81 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_221 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_102 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_241 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2320  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_218 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_2 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_44 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_240 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2321  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_217 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_75 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_39 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_239 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2323  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_220 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_10 ),
+	.B1_N(n_75354),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_237 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2324  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_219 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_16 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_35 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_236 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2325  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_206 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_12 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_109 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_235 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2326  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_210 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_18 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_28 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_234 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2327  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_207 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_6 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_95 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_233 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2328  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_209 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_79 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_119 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_232 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2330  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_205 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_208 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_230 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2331  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_226 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_222 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_229 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2333  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_226 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_227 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2334  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_222 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_223 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2335  (
+	.A(n_30412),
+	.B(n_30180),
+	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_215 ),
+	.SUM(n_30355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2336  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_111 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_167 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_2 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_214 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2337  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_90 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_195 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_16 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_213 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2338  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_24 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_36 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_62 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_212 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2339  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_196 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_30 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_82 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_226 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2340  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_531 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_121 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_225 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2341  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_108 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_77 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_124 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_224 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2342  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_21 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_530 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_69 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_222 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2343  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_93 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_72 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_67 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_221 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2344  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_42 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_9 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_133 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_220 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2345  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_32 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_26 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_136 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_219 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2346  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_45 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_20 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_135 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_218 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2347  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_96 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_74 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_51 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_217 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2348  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_72 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_81 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_22 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_204 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2349  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_98 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_79 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_5 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_203 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2350  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_97 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_9 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_11 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_202 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2351  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_110 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_74 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_76 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_201 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4bb_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2352  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_46 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_106 ),
+	.C_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_18 ),
+	.D_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_15 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_200 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4bb_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2353  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_23 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_43 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_12 ),
+	.D(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_85 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_199 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2354  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_99 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_37 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_47 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_211 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2355  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_40 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_14 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_64 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_210 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2356  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_41 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_4 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_52 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_209 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2357  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_107 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_77 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_87 ),
+	.D(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_118 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_208 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2358  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_25 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_70 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_59 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_207 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2359  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_89 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_84 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_66 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_206 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4bb_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2360  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_94 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_101 ),
+	.C_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_6 ),
+	.D_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_71 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_205 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2362  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_36 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_100 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_193 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2363  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_37 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_47 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_192 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2365  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_33 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_99 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_191 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2366  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_97 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_60 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_190 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2367  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_11 ),
+	.B_N(n_75354),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_189 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2368  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_8 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_133 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_188 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2369  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_15 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_64 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_187 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2370  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_83 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_34 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_186 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2371  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_19 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_28 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_185 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2372  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_106 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_40 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_184 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2373  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_7 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_95 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_183 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2374  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_87 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_115 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_182 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2375  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_78 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_124 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_181 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2376  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_111 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_50 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_180 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2377  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_85 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_66 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_179 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2378  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_3 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_44 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_178 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2379  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_53 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_42 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_197 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2380  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_20 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_135 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_177 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2381  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_57 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_58 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_176 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2382  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_33 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_37 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_196 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2383  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_46 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_131 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_175 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2384  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_31 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_26 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_195 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2385  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_49 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_61 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_174 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2386  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_65 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_130 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_173 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2387  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_110 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_56 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_172 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2388  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_48 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_125 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_171 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2389  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_76 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_39 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_170 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2390  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_122 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_63 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_169 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2391  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_73 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_51 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_168 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2392  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_20 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_38 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_167 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2393  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_92 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_96 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_166 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2394  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_36 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_62 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_165 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2395  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_90 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_134 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_164 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2396  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_100 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_24 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_163 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2397  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_17 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_35 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_162 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2398  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_26 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_136 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_161 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2399  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_54 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_132 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_160 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2400  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_31 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_32 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_159 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2401  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_38 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_45 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_158 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2402  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_98 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_120 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_157 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2403  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_116 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_93 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_156 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2404  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_80 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_119 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_155 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2405  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_5 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_52 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_154 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2406  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_22 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_128 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_153 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2407  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_88 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_41 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_152 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2408  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_81 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_102 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_151 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2409  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_23 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_55 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_150 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2410  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_30 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_123 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_149 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2411  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_13 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_109 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_148 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2412  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_105 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_104 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_147 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2413  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_43 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_89 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_146 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2414  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_101 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_25 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_145 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2415  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_21 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_126 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_144 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2416  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_94 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_127 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_143 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2417  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_69 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_112 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_142 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2418  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_72 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_67 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_141 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2419  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_71 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_59 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_140 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2420  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_114 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_121 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_139 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2421  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_107 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_108 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_138 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2422  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_118 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_129 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_137 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2423  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_117 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_116 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2426  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_92 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_91 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2427  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_87 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_86 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2428  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_84 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_85 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2429  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_83 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_82 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2430  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_80 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_79 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2431  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_77 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_78 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2432  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_76 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_75 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2433  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_74 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_73 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2434  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_70 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_71 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2435  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_68 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_69 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2436  (
+	.A(n_30229),
+	.B(n_30461),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_136 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2437  (
+	.A(n_30189),
+	.B(n_30421),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_135 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2438  (
+	.A(n_30231),
+	.B(n_30463),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_134 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2439  (
+	.A(n_30209),
+	.B(n_30441),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_133 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2440  (
+	.A(n_30187),
+	.B(n_30419),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_132 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2441  (
+	.A(n_30207),
+	.B(n_30439),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_131 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2442  (
+	.A(n_30236),
+	.B(n_30468),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_130 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2443  (
+	.A(n_30199),
+	.B(n_30431),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_129 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2444  (
+	.A(n_30195),
+	.B(n_30427),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_128 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2445  (
+	.A(n_30203),
+	.B(n_30435),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_127 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2446  (
+	.A(n_30219),
+	.B(n_30451),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_126 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2447  (
+	.A(n_30182),
+	.B(n_30414),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_125 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2448  (
+	.A(n_30197),
+	.B(n_30429),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_124 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2449  (
+	.A(n_30215),
+	.B(n_30447),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_123 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2450  (
+	.A(n_30418),
+	.B(n_30186),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_122 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2451  (
+	.A(n_30217),
+	.B(n_30449),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_121 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2452  (
+	.A(n_30227),
+	.B(n_30459),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_120 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2453  (
+	.A(n_30226),
+	.B(n_30458),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_119 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2454  (
+	.A(n_30431),
+	.B(n_30199),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_118 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2455  (
+	.A(n_30424),
+	.B(n_30192),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_117 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2456  (
+	.A(n_30198),
+	.B(n_30430),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_115 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2457  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_1 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_0 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_114 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2458  (
+	.A(n_30218),
+	.B(n_30450),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_112 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2459  (
+	.A(n_30423),
+	.B(n_30191),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_111 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2460  (
+	.A(n_30467),
+	.B(n_30235),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_110 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2461  (
+	.A(n_30222),
+	.B(n_30454),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_109 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2462  (
+	.A(n_30196),
+	.B(n_30428),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_108 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2463  (
+	.A(n_30428),
+	.B(n_30196),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_107 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2464  (
+	.A(n_30436),
+	.B(n_30204),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_106 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2465  (
+	.A(n_30448),
+	.B(n_30216),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_105 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2466  (
+	.A(n_30216),
+	.B(n_30448),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_104 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2467  (
+	.A(n_30194),
+	.B(n_30426),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_102 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2468  (
+	.A(n_30432),
+	.B(n_30200),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_101 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2469  (
+	.A(n_30416),
+	.B(n_30184),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_100 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2470  (
+	.A(n_30212),
+	.B(n_30444),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_99 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2471  (
+	.A(n_30459),
+	.B(n_30227),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_98 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2472  (
+	.A(n_30211),
+	.B(n_30443),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_97 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2473  (
+	.A(n_30232),
+	.B(n_30464),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_96 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2474  (
+	.A(n_30202),
+	.B(n_30434),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_95 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2475  (
+	.A(n_30435),
+	.B(n_30203),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_94 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2476  (
+	.A(n_30192),
+	.B(n_30424),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_93 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2477  (
+	.A(n_30464),
+	.B(n_30232),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_92 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2478  (
+	.A(n_30463),
+	.B(n_30231),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_90 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2479  (
+	.A(n_30220),
+	.B(n_30452),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_89 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2480  (
+	.A(n_30456),
+	.B(n_30224),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_88 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2481  (
+	.A(n_30430),
+	.B(n_30198),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_87 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2482  (
+	.A(n_30453),
+	.B(n_30221),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_84 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2483  (
+	.A(n_30446),
+	.B(n_30214),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_83 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2484  (
+	.A(n_30426),
+	.B(n_30194),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_81 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2485  (
+	.A(n_30458),
+	.B(n_30226),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_80 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2486  (
+	.A(n_30429),
+	.B(n_30197),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_77 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2487  (
+	.A(n_30466),
+	.B(n_30234),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_76 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2488  (
+	.A(n_30465),
+	.B(n_30233),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_74 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2489  (
+	.A(n_30425),
+	.B(n_30193),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_72 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2490  (
+	.A(n_30433),
+	.B(n_30201),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_70 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2491  (
+	.A(n_30450),
+	.B(n_30218),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_68 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2492  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_29 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_30 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2493  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_19 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_18 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2494  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_17 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_16 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2495  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_14 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_15 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2496  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_13 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_12 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2498  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_9 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_8 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2499  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_7 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_6 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2500  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_4 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_5 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2501  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_3 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_2 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2502  (
+	.A(n_30193),
+	.B(n_30425),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_67 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2503  (
+	.A(n_30221),
+	.B(n_30453),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_66 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2504  (
+	.A(n_30468),
+	.B(n_30236),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_65 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2505  (
+	.A(n_30205),
+	.B(n_30437),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_64 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2506  (
+	.A(n_30186),
+	.B(n_30418),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_63 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2507  (
+	.A(n_30185),
+	.B(n_30417),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_62 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2508  (
+	.A(n_30237),
+	.B(n_30469),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_61 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2509  (
+	.A(n_30443),
+	.B(n_30211),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_60 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2510  (
+	.A(n_30201),
+	.B(n_30433),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_59 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2511  (
+	.A(n_30183),
+	.B(n_30415),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_58 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2512  (
+	.A(n_30415),
+	.B(n_30183),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_57 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2513  (
+	.A(n_30235),
+	.B(n_30467),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_56 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2514  (
+	.A(n_30223),
+	.B(n_30455),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_55 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2515  (
+	.A(n_30419),
+	.B(n_30187),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_54 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2516  (
+	.A(n_30440),
+	.B(n_30208),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_53 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2517  (
+	.A(n_30225),
+	.B(n_30457),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_52 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2518  (
+	.A(n_30233),
+	.B(n_30465),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_51 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2519  (
+	.A(n_30191),
+	.B(n_30423),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_50 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2520  (
+	.A(n_30469),
+	.B(n_30237),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_49 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2521  (
+	.A(n_30414),
+	.B(n_30182),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_48 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2522  (
+	.A(n_30213),
+	.B(n_30445),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_47 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2523  (
+	.A(n_30439),
+	.B(n_30207),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_46 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2524  (
+	.A(n_30188),
+	.B(n_30420),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_45 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2525  (
+	.A(n_30190),
+	.B(n_30422),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_44 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2526  (
+	.A(n_30452),
+	.B(n_30220),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_43 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2527  (
+	.A(n_30208),
+	.B(n_30440),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_42 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2528  (
+	.A(n_30224),
+	.B(n_30456),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_41 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2529  (
+	.A(n_30204),
+	.B(n_30436),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_40 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2530  (
+	.A(n_30234),
+	.B(n_30466),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_39 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2531  (
+	.A(n_30420),
+	.B(n_30188),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_38 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2532  (
+	.A(n_30445),
+	.B(n_30213),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_37 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2533  (
+	.A(n_30417),
+	.B(n_30185),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_36 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2534  (
+	.A(n_30230),
+	.B(n_30462),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_35 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2535  (
+	.A(n_30214),
+	.B(n_30446),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_34 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2536  (
+	.A(n_30212),
+	.B(n_30444),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_33 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2537  (
+	.A(n_30228),
+	.B(n_30460),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_32 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2538  (
+	.A(n_30460),
+	.B(n_30228),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_31 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2539  (
+	.A(n_30447),
+	.B(n_30215),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_29 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2540  (
+	.A(n_30206),
+	.B(n_30438),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_28 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2542  (
+	.A(n_30461),
+	.B(n_30229),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_26 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2543  (
+	.A(n_30200),
+	.B(n_30432),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_25 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2544  (
+	.A(n_30184),
+	.B(n_30416),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_24 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2545  (
+	.A(n_30455),
+	.B(n_30223),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_23 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2546  (
+	.A(n_30427),
+	.B(n_30195),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_22 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2547  (
+	.A(n_30451),
+	.B(n_30219),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_21 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2548  (
+	.A(n_30421),
+	.B(n_30189),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_20 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2549  (
+	.A(n_30438),
+	.B(n_30206),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_19 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2550  (
+	.A(n_30462),
+	.B(n_30230),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_17 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2551  (
+	.A(n_30437),
+	.B(n_30205),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_14 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2552  (
+	.A(n_30454),
+	.B(n_30222),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_13 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2554  (
+	.A(n_30441),
+	.B(n_30209),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_9 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2555  (
+	.A(n_30434),
+	.B(n_30202),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_7 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2556  (
+	.A(n_30457),
+	.B(n_30225),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_4 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2557  (
+	.A(n_30422),
+	.B(n_30190),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_3 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2558  (
+	.A(n_30217),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_1 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2559  (
+	.A(n_30449),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_0 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_390 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_96 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_529 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2560  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_105 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_114 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_530 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2561  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_104 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_114 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_531 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2563  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_211 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_82 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_34 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_533 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2565  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_117 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_285 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_93 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_535 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2144  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_433 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_70 ),
+	.Y(n_30238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2146  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_430 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_53 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_433 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2147  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_176 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_427 ),
+	.Y(n_30141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2148  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_165 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_422 ),
+	.X(n_30137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2149  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_428 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_127 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_430 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2150  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_177 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_423 ),
+	.X(n_30142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2151  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_423 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_52 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_428 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2152  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_424 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_30 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_427 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2153  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_157 ),
+	.B(n_75616),
+	.Y(n_30140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2154  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_168 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_420 ),
+	.Y(n_30139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2155  (
+	.A(n_75616),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_81 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_424 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2156  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_417 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_260 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_423 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2157  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_419 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_44 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_422 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2158  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_163 ),
+	.B(n_87506),
+	.X(n_30136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2159  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_413 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_100 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_420 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2160  (
+	.A(n_87506),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_75 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_419 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2162  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_414 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_209 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_417 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2163  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_167 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_405 ),
+	.Y(n_30138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2164  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_161 ),
+	.B(n_87142),
+	.Y(n_30135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2165  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_413 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_414 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2166  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_405 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_42 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_413 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2169  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_405 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_197 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_410 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2170  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_159 ),
+	.B(n_76277),
+	.Y(n_30133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2174  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_401 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_267 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_405 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2175  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_160 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_395 ),
+	.Y(n_30134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2176  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_151 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_558 ),
+	.Y(n_30129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2178  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_395 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_207 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_401 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2181  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_155 ),
+	.B(n_76278),
+	.Y(n_30131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2182  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_146 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_388 ),
+	.Y(n_30125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2184  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_387 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_266 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_395 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2186  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_150 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_562 ),
+	.Y(n_30128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2191  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_87 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_378 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_103 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_388 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2192  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_371 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_211 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_90 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_387 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2193  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_153 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_371 ),
+	.Y(n_30130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2194  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_184 ),
+	.B(n_87143),
+	.Y(n_30127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2195  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_144 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_378 ),
+	.Y(n_30124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2196  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_141 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_376 ),
+	.Y(n_30123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2197  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_190 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_374 ),
+	.Y(n_30121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2202  (
+	.A1(n_76280),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_105 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_111 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_376 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2204  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_78 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_360 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_112 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_374 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2205  (
+	.A1(n_76280),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_202 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_235 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_378 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2208  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_196 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_362 ),
+	.Y(n_30117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2210  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_189 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_360 ),
+	.Y(n_30120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2211  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_148 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_566 ),
+	.Y(n_30126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2213  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_566 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_208 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_263 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_371 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2216  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_28 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_350 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_38 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_363 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2217  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_15 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_352 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_50 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_362 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2219  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_348 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_203 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_217 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_360 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2220  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_173 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_348 ),
+	.Y(n_30118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2221  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_194 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_352 ),
+	.Y(n_30116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2224  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_193 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_346 ),
+	.Y(n_30115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2225  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_192 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_345 ),
+	.Y(n_30114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2226  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_145 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_344 ),
+	.Y(n_30110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2227  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_337 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_82 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_231 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_352 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2229  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_348 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_350 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2231  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_343 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_258 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_348 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2232  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_139 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_335 ),
+	.Y(n_30106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2233  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_336 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_36 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_346 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2234  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_11 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_332 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_41 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_345 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2235  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_27 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_329 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_99 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_344 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2236  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_337 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_210 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_343 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2237  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_187 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_333 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[2] [28]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2238  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_174 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_332 ),
+	.Y(n_30113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2239  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_181 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_331 ),
+	.Y(n_30112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2240  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_195 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_329 ),
+	.Y(n_30109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2241  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_142 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_330 ),
+	.Y(n_30108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2242  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_336 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_337 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2243  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_333 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_134 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_336 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2244  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_77 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_325 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_123 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_335 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2245  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_186 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_325 ),
+	.Y(n_30105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2246  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_324 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_262 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_333 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2247  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_321 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_171 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_219 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_332 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2248  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_94 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_320 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_49 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_331 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2249  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_107 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_318 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_114 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_330 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2250  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_319 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_205 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_213 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_329 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2251  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_188 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_320 ),
+	.Y(n_30111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2252  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_147 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_319 ),
+	.Y(n_30107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2253  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_191 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_322 ),
+	.Y(n_30104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2254  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_316 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_20 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_232 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_325 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2255  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_321 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_223 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_324 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2256  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_154 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_314 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[2] [15]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2257  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_315 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_120 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_322 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2258  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_321 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_320 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2259  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_313 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_277 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_321 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2260  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_318 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_319 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2261  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_307 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_216 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_269 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_318 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2262  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_140 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_307 ),
+	.Y(n_30103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2263  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_315 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_316 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2264  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_307 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_98 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_315 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2265  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_24 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_305 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_96 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_314 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2266  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_307 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_241 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_313 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2267  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_152 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_305 ),
+	.Y(n_30102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2268  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_143 ),
+	.B(n_87144),
+	.Y(n_30101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2269  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_185 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_303 ),
+	.Y(n_30100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2272  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_304 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_264 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_307 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2274  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_298 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_85 ),
+	.A3(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_93 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_233 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_305 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2275  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_298 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_212 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_93 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_304 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2276  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_22 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_296 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_89 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_303 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2277  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_158 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_298 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[2] [12]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2278  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_183 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_296 ),
+	.Y(n_30099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2279  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_182 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_297 ),
+	.Y(n_30098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2281  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_294 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_265 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_298 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2282  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_51 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_293 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_109 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_297 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2283  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_292 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_172 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_218 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_296 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2284  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_164 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_293 ),
+	.Y(n_30097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2285  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_292 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_224 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_294 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2286  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_292 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_293 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2287  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_290 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_62 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_292 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2288  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_169 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_288 ),
+	.Y(n_30096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2289  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_288 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_63 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_290 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2290  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_166 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_285 ),
+	.Y(n_30094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2291  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_286 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_73 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_288 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2293  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_283 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_60 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_286 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2294  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_280 ),
+	.A2(n_75621),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_9 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_285 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2296  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_281 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_222 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_283 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2297  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_178 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_280 ),
+	.Y(n_30093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2298  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_280 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_552 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_281 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2299  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_278 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_69 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_280 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2300  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_180 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_273 ),
+	.Y(n_30092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2301  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_273 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_556 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_278 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2302  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_269 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_237 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_261 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_277 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2305  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_270 ),
+	.B(n_75618),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_274 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2306  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_268 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_130 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_273 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2307  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_175 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_256 ),
+	.Y(n_30091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2309  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_256 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_74 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_268 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2310  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_92 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_246 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_132 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_267 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2311  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_108 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_242 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_124 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_266 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2312  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_115 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_250 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_54 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_265 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2313  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_254 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_128 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_270 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2314  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_33 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_252 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_129 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_269 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2315  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_88 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_251 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_125 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_264 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2316  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_40 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_245 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_65 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_263 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2317  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_37 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_244 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_136 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_262 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2318  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_97 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_243 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_131 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_261 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2319  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_102 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_249 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_64 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_260 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2320  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_253 ),
+	.A2(n_75619),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_126 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_259 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2321  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_91 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_247 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_138 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_258 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2323  (
+	.A(n_30298),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_225 ),
+	.CI(n_30240),
+	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_256 ),
+	.SUM(n_30090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2324  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_248 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_557 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_254 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2325  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_87 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_236 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_103 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_253 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2326  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_232 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_76 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_123 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_252 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2327  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_233 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_23 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_96 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_251 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2328  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_218 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_21 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_89 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_250 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2329  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_227 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_81 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_30 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_249 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2330  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_239 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_112 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_248 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2331  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_231 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_14 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_50 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_247 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2332  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_229 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_75 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_44 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_246 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2333  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_220 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_16 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_118 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_245 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2334  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_219 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_10 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_41 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_244 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2335  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_213 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_26 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_99 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_243 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2336  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_214 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_25 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_106 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_242 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2337  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_237 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_216 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_241 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2339  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_78 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_217 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_239 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2340  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_235 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_236 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2343  (
+	.A(n_30297),
+	.B(n_30239),
+	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_225 ),
+	.SUM(n_30089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2344  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_115 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_172 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_21 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_224 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2345  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_37 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_171 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_10 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_223 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2346  (
+	.A1(n_75620),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_34 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_71 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_222 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2348  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_97 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_205 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_26 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_237 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2349  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_199 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_61 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_235 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2351  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_101 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_84 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_55 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_233 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2352  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_120 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_19 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_72 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_232 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2353  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_83 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_36 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_66 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_231 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2354  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_43 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_17 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_135 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_229 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2355  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_100 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_13 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_137 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_227 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2358  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_24 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_84 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_88 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_212 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2359  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_12 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_108 ),
+	.C_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_25 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_211 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2360  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_15 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_91 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_83 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_210 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2361  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_13 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_102 ),
+	.C_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_81 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_209 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2362  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_40 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_16 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_80 ),
+	.D(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_45 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_208 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2363  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_92 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_18 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_110 ),
+	.D(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_75 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_207 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2364  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_95 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_79 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_58 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_220 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2365  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_49 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_46 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_57 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_219 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2366  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_109 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_29 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_59 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_218 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2367  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_200 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_133 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_217 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2368  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_33 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_76 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_20 ),
+	.D(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_98 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_216 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2369  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_32 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_12 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_56 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_214 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2370  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_114 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_31 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_68 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_213 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2373  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_38 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_47 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_200 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2374  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_111 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_121 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_199 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2376  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_13 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_42 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_197 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2377  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_91 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_138 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_196 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2378  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_27 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_99 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_195 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2379  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_15 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_50 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_194 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2380  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_82 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_66 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_193 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2381  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_37 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_136 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_192 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2382  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_20 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_72 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_191 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2383  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_557 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_128 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_190 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2384  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_78 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_112 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_189 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2385  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_94 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_49 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_188 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2386  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_134 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_36 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_187 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2387  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_77 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_123 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_186 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2388  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_107 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_31 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_205 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2389  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_115 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_54 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_185 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2390  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_80 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_58 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_184 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2391  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_22 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_89 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_183 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2392  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_29 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_59 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_182 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2393  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_46 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_57 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_181 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2394  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_556 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_69 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_180 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2395  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_48 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_133 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_204 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2396  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_28 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_48 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_203 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2397  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_104 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_122 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_202 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2399  (
+	.A(n_75621),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_9 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_178 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2400  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_52 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_127 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_177 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2401  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_102 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_64 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_176 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2402  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_74 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_130 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_175 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2403  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_11 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_41 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_174 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2404  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_28 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_38 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_173 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2405  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_12 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_90 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_170 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2406  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_63 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_62 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_169 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2407  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_13 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_137 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_168 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2408  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_51 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_29 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_172 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2409  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_42 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_100 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_167 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2410  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_71 ),
+	.B_N(n_75620),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_166 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2411  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_92 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_132 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_165 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2412  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_51 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_109 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_164 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2413  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_75 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_44 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_163 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2414  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_60 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_73 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_162 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2415  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_18 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_135 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_161 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2416  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_110 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_43 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_160 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2417  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_108 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_124 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_159 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2418  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_93 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_101 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_158 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2419  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_81 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_30 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_157 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2420  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_25 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_106 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_156 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2421  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_12 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_56 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_155 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2422  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_88 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_125 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_154 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2423  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_90 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_32 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_153 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2424  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_24 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_96 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_152 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2425  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_40 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_65 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_151 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2426  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_94 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_46 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_171 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2427  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_16 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_118 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_150 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2428  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_111 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_105 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_149 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2429  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_45 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_95 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_148 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2430  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_107 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_114 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_147 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2431  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_126 ),
+	.B(n_75619),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_146 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2432  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_97 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_131 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_145 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2433  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_87 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_103 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_144 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2434  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_85 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_55 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_143 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2435  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_31 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_68 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_142 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2436  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_122 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_61 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_141 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2437  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_98 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_120 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_140 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2438  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_33 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_129 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_139 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2439  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_121 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_122 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2441  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_104 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_105 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2443  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_84 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_85 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2444  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_83 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_82 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2445  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_79 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_80 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2446  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_77 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_76 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2447  (
+	.A(n_30270),
+	.B(n_30328),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_138 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2448  (
+	.A(n_30292),
+	.B(n_30350),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_137 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2449  (
+	.A(n_30266),
+	.B(n_30324),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_136 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2450  (
+	.A(n_30288),
+	.B(n_30346),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_135 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2451  (
+	.A(n_30325),
+	.B(n_30267),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_134 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2452  (
+	.A(n_30272),
+	.B(n_30330),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_133 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2453  (
+	.A(n_30290),
+	.B(n_30348),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_132 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2454  (
+	.A(n_30262),
+	.B(n_30320),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_131 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2455  (
+	.A(n_76152),
+	.B(n_30299),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_130 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2456  (
+	.A(n_30258),
+	.B(n_30316),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_129 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2457  (
+	.A(n_30274),
+	.B(n_30332),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_128 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2458  (
+	.A(n_30353),
+	.B(n_30295),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_127 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2459  (
+	.A(n_30278),
+	.B(n_30336),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_126 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2460  (
+	.A(n_30254),
+	.B(n_30312),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_125 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2461  (
+	.A(n_30286),
+	.B(n_30344),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_124 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2462  (
+	.A(n_30257),
+	.B(n_30315),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_123 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2463  (
+	.A_N(n_30334),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_8 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_121 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2464  (
+	.A(n_30255),
+	.B(n_30313),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_120 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2466  (
+	.A(n_30281),
+	.B(n_30339),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_118 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2468  (
+	.A(n_30308),
+	.B(n_30250),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_115 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2469  (
+	.A(n_30259),
+	.B(n_30317),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_114 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2471  (
+	.A(n_30273),
+	.B(n_30331),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_112 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2472  (
+	.A(n_30275),
+	.B(n_30333),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_111 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2473  (
+	.A(n_30345),
+	.B(n_30287),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_110 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2474  (
+	.A(n_30247),
+	.B(n_30305),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_109 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2475  (
+	.A(n_30344),
+	.B(n_30286),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_108 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2476  (
+	.A(n_30317),
+	.B(n_30259),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_107 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2477  (
+	.A(n_30285),
+	.B(n_30343),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_106 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2478  (
+	.A(n_30333),
+	.B(n_30275),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_104 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2479  (
+	.A(n_76150),
+	.B(n_35189),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_103 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2480  (
+	.A(n_30352),
+	.B(n_30294),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_102 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2481  (
+	.A(n_30251),
+	.B(n_30309),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_101 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2482  (
+	.A(n_30291),
+	.B(n_30349),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_100 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2483  (
+	.A(n_30261),
+	.B(n_30319),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_99 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2484  (
+	.A(n_30313),
+	.B(n_30255),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_98 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2485  (
+	.A(n_30320),
+	.B(n_30262),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_97 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2486  (
+	.A(n_30253),
+	.B(n_30311),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_96 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2487  (
+	.A(n_30279),
+	.B(n_30337),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_95 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2488  (
+	.A(n_30321),
+	.B(n_30263),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_94 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2489  (
+	.A(n_30309),
+	.B(n_30251),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_93 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2490  (
+	.A(n_30348),
+	.B(n_30290),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_92 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2491  (
+	.A(n_30328),
+	.B(n_30270),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_91 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2492  (
+	.A(n_30341),
+	.B(n_30283),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_90 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2493  (
+	.A(n_30249),
+	.B(n_30307),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_89 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2494  (
+	.A(n_30312),
+	.B(n_30254),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_88 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2495  (
+	.A(n_35189),
+	.B(n_76150),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_87 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2496  (
+	.A(n_30310),
+	.B(n_30252),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_84 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2497  (
+	.A(n_30326),
+	.B(n_30268),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_83 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2498  (
+	.A(n_30351),
+	.B(n_30293),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_81 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2499  (
+	.A(n_30338),
+	.B(n_30280),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_79 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2500  (
+	.A(n_30331),
+	.B(n_30273),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_78 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2501  (
+	.A(n_30315),
+	.B(n_30257),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_77 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2502  (
+	.A(n_30347),
+	.B(n_30289),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_75 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2503  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_47 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_48 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2505  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_9 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_34 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2506  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_27 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_26 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2507  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_24 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_23 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2508  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_22 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_21 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2509  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_19 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_20 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2510  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_17 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_18 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2511  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_15 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_14 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2512  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_11 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_10 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2513  (
+	.A_N(n_30299),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_3 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_74 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2514  (
+	.A(n_30245),
+	.B(n_30303),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_73 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2515  (
+	.A(n_30256),
+	.B(n_30314),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_72 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2516  (
+	.A(n_30244),
+	.B(n_30302),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_71 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2517  (
+	.A(n_83913),
+	.B(n_30354),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_70 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2518  (
+	.A(n_76151),
+	.B(n_30300),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_69 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2519  (
+	.A(n_30260),
+	.B(n_30318),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_68 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2521  (
+	.A(n_30268),
+	.B(n_30326),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_66 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2522  (
+	.A(n_30282),
+	.B(n_30340),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_65 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2523  (
+	.A(n_30294),
+	.B(n_30352),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_64 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2524  (
+	.A(n_30304),
+	.B(n_30246),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_63 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2525  (
+	.A(n_30246),
+	.B(n_30304),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_62 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2526  (
+	.A(n_30276),
+	.B(n_30334),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_61 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2527  (
+	.A(n_30303),
+	.B(n_30245),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_60 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2528  (
+	.A(n_30248),
+	.B(n_30306),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_59 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2529  (
+	.A(n_30280),
+	.B(n_30338),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_58 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2530  (
+	.A(n_30264),
+	.B(n_30322),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_57 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2531  (
+	.A(n_30284),
+	.B(n_30342),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_56 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2532  (
+	.A(n_30252),
+	.B(n_30310),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_55 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2533  (
+	.A(n_30250),
+	.B(n_30308),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_54 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2534  (
+	.A(n_30354),
+	.B(n_83913),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_53 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2535  (
+	.A(n_30295),
+	.B(n_30353),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_52 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2536  (
+	.A(n_30305),
+	.B(n_30247),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_51 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2537  (
+	.A(n_30269),
+	.B(n_30327),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_50 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2538  (
+	.A(n_30263),
+	.B(n_30321),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_49 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2539  (
+	.A_N(n_30330),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_0 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_47 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2540  (
+	.A(n_30322),
+	.B(n_30264),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_46 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2541  (
+	.A(n_30337),
+	.B(n_30279),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_45 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2542  (
+	.A(n_30289),
+	.B(n_30347),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_44 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2543  (
+	.A(n_30287),
+	.B(n_30345),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_43 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2544  (
+	.A(n_30349),
+	.B(n_30291),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_42 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2545  (
+	.A(n_30265),
+	.B(n_30323),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_41 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2546  (
+	.A(n_30340),
+	.B(n_30282),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_40 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2548  (
+	.A(n_30271),
+	.B(n_30329),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_38 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2549  (
+	.A(n_30324),
+	.B(n_30266),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_37 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2550  (
+	.A(n_30267),
+	.B(n_30325),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_36 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2551  (
+	.A(n_30243),
+	.B(n_30301),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_9 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2552  (
+	.A(n_30316),
+	.B(n_30258),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_33 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2553  (
+	.A(n_30283),
+	.B(n_30341),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_32 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2554  (
+	.A(n_30318),
+	.B(n_30260),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_31 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2555  (
+	.A(n_30293),
+	.B(n_30351),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_30 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2556  (
+	.A(n_30306),
+	.B(n_30248),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_29 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2557  (
+	.A(n_30329),
+	.B(n_30271),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_28 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2558  (
+	.A(n_30319),
+	.B(n_30261),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_27 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2559  (
+	.A(n_30343),
+	.B(n_30285),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_25 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2560  (
+	.A(n_30311),
+	.B(n_30253),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_24 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2561  (
+	.A(n_30307),
+	.B(n_30249),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_22 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2562  (
+	.A(n_30314),
+	.B(n_30256),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_19 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2563  (
+	.A(n_30346),
+	.B(n_30288),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_17 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2564  (
+	.A(n_30339),
+	.B(n_30281),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_16 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2565  (
+	.A(n_30327),
+	.B(n_30269),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_15 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2566  (
+	.A(n_30350),
+	.B(n_30292),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_13 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2567  (
+	.A(n_30342),
+	.B(n_30284),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_12 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2568  (
+	.A(n_30323),
+	.B(n_30265),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_11 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2569  (
+	.A(n_30276),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_8 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2574  (
+	.A(n_76152),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_3 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2577  (
+	.A(n_30272),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_0 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2  (
+	.A(n_75620),
+	.B(n_75621),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_552 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2578  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_162 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_283 ),
+	.X(n_30095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2581  (
+	.A(n_30300),
+	.B(n_76151),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_556 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2582  (
+	.A(n_30332),
+	.B(n_30274),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_557 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2583  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_562 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_16 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_118 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_558 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31o_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2587  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_566 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_45 ),
+	.A3(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_80 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_220 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_562 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2589  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_259 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_274 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_565 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_566 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g3  (
+	.A(n_76279),
+	.B(n_75618),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_348 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_565 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38695  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3175 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3178 ),
+	.Y(n_23767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38705  (
+	.A(n_55624),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3164 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3178 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38706  (
+	.A(n_86339),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3165 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3177 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38708  (
+	.A(n_50070),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3163 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3175 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38718  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3163 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3164 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38725  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3137 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3136 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3165 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38726  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3138 ),
+	.B(n_79193),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3163 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38730  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3090 ),
+	.B(n_86882),
+	.Y(n_23690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38732  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3143 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3367 ),
+	.Y(n_23764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38740  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3752 ),
+	.B(n_60092),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3143 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38742  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_471 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3077 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3141 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38744  (
+	.A(n_31007),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3078 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3139 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38745  (
+	.A(n_79190),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3093 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3138 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38746  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3098 ),
+	.B(n_78121),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3137 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38747  (
+	.A(n_78120),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3097 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3136 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38755  (
+	.A(n_50070),
+	.B(FE_DBTN129_csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_add_365_53_n_3093),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3127 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38756  (
+	.A(n_55624),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3093 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3126 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38761  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3080 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3047 ),
+	.Y(n_23687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38762  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3086 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3368 ),
+	.Y(n_23763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38767  (
+	.A1_N(n_54560),
+	.A2_N(n_78922),
+	.B1(n_54560),
+	.B2(n_77836),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3129 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38772  (
+	.A(n_63903),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3108 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38780  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3097 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3098 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38787  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2659 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2813 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2897 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3093 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3097 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38789  (
+	.A(n_78095),
+	.B(n_78121),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3090 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38791  (
+	.A(n_78488),
+	.B(n_78132),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3088 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38793  (
+	.A(n_78496),
+	.B(n_57285),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3086 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38799  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3788 ),
+	.A2(n_55880),
+	.B1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2939 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3080 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38801  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3077 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3078 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38802  (
+	.A(n_86824),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3076 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38806  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3042 ),
+	.B(n_53662),
+	.Y(n_23701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38813  (
+	.A(n_86910),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3051 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3077 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38817  (
+	.A(n_78132),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3062 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38826  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3757 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2940 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3051 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38830  (
+	.A(n_55880),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3788 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3047 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38834  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_471 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3757 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3043 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38835  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_487 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2994 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3042 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38877  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3752 ),
+	.B(n_39007),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2997 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38890  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2955 ),
+	.B(n_75758),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2994 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38911  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_491 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3465 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2959 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38912  (
+	.A(n_30992),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2920 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2958 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38914  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_487 ),
+	.B(n_55953),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2956 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38915  (
+	.A(n_55953),
+	.B(n_53872),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2955 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38930  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2932 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2939 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38935  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2621 ),
+	.B(n_54079),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2752 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2932 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2940 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38941  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3786 ),
+	.B(n_37552),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2927 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38947  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3465 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2920 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38963  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2902 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2903 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38966  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2512 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2703 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2620 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2902 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2904 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38967  (
+	.A(n_79373),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2595 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2618 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2897 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2898 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38978  (
+	.A(FE_DBTN131_n_42726),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2870 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2886 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38979  (
+	.A(n_37552),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2885 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38982  (
+	.A(n_42726),
+	.B(n_86821),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2879 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38988  (
+	.A(n_80269),
+	.B(n_86845),
+	.Y(n_23757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38993  (
+	.A(n_86821),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2870 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38997  (
+	.A(n_78398),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2862 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39009  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2828 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2816 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2849 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39028  (
+	.A(n_90640),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2828 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39033  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2819 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2821 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39040  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2462 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2628 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2619 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2816 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2819 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39041  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2562 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2594 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2617 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2812 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2813 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39057  (
+	.A(n_57500),
+	.B(n_59268),
+	.Y(n_23756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39058  (
+	.A1_N(n_87736),
+	.A2_N(n_42354),
+	.B1(n_87736),
+	.B2(n_42354),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2802 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39060  (
+	.A(n_80775),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2791 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39066  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2430 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2509 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2666 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2783 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2784 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39069  (
+	.A(n_87596),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2431 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2662 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2778 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2779 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39074  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_483 ),
+	.B(n_57568),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2773 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39080  (
+	.A(n_55269),
+	.B(n_55263),
+	.Y(n_23676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39086  (
+	.A(n_76736),
+	.B(n_64729),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2769 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39093  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2561 ),
+	.B(n_79604),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2622 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2752 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2753 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39107  (
+	.A(n_57568),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2737 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39113  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2496 ),
+	.B(n_45836),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2738 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39127  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2488 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2519 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2429 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2710 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2722 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39132  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3475 ),
+	.B(n_78423),
+	.CI(n_87551),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2705 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2706 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39133  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2508 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2461 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2513 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2703 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2704 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39136  (
+	.A(n_57800),
+	.B(n_45837),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2700 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39140  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_483 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2678 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2696 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__bufinv_8 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39157  (
+	.A(n_87456),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2678 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39164  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2213 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3651 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2368 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2665 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2666 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39166  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2225 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2521 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2475 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2662 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2663 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39169  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2458 ),
+	.B(n_77883),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2465 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2658 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2659 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39170  (
+	.A(n_80310),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2406 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2381 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2667 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2669 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39180  (
+	.A(n_57127),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2606 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2647 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39183  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2534 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2553 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2544 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2644 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39193  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2425 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2377 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2471 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2627 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2628 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39196  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3486 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2522 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2464 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2621 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2622 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39197  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2426 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2460 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2463 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2619 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2620 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39198  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2417 ),
+	.B(n_77890),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2456 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2617 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2618 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39208  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2606 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2605 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39211  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2418 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2432 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2457 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2598 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2599 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39212  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2219 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2392 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2484 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2604 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2606 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39215  (
+	.A(n_79375),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3649 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2459 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2594 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2595 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39216  (
+	.A(n_75804),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2386 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2404 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2592 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2593 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39217  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2126 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2347 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3480 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2590 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2591 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39219  (
+	.A(n_78080),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2582 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2588 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39224  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2173 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2334 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2491 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2580 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2581 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39225  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2376 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2223 ),
+	.CIN(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2416 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2578 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2579 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39226  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2222 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2284 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2479 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2576 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2577 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39227  (
+	.A(n_62494),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2389 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2387 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2575 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2584 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39229  (
+	.A(n_77101),
+	.B(n_70345),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2582 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39231  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2450 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2548 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2572 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39239  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2200 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3648 ),
+	.CI(n_76628),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2561 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2562 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39246  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2399 ),
+	.B(n_40647),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2553 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39249  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2234 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2378 ),
+	.B1(n_79669),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2548 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39253  (
+	.A(n_40647),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2399 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2544 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39260  (
+	.A(n_38798),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2534 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39261  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3624 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2532 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39264  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2134 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2135 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2394 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2526 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2527 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39265  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2136 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2374 ),
+	.CI(n_87147),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2524 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2525 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39267  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2147 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2293 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2291 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2521 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2522 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39269  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3422 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2168 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2149 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2519 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2520 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39271  (
+	.A(n_60822),
+	.B(n_62488),
+	.CI(n_77112),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2537 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2539 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39274  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2273 ),
+	.B(n_87466),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2188 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2529 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2531 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39275  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2212 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2280 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2367 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2512 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2513 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39276  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1797 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2276 ),
+	.CIN(n_42339),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2510 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2511 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39277  (
+	.A(n_85004),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2275 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2263 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2508 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2509 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39285  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2398 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2383 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2500 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39286  (
+	.A(n_77101),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2498 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39289  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1949 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2152 ),
+	.CIN(n_86688),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2491 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2492 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39291  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2170 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2148 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2161 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2487 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2488 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39292  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2218 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2165 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2137 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2485 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2486 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39293  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2067 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2216 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2139 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2483 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2484 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39296  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_641 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2299 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2268 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2478 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2479 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39298  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2292 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2290 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2221 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2474 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2475 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39299  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2207 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2215 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2062 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2472 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2473 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39300  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2186 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2159 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2175 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2470 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2471 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39302  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2065 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2294 ),
+	.CIN(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2206 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2494 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2496 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39304  (
+	.A(n_76698),
+	.B(n_76662),
+	.CI(n_77894),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2464 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2465 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39305  (
+	.A(n_76675),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2279 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2120 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2462 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2463 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39306  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2274 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2204 ),
+	.CI(n_58463),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2460 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2461 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39307  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2270 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2265 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2094 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2458 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2459 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39308  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2266 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3320 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2160 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2456 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2457 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39311  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2234 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2378 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2450 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2451 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39322  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3494 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2384 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2440 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39326  (
+	.A(n_61377),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2228 ),
+	.CI(n_61184),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2432 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2433 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39327  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2210 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2224 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2220 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2430 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2431 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39329  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2282 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2167 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2229 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2428 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2429 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39331  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3362 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2203 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2227 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2425 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2426 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39335  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2111 ),
+	.B(n_61369),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2271 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2417 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2418 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39336  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2185 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2174 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2269 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2415 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2416 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39343  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2079 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2262 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2256 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2406 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39345  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2079 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2320 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2404 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39348  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2306 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2352 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2401 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39351  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1951 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2038 ),
+	.CIN(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2164 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2394 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2395 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39352  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1954 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2068 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2297 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2392 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2393 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39353  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1827 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2077 ),
+	.CIN(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2043 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2390 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2391 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39354  (
+	.A(n_76017),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3323 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3428 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2388 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2389 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39355  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1510 ),
+	.B(n_37572),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2187 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2387 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2399 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39356  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2012 ),
+	.B(n_58541),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2267 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2386 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2398 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39358  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2236 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2338 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2384 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39360  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2248 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2340 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2383 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39362  (
+	.A1(n_86898),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2329 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2330 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2381 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39365  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1890 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1810 ),
+	.CIN(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2226 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2376 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2377 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39366  (
+	.A(n_40629),
+	.B(n_37571),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1956 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2374 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2375 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39367  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1818 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2073 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2037 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2373 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2378 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39370  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1705 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3515 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2209 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2367 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2368 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39377  (
+	.A(n_31021),
+	.B(n_76881),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2360 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39378  (
+	.A1_N(n_37574),
+	.A2_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2013 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2107 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2258 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2359 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor3_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39384  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1413 ),
+	.B(n_75742),
+	.C(n_37570),
+	.X(n_23719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39385  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2232 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2235 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2352 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39388  (
+	.A(n_60927),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2307 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2349 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39390  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2304 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2141 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2347 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39391  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2035 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2316 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2346 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39398  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1509 ),
+	.B(n_40635),
+	.CI(n_58548),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2340 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2341 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39399  (
+	.A(n_40637),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1642 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1955 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2338 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2334 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39403  (
+	.A(n_78559),
+	.B(n_87548),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2330 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39404  (
+	.A(n_87548),
+	.B(n_78559),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2329 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39406  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2306 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2232 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2327 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39407  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2233 ),
+	.B(n_78623),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2326 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39413  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2014 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2247 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2320 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39417  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2233 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2309 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39421  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1830 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1831 ),
+	.CI(n_59656),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2318 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2302 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39423  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1489 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1814 ),
+	.CI(n_59652),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2316 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2299 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39424  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1320 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1846 ),
+	.CIN(n_40039),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2297 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2298 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39425  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1318 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1823 ),
+	.CIN(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1857 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2296 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2315 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39426  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1706 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1781 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2066 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2294 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2295 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39427  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1492 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1819 ),
+	.CI(n_40014),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2292 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2293 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39428  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1807 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1800 ),
+	.CI(n_59648),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2290 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2291 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39431  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_632 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1707 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1815 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2287 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2288 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39433  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1700 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1616 ),
+	.CIN(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1868 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2283 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2284 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39434  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1656 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1625 ),
+	.CI(n_59659),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2281 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2282 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39435  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_646 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1704 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3496 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2279 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2280 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39437  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1497 ),
+	.B(n_59660),
+	.CIN(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1651 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2276 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2277 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39438  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1662 ),
+	.B(n_60835),
+	.CI(n_59657),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2274 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2275 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39439  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1630 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1693 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1817 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2272 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2273 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39440  (
+	.A(n_79514),
+	.B(n_40007),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1665 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2270 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2271 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39441  (
+	.A(n_59658),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1808 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3507 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2268 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2269 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39442  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3539 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3529 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1646 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2267 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2307 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39443  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1659 ),
+	.B(n_59661),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3526 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2265 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2266 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39444  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_634 ),
+	.B(n_40008),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1864 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2263 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2264 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39445  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1622 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1838 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1847 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2304 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2306 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39446  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2014 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2247 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2262 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39451  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2247 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2014 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2256 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39453  (
+	.A1(n_75742),
+	.A2(n_37570),
+	.B1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1413 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2258 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39467  (
+	.A(n_39716),
+	.B(n_75443),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1880 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2228 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2229 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39468  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1824 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1852 ),
+	.CI(n_59654),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2226 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2227 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39469  (
+	.A(n_42406),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3522 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1875 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2224 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2225 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39470  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_649 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1701 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1863 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2222 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2223 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39471  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3510 ),
+	.B(n_40013),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3513 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2220 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2221 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39472  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1319 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1842 ),
+	.CIN(n_40626),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2218 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2219 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39473  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1828 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1849 ),
+	.CIN(n_40634),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2216 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2217 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39474  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3506 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1803 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1877 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2214 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2215 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39475  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_658 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1682 ),
+	.CIN(n_40011),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2212 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2213 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39477  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1491 ),
+	.B(n_86686),
+	.CIN(n_59653),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2209 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2210 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39478  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1805 ),
+	.B(n_40645),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1673 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2207 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2208 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39479  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_635 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1887 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1869 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2205 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2206 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39480  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1487 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1629 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1699 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2203 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2204 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39482  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_648 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3501 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1787 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2199 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2200 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39488  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1621 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1618 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1867 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2187 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2188 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39489  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3534 ),
+	.B(n_76286),
+	.CI(n_40638),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2247 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2248 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39490  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1499 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1680 ),
+	.CIN(n_59662),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2185 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2186 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39492  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1683 ),
+	.B(n_87529),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3538 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2181 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2182 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39495  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1634 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1635 ),
+	.CI(n_59647),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2178 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2238 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39497  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3647 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1623 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3528 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2174 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2175 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39498  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1619 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1811 ),
+	.CIN(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1670 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2236 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2173 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39499  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1796 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1856 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3520 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2171 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2172 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39500  (
+	.A(n_79517),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3532 ),
+	.CI(n_76636),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2169 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2170 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39501  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1660 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_639 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1853 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2167 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2168 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39503  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3607 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3546 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2102 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2235 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39504  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1919 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1916 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2110 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2165 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39505  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1775 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1985 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1923 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1924 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2164 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39506  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3531 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2006 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1968 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2163 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39508  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3531 ),
+	.B(n_37579),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2161 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39509  (
+	.A1(n_61186),
+	.A2(n_77289),
+	.B1(n_61187),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2160 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39510  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1961 ),
+	.A2(n_87593),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2005 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2159 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39514  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1979 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1976 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1408 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1910 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2234 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39516  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1771 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1990 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1970 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2233 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39519  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1939 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1996 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1891 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1748 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2232 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39520  (
+	.A1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1411 ),
+	.A2_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1918 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1766 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2002 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2152 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39522  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1917 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2088 ),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1907 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2150 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39523  (
+	.A1(n_86707),
+	.A2(n_87591),
+	.B1(n_78745),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2149 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39524  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2095 ),
+	.B(n_58613),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2148 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39525  (
+	.A1(n_57643),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1995 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1966 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2147 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39526  (
+	.A1(n_87149),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1974 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2003 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2146 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39528  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1560 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2052 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2141 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39531  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1760 ),
+	.B(n_85003),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2144 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39533  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2057 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2032 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2139 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39534  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1979 ),
+	.B(n_37577),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2138 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39535  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1775 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2027 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2137 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39536  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1766 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2025 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2136 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39537  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2056 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2070 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2135 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39538  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1939 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2019 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2134 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39540  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2088 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2022 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2132 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39546  (
+	.A(n_40023),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2017 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2126 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39552  (
+	.A(n_87593),
+	.B(n_37576),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2120 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39557  (
+	.A(n_78720),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3549 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1679 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2111 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2112 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39558  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1973 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2057 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2110 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39561  (
+	.A(n_37574),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2013 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2107 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39565  (
+	.A(FE_DBTN58_n_59277),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2085 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2103 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39566  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2069 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2056 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2102 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39571  (
+	.A(n_58615),
+	.B(n_59650),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2095 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39572  (
+	.A(n_79442),
+	.B(n_37569),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2094 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor3_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39575  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1736 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1753 ),
+	.C(n_78751),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2100 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39581  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2086 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2085 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39582  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2083 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2084 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39586  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1323 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1850 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2077 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2078 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39588  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1493 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1861 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2088 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2089 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39589  (
+	.A(n_79441),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1859 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2073 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2074 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39590  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1500 ),
+	.B(n_38046),
+	.CIN(n_38043),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2083 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2086 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39592  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3607 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3546 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2069 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2070 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39593  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1322 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1845 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2067 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2068 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39594  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1504 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_532 ),
+	.CI(n_59521),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2065 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2066 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39595  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1986 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1762 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1987 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2079 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39597  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3331 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1994 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1992 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2063 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39598  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1754 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2000 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2001 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2062 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39599  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1778 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1980 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1981 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2061 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39611  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1491 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1929 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2043 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39612  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3552 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1930 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2057 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39613  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1412 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1756 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2056 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39620  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1499 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1928 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2038 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39622  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1414 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1765 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2037 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39623  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1423 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1759 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2052 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39625  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_660 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1703 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2035 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39628  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1919 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1916 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2032 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39633  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1923 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1924 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2027 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39635  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1411 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1918 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2025 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39638  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1917 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1907 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2022 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39640  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1742 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1743 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2020 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39641  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1891 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1748 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2019 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39643  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1716 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1717 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2017 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39646  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1503 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1321 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2014 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2012 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39651  (
+	.A(FE_DBTN18_n_78720),
+	.B(n_76312),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2006 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39652  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3511 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1889 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2005 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39654  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1909 ),
+	.B(n_76288),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2003 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39655  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1411 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1918 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2002 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39656  (
+	.A(n_78751),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1736 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2001 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39657  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1736 ),
+	.B(n_78751),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2000 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39661  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1747 ),
+	.B_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1891 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1996 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39662  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1902 ),
+	.B(n_87153),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1995 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39663  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1713 ),
+	.B(n_76284),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1994 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39664  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1746 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1714 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1993 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39665  (
+	.A(n_76284),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1713 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1992 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39666  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1729 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1731 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1991 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39667  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1752 ),
+	.B_N(n_76309),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1990 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39668  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1731 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1729 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1989 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39670  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3535 ),
+	.B_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1920 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1987 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39671  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1920 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3535 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1986 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39672  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1924 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1923 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1985 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39675  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1716 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1717 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1982 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39676  (
+	.A_N(n_75437),
+	.B(n_77233),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1981 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39677  (
+	.A(n_77233),
+	.B_N(n_75437),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1980 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39678  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3549 ),
+	.B_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1933 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2013 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39679  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1910 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1408 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1976 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39681  (
+	.A(n_76288),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1909 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1974 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39682  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1915 ),
+	.B_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1919 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1973 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39685  (
+	.A_N(n_76309),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1752 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1970 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39687  (
+	.A(n_76312),
+	.B(FE_DBTN18_n_78720),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1968 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39689  (
+	.A(n_87153),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1902 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1966 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39694  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1889 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3511 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1961 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39696  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1714 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1746 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1959 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39697  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1500 ),
+	.B(n_77001),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1958 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39698  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3330 ),
+	.B_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1415 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1957 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39699  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1421 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3523 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1979 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39700  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1487 ),
+	.B_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1930 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1956 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39701  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1412 ),
+	.B_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1756 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1955 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39702  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1491 ),
+	.B_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1929 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1954 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39704  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1414 ),
+	.B_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1765 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1978 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39706  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1419 ),
+	.B_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1925 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1951 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39707  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1423 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1759 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1950 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39708  (
+	.A(n_76287),
+	.B_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1932 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1977 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39709  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1499 ),
+	.B_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1928 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1949 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39721  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1915 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1916 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39724  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1911 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1910 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39725  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1908 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1909 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39733  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1890 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1889 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39740  (
+	.A1_N(n_31066),
+	.A2_N(n_37607),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3731 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3349 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1880 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39741  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_950 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3731 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1212 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_921 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1947 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39742  (
+	.A1_N(n_77281),
+	.A2_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_819 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1283 ),
+	.B2(n_77291),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1879 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39744  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1069 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1316 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1315 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1116 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1877 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39745  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3347 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1316 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1315 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_946 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1876 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39746  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1035 ),
+	.A2(n_77291),
+	.B1(n_77282),
+	.B2(n_76296),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1875 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39747  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1609 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3429 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1945 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39751  (
+	.A1(n_41969),
+	.A2(n_60838),
+	.B1(n_60837),
+	.B2(n_41964),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1872 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39755  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1404 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1257 ),
+	.B1(n_38047),
+	.B2(n_77281),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1869 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39756  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1258 ),
+	.A2(n_77291),
+	.B1(n_77282),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1213 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1868 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39757  (
+	.A1(n_41971),
+	.A2(n_60838),
+	.B1(n_59510),
+	.B2(n_60837),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1867 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39758  (
+	.A1(n_53367),
+	.A2(n_59508),
+	.B1(n_38967),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1104 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1939 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39761  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1196 ),
+	.A2(n_77291),
+	.B1(n_77282),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1198 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1865 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39763  (
+	.A1(n_76296),
+	.A2(n_77291),
+	.B1(n_77282),
+	.B2(n_76294),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1864 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39764  (
+	.A1_N(n_77281),
+	.A2_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3566 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1262 ),
+	.B2(n_77291),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1863 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39765  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1404 ),
+	.A2(n_38047),
+	.B1(n_38044),
+	.B2(n_77281),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1862 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39766  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_890 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3731 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_871 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1212 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1861 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39768  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1057 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3731 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1212 ),
+	.B2(n_39727),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1859 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39769  (
+	.A1(n_37614),
+	.A2(n_31066),
+	.B1(n_37607),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_948 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1933 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39771  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3719 ),
+	.A2(n_53366),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1107 ),
+	.B2(n_38975),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1857 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39772  (
+	.A1_N(n_43935),
+	.A2_N(n_37605),
+	.B1(n_90594),
+	.B2(n_50076),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1856 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39773  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1544 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1451 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1932 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39777  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_832 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3731 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1212 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3349 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1853 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39778  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_829 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1361 ),
+	.B1(n_50076),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1067 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1852 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39780  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_871 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3731 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1212 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1017 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1850 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39781  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1020 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1316 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1315 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_980 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1849 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39782  (
+	.A1(n_37614),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1065 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_887 ),
+	.B2(n_37607),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1930 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39784  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1406 ),
+	.A2(FE_DBTN53_n_60824),
+	.B1(n_60828),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_856 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1847 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39785  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1138 ),
+	.A2(n_53366),
+	.B1(n_39721),
+	.B2(n_38975),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1846 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39786  (
+	.A1(n_59507),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3731 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1066 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1212 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1845 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39787  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1017 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3731 ),
+	.B1(n_59507),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1212 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1929 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39788  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_975 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3731 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1212 ),
+	.B2(n_80464),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1928 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39791  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_924 ),
+	.A2(n_53366),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_973 ),
+	.B2(n_38975),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1842 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39794  (
+	.A1(n_37614),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_887 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_974 ),
+	.B2(n_37607),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1925 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39795  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1108 ),
+	.A2(n_77291),
+	.B1(n_77282),
+	.B2(n_77290),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1840 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39796  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_973 ),
+	.A2(n_53366),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_984 ),
+	.B2(n_38975),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1924 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39797  (
+	.A1(n_59513),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1316 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1315 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_918 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1923 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39799  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1103 ),
+	.A2(n_53366),
+	.B1(n_38975),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1048 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1838 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39802  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_986 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1361 ),
+	.B1(n_39725),
+	.B2(n_50076),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1920 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39803  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3672 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_979 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_889 ),
+	.B2(n_76308),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1919 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39804  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1070 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1361 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_986 ),
+	.B2(n_50076),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1835 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39807  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_984 ),
+	.A2(n_53366),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1033 ),
+	.B2(n_38975),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1918 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39809  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3444 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1440 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1831 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39810  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3440 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1453 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1830 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39811  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1107 ),
+	.A2(n_53366),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1138 ),
+	.B2(n_38975),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1917 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39812  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_413 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3762 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_851 ),
+	.B2(n_84998),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1829 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39813  (
+	.A1(n_39721),
+	.A2(n_53366),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_924 ),
+	.B2(n_38975),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1828 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39814  (
+	.A1_N(n_85865),
+	.A2_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1484 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_835 ),
+	.B2(n_40691),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1915 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39815  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1106 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1316 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1315 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1020 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1827 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39817  (
+	.A1(n_90594),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1361 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1070 ),
+	.B2(n_50076),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1826 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39819  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1071 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3762 ),
+	.B1(n_39969),
+	.B2(n_84998),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1824 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39820  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1152 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1316 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1315 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1013 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1823 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39824  (
+	.A1(n_78035),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1316 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1315 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1026 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1911 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39825  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3762 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_899 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_957 ),
+	.B2(n_84998),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1819 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39826  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1026 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1316 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1315 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1152 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1818 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39827  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_891 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3762 ),
+	.B1(n_39720),
+	.B2(n_84998),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1817 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39829  (
+	.A1_N(n_78035),
+	.A2_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1315 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3672 ),
+	.B2(n_76622),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1908 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39830  (
+	.A1_N(n_85000),
+	.A2_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_956 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_413 ),
+	.B2(n_84998),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1815 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39831  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1036 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3762 ),
+	.B1(n_84998),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1041 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1814 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39833  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3672 ),
+	.A2(n_39722),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1105 ),
+	.B2(n_76308),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1907 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39835  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_842 ),
+	.A2(n_85868),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1123 ),
+	.B2(n_40691),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1811 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39836  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1606 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1477 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1810 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39839  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_841 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1361 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1082 ),
+	.B2(n_50076),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1808 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39840  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1037 ),
+	.A2(n_60838),
+	.B1(n_60837),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_823 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1807 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39842  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1513 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3437 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1902 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39843  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1590 ),
+	.B(n_40019),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1805 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39846  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_131 ),
+	.A2(n_77904),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1151 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1237 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1899 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39848  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1096 ),
+	.A2(n_77904),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3615 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1237 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1803 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39850  (
+	.A1(n_77292),
+	.A2(n_77291),
+	.B1(n_77282),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1196 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1801 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39852  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1078 ),
+	.A2(n_77904),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1237 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_863 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1800 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39854  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1610 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3431 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1798 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39855  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1404 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_819 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1016 ),
+	.B2(n_77281),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1797 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39856  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1041 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3762 ),
+	.B1(n_84998),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1052 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1796 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39857  (
+	.A1(n_38049),
+	.A2(n_77291),
+	.B1(n_77282),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1192 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1795 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39865  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1198 ),
+	.A2(n_77291),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1472 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1787 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39870  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_989 ),
+	.A2(n_77904),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1237 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_965 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1784 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39872  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1192 ),
+	.A2(n_77291),
+	.B1(n_77282),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1197 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1782 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39873  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3672 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1045 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_987 ),
+	.B2(n_76308),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1891 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39874  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1404 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1016 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1257 ),
+	.B2(n_77281),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1781 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39875  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_932 ),
+	.A2(n_60828),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1527 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1890 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39876  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_882 ),
+	.A2(n_59646),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_808 ),
+	.B2(n_31084),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1887 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39882  (
+	.A(n_40024),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1771 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39886  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1753 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1754 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39889  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1747 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1748 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39893  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3536 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1738 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39904  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1706 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1707 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39905  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1704 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1705 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39907  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1700 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1701 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39909  (
+	.A1(n_39718),
+	.A2(n_77904),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_982 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1237 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1778 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39910  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1611 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1354 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1777 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39911  (
+	.A1(n_60827),
+	.A2(n_60838),
+	.B1(n_60837),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_852 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1699 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39915  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_835 ),
+	.A2(n_85868),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1079 ),
+	.B2(n_40691),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1775 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39916  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3619 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1316 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1315 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3347 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1695 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39918  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1074 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1316 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1315 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3619 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1693 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39931  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3358 ),
+	.A2(n_60838),
+	.B1(n_37600),
+	.B2(n_60837),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1683 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39932  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1153 ),
+	.A2(n_77904),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_963 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1237 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1682 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39935  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1067 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1361 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_841 ),
+	.B2(n_50076),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1680 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39936  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1079 ),
+	.A2(n_85868),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1102 ),
+	.B2(n_40691),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1766 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39937  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1573 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1339 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1679 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39938  (
+	.A1(n_59509),
+	.A2(n_85868),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_934 ),
+	.B2(n_40691),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1678 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39940  (
+	.A1(n_39727),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3731 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1212 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_890 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1765 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39946  (
+	.A1(n_41964),
+	.A2(n_60838),
+	.B1(n_60837),
+	.B2(n_41972),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1673 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39947  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1061 ),
+	.A2(n_60828),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1533 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1762 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39948  (
+	.A1(n_50076),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_947 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1518 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1760 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39949  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_822 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3731 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1212 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_868 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1759 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39953  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_988 ),
+	.A2(n_77904),
+	.B1(n_39718),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1237 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1670 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39955  (
+	.A1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_844 ),
+	.A2_N(n_37607),
+	.B1(n_80464),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3731 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1756 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39957  (
+	.A(n_39991),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1353 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1668 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39958  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1096 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1237 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1553 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1753 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39959  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_923 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1316 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1315 ),
+	.B2(n_87531),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1667 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39960  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1542 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1328 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1666 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39961  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3620 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1361 ),
+	.B1(n_50076),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_931 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1665 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39962  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1541 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1359 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1752 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39966  (
+	.A1(n_84999),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3762 ),
+	.B1(n_84998),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_959 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1662 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39968  (
+	.A1(n_39966),
+	.A2(n_53366),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_910 ),
+	.B2(n_38975),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1660 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39969  (
+	.A1(n_37600),
+	.A2(n_60838),
+	.B1(n_60837),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1148 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1659 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39970  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1151 ),
+	.A2(n_77904),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_988 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1237 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1747 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39971  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1556 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3439 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1746 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39972  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1022 ),
+	.A2(n_50076),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1539 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1743 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39973  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_922 ),
+	.A2(n_53366),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_936 ),
+	.B2(n_38975),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1742 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39977  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1361 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_898 ),
+	.B1(n_77817),
+	.B2(n_50076),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1656 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39979  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_834 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3731 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1212 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1146 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1655 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39981  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1148 ),
+	.A2(n_60838),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1128 ),
+	.B2(n_60837),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1654 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39983  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1550 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1341 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1736 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39985  (
+	.A1_N(n_53333),
+	.A2_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_956 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1052 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3762 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1651 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39990  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3622 ),
+	.A2(n_53366),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_872 ),
+	.B2(n_38975),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1648 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39992  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1532 ),
+	.B(n_40015),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1731 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39993  (
+	.A1(n_40540),
+	.A2(n_60838),
+	.B1(n_60837),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_833 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1646 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39996  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1348 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1552 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1643 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39997  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1489 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1424 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1642 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39998  (
+	.A1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_918 ),
+	.A2_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1316 ),
+	.B1(n_76308),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1045 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1730 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40001  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1565 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1475 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1729 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40006  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1361 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1022 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1124 ),
+	.B2(n_50076),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1635 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40007  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1043 ),
+	.A2(n_85868),
+	.B1(n_80468),
+	.B2(n_40691),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1634 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40010  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_982 ),
+	.A2(n_77904),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1110 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1237 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1728 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40011  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1525 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1329 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1727 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40013  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3609 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3731 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1432 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1630 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40016  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_880 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1361 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_829 ),
+	.B2(n_50076),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1629 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40021  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_968 ),
+	.A2(n_38975),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1569 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1717 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40022  (
+	.A1(n_80465),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1316 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1315 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_923 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1716 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40024  (
+	.A1(n_41965),
+	.A2(n_60838),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3358 ),
+	.B2(n_60837),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1625 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40025  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3550 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1416 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1624 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40026  (
+	.A1(n_39969),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3762 ),
+	.B1(n_84998),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_929 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1623 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40027  (
+	.A1(n_37614),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_844 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_821 ),
+	.B2(n_37607),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1622 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40028  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_935 ),
+	.A2(n_77904),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1237 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_860 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1621 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40030  (
+	.A1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_987 ),
+	.A2_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3672 ),
+	.B1(n_80465),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1315 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1619 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40031  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_936 ),
+	.A2(n_53366),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3622 ),
+	.B2(n_38975),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1618 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40034  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1596 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1334 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1714 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40035  (
+	.A(n_39993),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1462 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1713 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40036  (
+	.A1_N(n_39946),
+	.A2_N(n_37605),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1082 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1361 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1616 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40038  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1060 ),
+	.A2(n_60838),
+	.B1(n_60837),
+	.B2(n_41971),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1614 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40043  (
+	.A1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_917 ),
+	.A2_N(n_59646),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1076 ),
+	.B2(n_59643),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1706 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40044  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_947 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1361 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_880 ),
+	.B2(n_50076),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1704 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40045  (
+	.A1(n_59643),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1149 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1519 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1703 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40046  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_929 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3762 ),
+	.B1(n_84998),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1036 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1700 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40047  (
+	.A(n_80286),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3598 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1611 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40048  (
+	.A_N(n_77291),
+	.B(n_39717),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1610 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40049  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1404 ),
+	.B(n_42405),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1609 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40052  (
+	.A_N(n_77291),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3557 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1606 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40062  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1124 ),
+	.B(n_43935),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1596 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40068  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1484 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3558 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1590 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40085  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1480 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3569 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1573 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40089  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1048 ),
+	.B(n_53367),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1569 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40090  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3617 ),
+	.B(n_85868),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1568 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40092  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_872 ),
+	.B(n_53366),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1566 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40093  (
+	.A(n_80286),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3565 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1565 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40101  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1480 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3601 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1556 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40104  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1480 ),
+	.B(n_80469),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1553 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40105  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_963 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1480 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1552 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40107  (
+	.A(n_59646),
+	.B(n_39729),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1550 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40113  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3731 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3574 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1544 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40115  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1480 ),
+	.B(n_59511),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1542 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40116  (
+	.A_N(n_80462),
+	.B(n_80286),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1541 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40118  (
+	.A_N(n_39725),
+	.B(n_43935),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1539 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40124  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_833 ),
+	.B(n_60838),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1533 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40125  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1484 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3576 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1532 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40130  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_852 ),
+	.B(n_60838),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1527 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40132  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_968 ),
+	.B(n_53367),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1525 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40138  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_853 ),
+	.B(n_59646),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1519 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40139  (
+	.A(n_43935),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3600 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1518 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40144  (
+	.A_N(n_60838),
+	.B(n_76300),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1513 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40147  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3550 ),
+	.B_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1416 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1510 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40148  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1424 ),
+	.B(n_41968),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1560 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40149  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3553 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1496 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1509 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40154  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1503 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1504 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40157  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1496 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1497 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40159  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1492 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1493 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40160  (
+	.A(n_41968),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1489 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40161  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3552 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1487 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40162  (
+	.A(n_85868),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1484 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40164  (
+	.A(n_77904),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1480 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40167  (
+	.A(n_76297),
+	.B(n_77281),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1477 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40169  (
+	.A(n_78248),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3568 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1475 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40172  (
+	.A(n_42405),
+	.B(n_77281),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1472 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40182  (
+	.A(n_59505),
+	.B(n_53333),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1462 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40184  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_782 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3575 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1460 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40191  (
+	.A(n_85001),
+	.B(n_53333),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1453 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40193  (
+	.A(n_37607),
+	.B(n_76301),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1451 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40198  (
+	.A_N(n_39966),
+	.B(n_38967),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1446 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40204  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3596 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_782 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1440 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40212  (
+	.A(n_39971),
+	.B(n_37607),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1432 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40213  (
+	.A(n_60837),
+	.B_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_925 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1431 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40218  (
+	.A1(n_90595),
+	.A2(n_31081),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_413 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1503 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40219  (
+	.A(n_38034),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_538 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1500 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40220  (
+	.A1(n_85865),
+	.A2(n_41956),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_131 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1499 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40222  (
+	.A1(FE_DBTN53_n_60824),
+	.A2(n_34720),
+	.B1(n_90594),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1496 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40224  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_376 ),
+	.A2(n_34730),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3719 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1492 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40225  (
+	.A1(n_92785),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3692 ),
+	.B1(n_77220),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1491 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40235  (
+	.A(n_60838),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1406 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40236  (
+	.A(n_77291),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1404 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40276  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1119 ),
+	.B(n_78248),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1359 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40281  (
+	.A(n_78248),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3584 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1354 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40282  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_891 ),
+	.B(n_53333),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1353 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40287  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3582 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_782 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1348 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40294  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_808 ),
+	.B(n_76305),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1341 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40296  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_782 ),
+	.B(n_76307),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1339 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40301  (
+	.A(n_39946),
+	.B(n_76693),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1334 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40306  (
+	.A(n_80461),
+	.B(n_38967),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1329 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40307  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_935 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_782 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1328 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40311  (
+	.A1(n_78727),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1165 ),
+	.B1(n_78726),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1158 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1324 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40312  (
+	.A1(n_78727),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1159 ),
+	.B1(n_78726),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1171 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1424 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40313  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1172 ),
+	.A2(n_86706),
+	.B1(n_37586),
+	.B2(n_78725),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1423 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40315  (
+	.A1(n_39728),
+	.A2(n_86706),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1162 ),
+	.B2(n_78725),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1421 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40316  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1184 ),
+	.A2(n_86706),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1177 ),
+	.B2(n_78725),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1420 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40317  (
+	.A1(n_80473),
+	.A2(n_86706),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1170 ),
+	.B2(n_78725),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1419 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40318  (
+	.A1(n_78726),
+	.A2(n_43933),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1200 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1417 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40319  (
+	.A1(n_78727),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1178 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1206 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1416 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40320  (
+	.A1(n_78727),
+	.A2(n_39968),
+	.B1(n_78726),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1178 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1415 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40321  (
+	.A1(n_78727),
+	.A2(n_59501),
+	.B1(n_78726),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1183 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1323 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40322  (
+	.A1(n_78727),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1175 ),
+	.B1(n_78726),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1176 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1322 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40323  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1185 ),
+	.A2(n_86706),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1155 ),
+	.B2(n_78725),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1414 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40324  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1157 ),
+	.A2(n_86706),
+	.B1(n_39728),
+	.B2(n_78725),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1413 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40325  (
+	.A1_N(n_86706),
+	.A2_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1177 ),
+	.B1(n_78726),
+	.B2(n_39968),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1321 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40326  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1154 ),
+	.A2(n_86706),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1160 ),
+	.B2(n_78725),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1412 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40327  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1170 ),
+	.A2(n_86706),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1154 ),
+	.B2(n_78725),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1411 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40328  (
+	.A1(n_78727),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1183 ),
+	.B1(n_78726),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1175 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1320 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40329  (
+	.A1(n_78727),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1176 ),
+	.B1(n_78726),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1180 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1319 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40330  (
+	.A1(n_78727),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1156 ),
+	.B1(n_78726),
+	.B2(n_59501),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1318 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40334  (
+	.A1(n_78727),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1161 ),
+	.B1(n_78726),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1186 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1408 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40339  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1315 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1004 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1316 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40342  (
+	.A(n_50076),
+	.B(n_90596),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1361 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_8 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40343  (
+	.A(n_76308),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1315 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40372  (
+	.A(n_38046),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1285 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40373  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1191 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1283 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40394  (
+	.A(n_76297),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1262 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40396  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3566 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1258 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40416  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_782 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1237 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40440  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1133 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1213 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40441  (
+	.A(n_37607),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1212 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40447  (
+	.A(n_43930),
+	.B(n_38034),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1207 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1257 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40448  (
+	.A(n_37587),
+	.B(n_78725),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1206 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40454  (
+	.A(n_37587),
+	.B(n_86706),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1200 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40455  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1185 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1186 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40457  (
+	.A(n_80473),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1180 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40458  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1171 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1172 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40460  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1161 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1162 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40461  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1159 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1160 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40462  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1157 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1158 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40463  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1155 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1156 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40465  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1142 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1143 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40469  (
+	.A(n_76300),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1128 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40471  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1122 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1123 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40473  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3561 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1117 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40474  (
+	.A(n_37590),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1116 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40477  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1109 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1110 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40478  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1105 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1106 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40479  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1103 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1104 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40480  (
+	.A(n_39726),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1102 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40481  (
+	.A(n_37591),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1099 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40483  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3563 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1095 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40484  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1092 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1093 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40485  (
+	.A(n_39729),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1089 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40486  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3565 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1087 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40487  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1083 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1084 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40489  (
+	.A(n_39970),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1078 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40491  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1065 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1066 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40493  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1060 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1061 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40496  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1043 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1044 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40499  (
+	.A(n_59508),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1033 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40500  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1029 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1030 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40505  (
+	.A(n_39722),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1013 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40512  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_757 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_745 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1004 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40516  (
+	.A(n_60855),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_729 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1198 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40517  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_638 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_734 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1197 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40518  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_723 ),
+	.B(n_59514),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1196 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40522  (
+	.A(n_38039),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_726 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1192 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40523  (
+	.A(n_39962),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_632 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1191 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40525  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_737 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3362 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1189 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40528  (
+	.A(n_34713),
+	.B(FE_DBTN18_n_78720),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1185 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40529  (
+	.A(n_78720),
+	.B(n_34726),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1184 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40530  (
+	.A(n_77228),
+	.B(FE_DBTN18_n_78720),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1183 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40531  (
+	.A1(FE_DBTN18_n_78720),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_262 ),
+	.B1(n_78720),
+	.B2(n_72426),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1181 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40533  (
+	.A(n_78720),
+	.B(n_39810),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1178 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40534  (
+	.A(FE_DBTN18_n_78720),
+	.B(n_53369),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1177 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40535  (
+	.A(FE_DBTN18_n_78720),
+	.B(n_34706),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1176 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40536  (
+	.A(FE_DBTN18_n_78720),
+	.B(n_77846),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1175 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40539  (
+	.A(n_78720),
+	.B(n_34724),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1171 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40540  (
+	.A(n_78720),
+	.B(n_34734),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1170 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40544  (
+	.A0(n_78720),
+	.A1(FE_DBTN18_n_78720),
+	.S(n_35479),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1165 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40547  (
+	.A(n_43195),
+	.B(FE_DBTN18_n_78720),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1161 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40548  (
+	.A(n_34738),
+	.B(FE_DBTN18_n_78720),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1159 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40549  (
+	.A(n_34716),
+	.B(n_78720),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1157 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40550  (
+	.A(n_34715),
+	.B(n_78720),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1155 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40551  (
+	.A(n_78720),
+	.B(n_34740),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1154 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40552  (
+	.A(n_31076),
+	.B(n_43930),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1153 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40553  (
+	.A(n_34716),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_376 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1152 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40554  (
+	.A(n_31076),
+	.B(n_35479),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1151 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40556  (
+	.A(n_26653),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_453 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1149 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40557  (
+	.A(n_34724),
+	.B(FE_DBTN53_n_60824),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1148 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40558  (
+	.A(n_31066),
+	.B(n_34726),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1146 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40560  (
+	.A(n_34715),
+	.B(n_85865),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1144 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40561  (
+	.A(n_34715),
+	.B(FE_DBTN24_n_77220),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1142 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40562  (
+	.A(n_43195),
+	.B(FE_DBTN24_n_77220),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1141 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40564  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3719 ),
+	.B(n_34716),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1138 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40565  (
+	.A(n_34715),
+	.B(n_31084),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1136 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40566  (
+	.A(FE_DBTN24_n_77220),
+	.B(n_77846),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1135 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40568  (
+	.A(n_38041),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_640 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1133 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40569  (
+	.A1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3692 ),
+	.A2_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_453 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3692 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_453 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1132 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40575  (
+	.A1_N(n_45897),
+	.A2_N(n_90595),
+	.B1(n_45897),
+	.B2(n_90595),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1124 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40576  (
+	.A(n_34713),
+	.B(n_85865),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1122 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40578  (
+	.A(n_31066),
+	.B(n_34724),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1120 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40579  (
+	.A1(FE_DBTN24_n_77220),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_452 ),
+	.B1(n_34734),
+	.B2(n_77220),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1119 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40583  (
+	.A(n_77228),
+	.B(n_31084),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1111 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40584  (
+	.A(n_34713),
+	.B(n_31076),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1109 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40585  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_655 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_728 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1108 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40586  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3692 ),
+	.B(n_35479),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1107 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40587  (
+	.A(n_43195),
+	.B(n_78035),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1105 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40588  (
+	.A(n_77228),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3692 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1103 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40592  (
+	.A1(n_34734),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_131 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_452 ),
+	.B2(n_31076),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1096 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40594  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_376 ),
+	.B(n_34724),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1092 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40600  (
+	.A1_N(n_45897),
+	.A2_N(n_31084),
+	.B1(n_45897),
+	.B2(n_31084),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1083 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40601  (
+	.A(n_90595),
+	.B(n_72426),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1082 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40602  (
+	.A(n_34715),
+	.B(n_31082),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1080 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40603  (
+	.A(n_34716),
+	.B(n_85865),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1079 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40605  (
+	.A(n_72426),
+	.B(n_31084),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1076 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40606  (
+	.A(n_54922),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_376 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1074 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40609  (
+	.A(n_53369),
+	.B(n_31082),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1071 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40610  (
+	.A(n_35479),
+	.B(n_90595),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1070 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40611  (
+	.A1(n_78035),
+	.A2(n_39809),
+	.B1(n_39810),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_376 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1069 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40612  (
+	.A(n_53369),
+	.B(n_31084),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1068 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40613  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_453 ),
+	.B(n_90594),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1067 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40614  (
+	.A(n_77228),
+	.B(n_31066),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1065 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40618  (
+	.A(n_34713),
+	.B(FE_DBTN53_n_60824),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1060 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40621  (
+	.A(n_34716),
+	.B(n_31066),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1057 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40625  (
+	.A(n_31082),
+	.B(n_72426),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1052 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40629  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3692 ),
+	.B(n_77846),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1048 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40632  (
+	.A(n_78035),
+	.B(n_77846),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1045 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40633  (
+	.A(n_34706),
+	.B(n_85865),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1043 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40635  (
+	.A(n_43930),
+	.B(n_31082),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1041 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40638  (
+	.A(n_34726),
+	.B(FE_DBTN53_n_60824),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1037 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40639  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_413 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_453 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1036 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40640  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_633 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_736 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1035 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40644  (
+	.A(n_80467),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_706 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1029 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40646  (
+	.A(n_34716),
+	.B(FE_DBTN24_n_77220),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1027 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40647  (
+	.A(n_35479),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_376 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1026 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40650  (
+	.A1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_448 ),
+	.A2_N(n_90595),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_448 ),
+	.B2(n_90595),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1022 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40652  (
+	.A(n_34713),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_376 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1020 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40655  (
+	.A(n_31066),
+	.B(n_34715),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1017 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40656  (
+	.A1(n_38049),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_453 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_635 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1016 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40657  (
+	.A(n_34734),
+	.B(n_31082),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1014 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40664  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_979 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_980 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40665  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_974 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_975 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40666  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3457 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_967 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40667  (
+	.A(n_80469),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_965 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40668  (
+	.A(n_76305),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_962 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40671  (
+	.A(n_39971),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_950 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40672  (
+	.A(n_39972),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_946 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40675  (
+	.A(n_59511),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_938 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40676  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_933 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_934 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40677  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3589 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_931 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40678  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3591 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_921 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40679  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_916 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_917 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40680  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_913 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_914 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40682  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_909 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_910 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40684  (
+	.A(n_59504),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_907 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40687  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3594 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_898 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40688  (
+	.A(n_80470),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_896 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40690  (
+	.A(n_59513),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_889 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40693  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_882 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_883 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40701  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3601 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_860 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40704  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_850 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_851 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40707  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3603 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_846 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40709  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3604 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_832 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40712  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_821 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_822 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40724  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_748 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_751 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_801 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40730  (
+	.A(n_31076),
+	.B(n_34706),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_989 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40731  (
+	.A(n_34716),
+	.B(n_31076),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_988 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40732  (
+	.A(n_34706),
+	.B(n_78035),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_987 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40733  (
+	.A(n_34716),
+	.B(n_90595),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_986 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40735  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3719 ),
+	.B(n_34715),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_984 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40737  (
+	.A(n_43195),
+	.B(n_31076),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_982 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40739  (
+	.A(n_34715),
+	.B(n_78035),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_979 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40742  (
+	.A(n_31084),
+	.B(n_34724),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_976 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40743  (
+	.A(n_31066),
+	.B(n_34706),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_974 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40744  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3719 ),
+	.B(n_34713),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_973 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40749  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3692 ),
+	.B(n_34706),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_968 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40752  (
+	.A(n_31076),
+	.B(n_72426),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_963 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40755  (
+	.A(n_34726),
+	.B(n_31082),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_959 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40756  (
+	.A(n_34738),
+	.B(n_31082),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_957 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40757  (
+	.A0(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3790 ),
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3791 ),
+	.S(n_31082),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_956 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40758  (
+	.A(n_54922),
+	.B(n_31084),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_955 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40764  (
+	.A(n_31066),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_258 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_948 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40765  (
+	.A1_N(n_90595),
+	.A2_N(n_53368),
+	.B1(n_90595),
+	.B2(n_53368),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_947 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40773  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3719 ),
+	.B(n_34738),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_936 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40774  (
+	.A(n_44401),
+	.B(n_31076),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_935 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40775  (
+	.A(n_44401),
+	.B(n_85865),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_933 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40776  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_262 ),
+	.B(FE_DBTN53_n_60824),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_932 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40778  (
+	.A(n_31082),
+	.B(n_39809),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_929 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40779  (
+	.A(n_34740),
+	.B(n_31084),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_928 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40781  (
+	.A(n_35479),
+	.B(FE_DBTN24_n_77220),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_926 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40782  (
+	.A(n_34716),
+	.B(FE_DBTN53_n_60824),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_925 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40783  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3692 ),
+	.B(n_43195),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_924 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40784  (
+	.A(n_34734),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_376 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_923 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40785  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3692 ),
+	.B(n_34740),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_922 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40787  (
+	.A1_N(n_85863),
+	.A2_N(n_77846),
+	.B1(n_85863),
+	.B2(n_77846),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_919 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40788  (
+	.A(n_77228),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_376 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_918 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40789  (
+	.A(n_43930),
+	.B(n_31084),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_916 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40791  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3719 ),
+	.B(n_34734),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_913 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40793  (
+	.A1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3692 ),
+	.A2_N(n_39810),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3692 ),
+	.B2(n_39810),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_909 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40796  (
+	.A(n_34726),
+	.B(n_31084),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_905 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40797  (
+	.A(n_34738),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_376 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_904 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40801  (
+	.A(n_34740),
+	.B(n_31082),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_899 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40806  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_260 ),
+	.A2(n_31082),
+	.B1(n_34716),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_413 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_891 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40807  (
+	.A(n_43195),
+	.B(n_31066),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_890 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40809  (
+	.A(n_58891),
+	.B(n_77846),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_887 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40812  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3791 ),
+	.A2(n_26653),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3790 ),
+	.B2(n_31084),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_882 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40814  (
+	.A(n_90595),
+	.B(n_75381),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_880 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40821  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3692 ),
+	.B(n_54922),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_872 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40822  (
+	.A(n_34713),
+	.B(n_31066),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_871 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40823  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_260 ),
+	.A2(n_31084),
+	.B1(n_34716),
+	.B2(n_26653),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_869 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40824  (
+	.A(n_34738),
+	.B(n_31066),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_868 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40827  (
+	.A1(n_39809),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_131 ),
+	.B1(n_39810),
+	.B2(n_31076),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_863 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40832  (
+	.A(FE_DBTN53_n_60824),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_258 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_856 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40835  (
+	.A(n_31084),
+	.B(n_39809),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_853 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40836  (
+	.A(n_43930),
+	.B(FE_DBTN53_n_60824),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_852 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40837  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_258 ),
+	.B(n_31082),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_850 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40842  (
+	.A(n_31066),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_452 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_844 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40844  (
+	.A(n_43195),
+	.B(n_85865),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_842 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40845  (
+	.A(n_90595),
+	.B(n_43930),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_841 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40847  (
+	.A(n_34738),
+	.B(n_31084),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_838 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40850  (
+	.A(n_35479),
+	.B(n_85865),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_835 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40851  (
+	.A(n_31066),
+	.B(n_54922),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_834 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40852  (
+	.A(n_43195),
+	.B(FE_DBTN53_n_60824),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_833 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40854  (
+	.A(n_34706),
+	.B(FE_DBTN24_n_77220),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_830 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40855  (
+	.A(n_90595),
+	.B(n_39809),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_829 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40861  (
+	.A(n_53369),
+	.B(FE_DBTN53_n_60824),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_823 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40862  (
+	.A1(n_31066),
+	.A2(n_39847),
+	.B1(n_58891),
+	.B2(n_34740),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_821 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40864  (
+	.A(n_39809),
+	.B(n_38049),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_819 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40869  (
+	.A(n_54937),
+	.B(n_54935),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_782 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40871  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_759 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_760 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_808 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40872  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_742 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_755 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_780 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40885  (
+	.A(n_92785),
+	.B(n_77220),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_769 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40886  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_463 ),
+	.B(n_31076),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_768 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40890  (
+	.A(n_77864),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_131 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_764 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40893  (
+	.A(n_31066),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_458 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_761 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40894  (
+	.A(n_34727),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_413 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_760 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40895  (
+	.A(n_31082),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_270 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_759 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40897  (
+	.A(n_78035),
+	.B(n_31067),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_757 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40899  (
+	.A(n_85866),
+	.B(FE_DBTN24_n_77220),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_755 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40901  (
+	.A(n_34727),
+	.B(n_26653),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_753 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40903  (
+	.A(n_34730),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3719 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_751 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40905  (
+	.A(n_38049),
+	.B(n_34728),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_749 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40906  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_277 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3692 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_748 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40907  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_271 ),
+	.B(FE_DBTN24_n_77220),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_747 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40909  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_376 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_458 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_745 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40912  (
+	.A(n_77220),
+	.B(n_85864),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_742 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40915  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_270 ),
+	.B(n_31084),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_739 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40917  (
+	.A(n_34740),
+	.B(n_38034),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_737 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40918  (
+	.A(n_38049),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3658 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_736 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40920  (
+	.A(n_38049),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_260 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_734 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40925  (
+	.A(n_38049),
+	.B(n_44401),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_729 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40926  (
+	.A(n_38049),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_448 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_728 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40928  (
+	.A(n_38049),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_258 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_726 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40931  (
+	.A(n_38049),
+	.B(n_59502),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_723 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40948  (
+	.A(n_31082),
+	.B(n_80466),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_706 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40969  (
+	.A(n_90595),
+	.B(n_80466),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_685 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40976  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_413 ),
+	.B(n_34706),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_678 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40994  (
+	.A(n_38042),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_660 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40995  (
+	.A(n_80471),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_658 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40996  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_655 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_656 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40997  (
+	.A(n_38039),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_654 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41000  (
+	.A(n_59514),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_648 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41001  (
+	.A(n_38048),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_646 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41004  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_638 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_639 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41005  (
+	.A(n_77277),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_637 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41006  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_633 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_634 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41065  (
+	.A(n_31082),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3658 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_572 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41099  (
+	.A(n_31084),
+	.B(n_34728),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_538 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41105  (
+	.A(n_39810),
+	.B(n_38049),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_532 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41109  (
+	.A(n_38034),
+	.B(n_43195),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_655 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41113  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_269 ),
+	.B(n_38049),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_649 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41118  (
+	.A(n_54921),
+	.B(n_38049),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_641 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41119  (
+	.A(n_53368),
+	.B(n_38049),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_640 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41120  (
+	.A(n_38034),
+	.B(n_34716),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_638 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41122  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_453 ),
+	.B(n_38049),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_635 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41123  (
+	.A(n_38034),
+	.B(n_34706),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_633 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41124  (
+	.A(n_75381),
+	.B(n_38049),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_632 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41127  (
+	.A(n_31043),
+	.Y(n_23730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41141  (
+	.A(addinc_ADD_UNS_OP_2_n_55),
+	.Y(addinc_ADD_UNS_OP_2_n_54), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41143  (
+	.A(n_54318),
+	.Y(n_23741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41161  (
+	.A(n_30992),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_491 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41167  (
+	.A(n_53661),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_487 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41171  (
+	.A(n_30988),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_483 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41184  (
+	.A(n_31007),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_471 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41197  (
+	.A(n_34726),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_457 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41201  (
+	.A(n_46209),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_453 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41202  (
+	.A(n_34734),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_452 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41206  (
+	.A(n_43195),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_448 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41325  (
+	.A(n_31035),
+	.Y(n_23661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41326  (
+	.A(n_31041),
+	.Y(addinc_ADD_UNS_OP_2_n_3), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41327  (
+	.A(n_76677),
+	.Y(addinc_ADD_UNS_OP_2_n_49), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41331  (
+	.A(n_31042),
+	.Y(addinc_ADD_UNS_OP_2_n_6), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41334  (
+	.A(n_86290),
+	.Y(n_23737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41376  (
+	.A(n_63921),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_278 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41377  (
+	.A(n_34730),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_277 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41383  (
+	.A(n_92785),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_271 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41384  (
+	.A(n_34727),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_270 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41385  (
+	.A(n_34724),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_269 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41392  (
+	.A(n_72426),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_262 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41393  (
+	.A(n_34738),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_261 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41394  (
+	.A(n_34716),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_260 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41396  (
+	.A(n_35479),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_258 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g2  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2939 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3788 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3318 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41659  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1947 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1417 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3323 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41665  (
+	.A1(n_59510),
+	.A2(n_60838),
+	.B1(n_41969),
+	.B2(n_60837),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3329 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41666  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1146 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3731 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1212 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3609 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3330 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41667  (
+	.A1(n_41972),
+	.A2(n_60838),
+	.B1(n_60837),
+	.B2(n_80472),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3331 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41683  (
+	.A1(n_53368),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_376 ),
+	.B1(n_53369),
+	.B2(n_78035),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3347 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41685  (
+	.A(n_58891),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3791 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3349 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41694  (
+	.A(n_39847),
+	.B(FE_DBTN53_n_60824),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3358 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41698  (
+	.A(n_38034),
+	.B(n_34740),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3362 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41699  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3165 ),
+	.B(n_78095),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3363 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41703  (
+	.A_N(n_60092),
+	.B(n_78269),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3367 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41704  (
+	.A_N(n_57285),
+	.B(n_78495),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3368 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41726  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2816 ),
+	.B(n_90640),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3390 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41736  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2669 ),
+	.B(n_37564),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3400 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41741  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2531 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2532 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3405 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41749  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1560 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2052 ),
+	.B1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2304 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3413 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41752  (
+	.A_N(n_78623),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2309 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3416 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41756  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1945 ),
+	.B(n_37575),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3420 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41758  (
+	.A(n_59650),
+	.B(n_58614),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3422 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41759  (
+	.A(n_76284),
+	.B(n_37568),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3423 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41764  (
+	.A(n_77001),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1500 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3428 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41765  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1035 ),
+	.B(n_77281),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3429 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41767  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1108 ),
+	.B(n_77281),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3431 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41769  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1181 ),
+	.B(n_86706),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3433 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41770  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1316 ),
+	.B_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3562 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3434 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41771  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1111 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_808 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3435 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41772  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1074 ),
+	.B(n_76308),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3436 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41773  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1037 ),
+	.B(n_60828),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3437 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41774  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1014 ),
+	.B(n_85000),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3438 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41775  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_989 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_782 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3439 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41776  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_957 ),
+	.B(n_85000),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3440 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41778  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_899 ),
+	.B(n_53333),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3442 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41780  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_863 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1480 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3444 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41801  (
+	.A0(n_78398),
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2862 ),
+	.S(n_56675),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3465 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41805  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2669 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3405 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2531 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3624 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3469 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor3_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41807  (
+	.A(n_38798),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2399 ),
+	.C(n_40647),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3471 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41808  (
+	.A(n_77957),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2537 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3472 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41811  (
+	.A(n_60927),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2307 ),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2341 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3475 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41815  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2236 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3494 ),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2338 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3479 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41816  (
+	.A1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2232 ),
+	.A2_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2306 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2327 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2235 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3480 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41818  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2052 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1560 ),
+	.B1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3413 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3482 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41819  (
+	.A1(n_77510),
+	.A2(n_86381),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1977 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_654 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3483 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor3_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41820  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2258 ),
+	.B(n_37574),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2013 ),
+	.X(n_23718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fahcin_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41821  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2316 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_660 ),
+	.CIN(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1703 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3485 ),
+	.SUM(UNCONNECTED496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor3_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41822  (
+	.A(n_60855),
+	.B(n_79598),
+	.C(n_76697),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3486 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41825  (
+	.A1_N(n_40023),
+	.A2_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1982 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1717 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1716 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3489 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41826  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3514 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3547 ),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1945 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3490 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor3_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41830  (
+	.A(n_75437),
+	.B(n_77233),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1778 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3494 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41832  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1404 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1189 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3646 ),
+	.B2(n_77281),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3496 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41837  (
+	.A1(n_76303),
+	.A2(n_53367),
+	.B1(n_38967),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3692 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3501 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41840  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3672 ),
+	.A2(n_37590),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3577 ),
+	.B2(n_76308),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3504 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41842  (
+	.A1(n_37614),
+	.A2(n_76301),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3604 ),
+	.B2(n_37607),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3506 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41843  (
+	.A1(n_41966),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1406 ),
+	.B1(n_60828),
+	.B2(FE_DBTN53_n_60824),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3507 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41846  (
+	.A1(n_43935),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3560 ),
+	.B1(n_39946),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3600 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3510 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41847  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3582 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1480 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_782 ),
+	.B2(n_31076),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3511 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41849  (
+	.A1(n_87152),
+	.A2(n_80286),
+	.B1(n_78248),
+	.B2(FE_DBTN24_n_77220),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3513 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41850  (
+	.A1(n_43935),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3602 ),
+	.B1(n_39946),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3560 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3514 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41851  (
+	.A1_N(n_77291),
+	.A2_N(n_76294),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1189 ),
+	.B2(n_77281),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3515 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41856  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1404 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1133 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1191 ),
+	.B2(n_77281),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3520 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41858  (
+	.A1(n_60837),
+	.A2(n_39964),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_823 ),
+	.B2(n_60838),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3522 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41859  (
+	.A1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1212 ),
+	.A2_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1057 ),
+	.B1(n_37614),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_948 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3523 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41860  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1480 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3575 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_782 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3581 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3524 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41862  (
+	.A1(n_80286),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3563 ),
+	.B1(n_78248),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3598 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3526 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41864  (
+	.A1(n_41966),
+	.A2(n_60828),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1406 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_932 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3528 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41865  (
+	.A1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_914 ),
+	.A2_N(n_38975),
+	.B1(n_53367),
+	.B2(n_80461),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3529 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41867  (
+	.A1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_782 ),
+	.A2_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3569 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3621 ),
+	.B2(n_77904),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3531 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41868  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3672 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3577 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3562 ),
+	.B2(n_76308),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3532 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41870  (
+	.A1(n_53367),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_913 ),
+	.B1_N(n_79515),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3534 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41871  (
+	.A1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_938 ),
+	.A2_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1237 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1480 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_824 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3535 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41872  (
+	.A1_N(n_60833),
+	.A2_N(n_60828),
+	.B1(n_39964),
+	.B2(n_60838),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3536 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41873  (
+	.A1(n_53367),
+	.A2(n_77055),
+	.B1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1446 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3537 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41874  (
+	.A1(n_53367),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1132 ),
+	.B1(n_38967),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3590 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3538 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41875  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1480 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1109 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_782 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_824 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3539 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41876  (
+	.A1(n_76303),
+	.A2(n_38967),
+	.B1(n_53367),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3585 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3540 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41882  (
+	.A1(n_80286),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3457 ),
+	.B1(n_78248),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1142 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3546 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41883  (
+	.A1(n_87152),
+	.A2(n_78248),
+	.B1(n_80286),
+	.B2(n_37604),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3547 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41885  (
+	.A1(FE_DBTN18_n_78720),
+	.A2(n_31065),
+	.B1_N(n_31066),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3549 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41886  (
+	.A1(n_34727),
+	.A2(n_31082),
+	.B1_N(n_31084),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3550 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41888  (
+	.A1(n_85866),
+	.A2(n_77220),
+	.B1_N(n_85863),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3552 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41889  (
+	.A1(n_37586),
+	.A2(n_86706),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1184 ),
+	.B2(n_78725),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3553 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41893  (
+	.A1(n_34724),
+	.A2(n_38034),
+	.B1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_649 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3557 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41894  (
+	.A1(n_85863),
+	.A2(n_34740),
+	.B1(n_39847),
+	.B2(n_85865),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3558 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41896  (
+	.A1(n_54921),
+	.A2(n_90595),
+	.B1(n_90594),
+	.B2(n_54922),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3560 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41897  (
+	.A1(n_26653),
+	.A2(n_34706),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3658 ),
+	.B2(n_31084),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3561 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41898  (
+	.A1(n_78035),
+	.A2(n_72426),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_262 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_376 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3562 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41899  (
+	.A1(n_39809),
+	.A2(n_77220),
+	.B1(n_39810),
+	.B2(FE_DBTN24_n_77220),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3563 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41901  (
+	.A1(n_77220),
+	.A2(n_54922),
+	.B1(n_54921),
+	.B2(FE_DBTN24_n_77220),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3565 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41902  (
+	.A1(n_38049),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_457 ),
+	.B1_N(n_38042),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3566 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41904  (
+	.A1(n_77220),
+	.A2(n_34726),
+	.B1(FE_DBTN24_n_77220),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_457 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3568 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41905  (
+	.A1(n_34724),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_131 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_269 ),
+	.B2(n_31076),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3569 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41906  (
+	.A1(n_77220),
+	.A2(n_34738),
+	.B1(FE_DBTN24_n_77220),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_261 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3570 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41907  (
+	.A1(n_46209),
+	.A2(n_85863),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_453 ),
+	.B2(n_85865),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3571 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41910  (
+	.A1(n_46209),
+	.A2(n_58891),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_453 ),
+	.B2(n_31066),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3574 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41911  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_457 ),
+	.A2(n_31076),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_131 ),
+	.B2(n_34726),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3575 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41912  (
+	.A1(n_85863),
+	.A2(n_34738),
+	.B1(n_85865),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_261 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3576 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41913  (
+	.A1(n_78035),
+	.A2(n_43930),
+	.B1(n_43925),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_376 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3577 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41916  (
+	.A1(n_90594),
+	.A2(n_34738),
+	.B1(n_90595),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_261 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3580 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41917  (
+	.A1(n_53368),
+	.A2(n_31076),
+	.B1(n_53369),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_131 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3581 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41918  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3790 ),
+	.A2(n_31076),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3791 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_131 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3582 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41920  (
+	.A1(n_43925),
+	.A2(FE_DBTN24_n_77220),
+	.B1(n_77220),
+	.B2(n_43930),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3584 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41921  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_262 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3692 ),
+	.B1(n_72426),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3719 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3585 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41924  (
+	.A1(n_85863),
+	.A2(n_34724),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_269 ),
+	.B2(n_85865),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3588 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41925  (
+	.A1(n_90595),
+	.A2(n_39847),
+	.B1(n_90594),
+	.B2(n_34740),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3589 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41926  (
+	.A1(n_43925),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3692 ),
+	.B1(n_43930),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3719 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3590 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41927  (
+	.A1(n_39809),
+	.A2(n_58891),
+	.B1(n_39810),
+	.B2(n_31066),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3591 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41928  (
+	.A1(n_77220),
+	.A2(n_34740),
+	.B1(FE_DBTN24_n_77220),
+	.B2(n_39847),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3592 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41930  (
+	.A1(n_90594),
+	.A2(n_34706),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3658 ),
+	.B2(n_90595),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3594 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41932  (
+	.A1(n_46209),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_131 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_453 ),
+	.B2(n_31076),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3596 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41934  (
+	.A1(n_46209),
+	.A2(n_77220),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_453 ),
+	.B2(FE_DBTN24_n_77220),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3598 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41936  (
+	.A1(n_90594),
+	.A2(n_34726),
+	.B1(n_90595),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_457 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3600 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41937  (
+	.A1(n_77846),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_131 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_456 ),
+	.B2(n_31076),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3601 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41938  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_269 ),
+	.A2(n_90595),
+	.B1(n_34724),
+	.B2(n_90594),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3602 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41939  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_413 ),
+	.A2(n_77228),
+	.B1(n_31082),
+	.B2(n_44401),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3603 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41940  (
+	.A1(n_72426),
+	.A2(n_58891),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_262 ),
+	.B2(n_31066),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3604 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41941  (
+	.A1(n_77220),
+	.A2(n_53369),
+	.B1(FE_DBTN24_n_77220),
+	.B2(n_53368),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3605 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41943  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1484 ),
+	.A2(n_39726),
+	.B1_N(n_40018),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3607 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41944  (
+	.A1(n_77846),
+	.A2(n_26653),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_456 ),
+	.B2(n_31084),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3608 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41945  (
+	.A1(n_53368),
+	.A2(n_31066),
+	.B1(n_53369),
+	.B2(n_58891),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3609 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41950  (
+	.A1(n_26653),
+	.A2(n_34734),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_452 ),
+	.B2(n_31084),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3614 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41951  (
+	.A1(n_39847),
+	.A2(n_31076),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_131 ),
+	.B2(n_34740),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3615 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41953  (
+	.A1(n_85863),
+	.A2(n_34734),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_452 ),
+	.B2(n_85865),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3617 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41955  (
+	.A1(n_78035),
+	.A2(n_34726),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_376 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_457 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3619 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41956  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_452 ),
+	.A2(n_90595),
+	.B1(n_90594),
+	.B2(n_34734),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3620 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41957  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_131 ),
+	.A2(n_34738),
+	.B1(n_31076),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_261 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3621 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41958  (
+	.A1(n_34724),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3719 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_269 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3692 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3622 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor3_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41960  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2238 ),
+	.B(n_78623),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2309 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3624 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41980  (
+	.A(n_34738),
+	.B(n_38034),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3647 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3646 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fahcin_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41981  (
+	.A(n_87149),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1865 ),
+	.CIN(n_38038),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3648 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3649 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41982  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3650 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3651 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fahcin_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41983  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2144 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3522 ),
+	.CIN(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2318 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3650 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3652 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g4  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3652 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3653 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_fopt11  (
+	.A(n_34706),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3658 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_fopt13  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1316 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3672 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_fopt34  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3719 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3692 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_fopt42031  (
+	.A(n_37614),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3731 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_fopt42036  (
+	.A(n_78269),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3752 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_fopt42039  (
+	.A(n_79181),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3757 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_fopt42060  (
+	.A(n_31014),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3786 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_fopt42073  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3791 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3790 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1091 (
+	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_1),
+	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_45),
+	.Y(n_30156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1092 (
+	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_2),
+	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_7),
+	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_43),
+	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_45),
+	.SUM(n_30155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1093 (
+	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_11),
+	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_8),
+	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_41),
+	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_43),
+	.SUM(n_30154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1094 (
+	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_5),
+	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_12),
+	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_39),
+	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_41),
+	.SUM(n_30153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1095 (
+	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_6),
+	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_15),
+	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_37),
+	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_39),
+	.SUM(n_30152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1096 (
+	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_9),
+	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_16),
+	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_35),
+	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_37),
+	.SUM(n_30151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1097 (
+	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_10),
+	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_21),
+	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_33),
+	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_35),
+	.SUM(n_30150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1098 (
+	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_13),
+	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_22),
+	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_31),
+	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_33),
+	.SUM(n_30149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1099 (
+	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_23),
+	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_14),
+	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_29),
+	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_31),
+	.SUM(n_30148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1100 (
+	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_19),
+	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_24),
+	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_27),
+	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_29),
+	.SUM(n_30147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1101 (
+	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_3),
+	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_20),
+	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_25),
+	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_27),
+	.SUM(n_30146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1102 (
+	.A(n_30170),
+	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_4),
+	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_17),
+	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_25),
+	.SUM(n_30145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1103 (
+	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_0),
+	.B(n_30160),
+	.CIN(n_30172),
+	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_23),
+	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_24), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1104 (
+	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_0),
+	.B(n_30162),
+	.CIN(n_30174),
+	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_21),
+	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_22), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1105 (
+	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_0),
+	.B(n_30159),
+	.CIN(n_30171),
+	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_19),
+	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_20), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1106 (
+	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_0),
+	.B(n_30157),
+	.CIN(n_30169),
+	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_17),
+	.SUM(n_30144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1107 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Div_start_dly_S_8434 ),
+	.B(n_30164),
+	.CIN(n_30176),
+	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_15),
+	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_16), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1108 (
+	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_0),
+	.B(n_30161),
+	.CIN(n_30173),
+	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_13),
+	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_14), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1109 (
+	.A(n_30166),
+	.B(n_30178),
+	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_11),
+	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_12), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1110 (
+	.A(n_30163),
+	.B(n_30175),
+	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_9),
+	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_10), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1111 (
+	.A(n_30167),
+	.B(n_30179),
+	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_7),
+	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_8), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1112 (
+	.A(n_30165),
+	.B(n_30177),
+	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_5),
+	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_6), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1113 (
+	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_0),
+	.B(n_30158),
+	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_3),
+	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_4), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1114 (
+	.A1(n_30168),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [11]),
+	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_1),
+	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_2), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1115 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [11]),
+	.B(n_30168),
+	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_1), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1154  (
+	.A(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_128 ),
+	.B(n_39599),
+	.Y(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_132 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1158  (
+	.A(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_23 ),
+	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_127 ),
+	.Y(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_128 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1171  (
+	.A(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_241 ),
+	.B(n_29284),
+	.Y(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_114 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1172  (
+	.A(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_241 ),
+	.Y(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_109 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1192  (
+	.A(n_73460),
+	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_88 ),
+	.Y(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_92 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1196  (
+	.A(n_42630),
+	.B(n_42634),
+	.Y(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_88 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1199  (
+	.A(n_38475),
+	.Y(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_75 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1205  (
+	.A(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_71 ),
+	.B(n_31152),
+	.Y(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_78 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1206  (
+	.A(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_70 ),
+	.Y(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_71 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1213  (
+	.A(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_68 ),
+	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_0 ),
+	.Y(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_70 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1215  (
+	.A(n_75597),
+	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_227 ),
+	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_68 ),
+	.SUM(n_31118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1218  (
+	.A(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_19 ),
+	.B(n_38476),
+	.Y(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_64 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1227  (
+	.A(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_227 ),
+	.B_N(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_52 ),
+	.Y(n_31117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1228  (
+	.A(n_31146),
+	.B(n_43229),
+	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_53 ),
+	.SUM(n_31114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1229  (
+	.A_N(n_35172),
+	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_40 ),
+	.Y(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_52 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1236  (
+	.A(n_38470),
+	.Y(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_45 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1238  (
+	.A1(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_285 ),
+	.A2(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_246 ),
+	.B1(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_40 ),
+	.X(n_31116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1240  (
+	.A(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_246 ),
+	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_285 ),
+	.Y(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_40 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1241  (
+	.A(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_38 ),
+	.Y(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_39 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1242  (
+	.A(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_32 ),
+	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_0 ),
+	.C(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_285 ),
+	.Y(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_38 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1244  (
+	.A(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_34 ),
+	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_28 ),
+	.Y(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_36 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1246  (
+	.A(n_39152),
+	.B(FE_DBTN68_n_54295),
+	.Y(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_34 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1248  (
+	.A(n_43231),
+	.Y(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_32 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1252  (
+	.A(n_37489),
+	.B(n_35172),
+	.Y(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_28 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1257  (
+	.A(n_39600),
+	.Y(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_23 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1273  (
+	.A(n_37489),
+	.Y(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_7 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1284  (
+	.A(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_250 ),
+	.B(n_72566),
+	.X(n_31133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1285  (
+	.A(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_251 ),
+	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_114 ),
+	.X(n_31132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1292  (
+	.A(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_255 ),
+	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_78 ),
+	.X(n_31120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1293  (
+	.A(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_40 ),
+	.B_N(n_35172),
+	.Y(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_227 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1307  (
+	.A(n_29283),
+	.B(n_71908),
+	.X(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_241 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1312  (
+	.A(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_34 ),
+	.B_N(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_32 ),
+	.Y(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_246 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1316  (
+	.A_N(n_36145),
+	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_114 ),
+	.Y(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_250 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1317  (
+	.A_N(n_29284),
+	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_109 ),
+	.Y(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_251 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1321  (
+	.A_N(n_31152),
+	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_70 ),
+	.Y(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_255 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1323  (
+	.A1(n_41660),
+	.A2(n_84338),
+	.B1_N(n_75365),
+	.X(n_31143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1327  (
+	.A1(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_127 ),
+	.A2(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_23 ),
+	.B1(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_128 ),
+	.Y(n_31135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1328  (
+	.A1(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_64 ),
+	.A2(n_87087),
+	.B1_N(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_75 ),
+	.X(n_31125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1330  (
+	.A1(n_38476),
+	.A2(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_19 ),
+	.B1(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_64 ),
+	.Y(n_31124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1336  (
+	.A1(n_29283),
+	.A2(n_71908),
+	.B1(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_109 ),
+	.X(n_31131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1337  (
+	.A1(n_42633),
+	.A2(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_92 ),
+	.B1(n_84520),
+	.X(n_31129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1338  (
+	.A1(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_88 ),
+	.A2(n_73460),
+	.B1(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_92 ),
+	.Y(n_31128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1339  (
+	.A1(n_42634),
+	.A2(n_42630),
+	.B1(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_88 ),
+	.X(n_31127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1340  (
+	.A1(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_128 ),
+	.A2(n_39599),
+	.B1(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_132 ),
+	.X(n_31136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP_g1597 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [62]),
+	.B(inc_ADD_UNS_OP_n_133),
+	.X(n_31541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g1598 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [61]),
+	.B(inc_ADD_UNS_OP_n_128),
+	.COUT(inc_ADD_UNS_OP_n_133),
+	.SUM(n_31540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 inc_ADD_UNS_OP_g1599 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [63]),
+	.B(inc_ADD_UNS_OP_n_131),
+	.Y(n_31542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 inc_ADD_UNS_OP_g1600 (
+	.A(inc_ADD_UNS_OP_n_128),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [62]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [61]),
+	.Y(inc_ADD_UNS_OP_n_131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP_g1601 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [59]),
+	.B(inc_ADD_UNS_OP_n_122),
+	.X(n_31538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP_g1602 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [55]),
+	.B(inc_ADD_UNS_OP_n_124),
+	.X(n_31534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g1603 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [60]),
+	.B(inc_ADD_UNS_OP_n_114),
+	.COUT(inc_ADD_UNS_OP_n_128),
+	.SUM(n_31539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP_g1604 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [51]),
+	.B(inc_ADD_UNS_OP_n_119),
+	.X(n_31530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g1605 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [54]),
+	.B(inc_ADD_UNS_OP_n_111),
+	.COUT(inc_ADD_UNS_OP_n_124),
+	.SUM(n_31533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g1606 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [58]),
+	.B(inc_ADD_UNS_OP_n_110),
+	.COUT(inc_ADD_UNS_OP_n_122),
+	.SUM(n_31537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP_g1607 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [47]),
+	.B(inc_ADD_UNS_OP_n_115),
+	.X(n_31526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g1608 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [50]),
+	.B(inc_ADD_UNS_OP_n_101),
+	.COUT(inc_ADD_UNS_OP_n_119),
+	.SUM(n_31529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP_g1609 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [43]),
+	.B(inc_ADD_UNS_OP_n_107),
+	.X(n_31522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP_g1610 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [39]),
+	.B(inc_ADD_UNS_OP_n_105),
+	.X(n_31518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g1611 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [46]),
+	.B(inc_ADD_UNS_OP_n_99),
+	.COUT(inc_ADD_UNS_OP_n_115),
+	.SUM(n_31525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 inc_ADD_UNS_OP_g1612 (
+	.A(inc_ADD_UNS_OP_n_110),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [58]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [59]),
+	.X(inc_ADD_UNS_OP_n_114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP_g1613 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [35]),
+	.B(inc_ADD_UNS_OP_n_103),
+	.X(n_31514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g1614 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [53]),
+	.B(inc_ADD_UNS_OP_n_91),
+	.COUT(inc_ADD_UNS_OP_n_111),
+	.SUM(n_31532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g1615 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [57]),
+	.B(inc_ADD_UNS_OP_n_97),
+	.COUT(inc_ADD_UNS_OP_n_110),
+	.SUM(n_31536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g1616 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [42]),
+	.B(inc_ADD_UNS_OP_n_95),
+	.COUT(inc_ADD_UNS_OP_n_107),
+	.SUM(n_31521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g1617 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [38]),
+	.B(inc_ADD_UNS_OP_n_93),
+	.COUT(inc_ADD_UNS_OP_n_105),
+	.SUM(n_31517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g1618 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [34]),
+	.B(inc_ADD_UNS_OP_n_87),
+	.COUT(inc_ADD_UNS_OP_n_103),
+	.SUM(n_31513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g1619 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [49]),
+	.B(inc_ADD_UNS_OP_n_89),
+	.COUT(inc_ADD_UNS_OP_n_101),
+	.SUM(n_31528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g1620 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [45]),
+	.B(inc_ADD_UNS_OP_n_85),
+	.COUT(inc_ADD_UNS_OP_n_99),
+	.SUM(n_31524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g1621 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [56]),
+	.B(inc_ADD_UNS_OP_n_79),
+	.COUT(inc_ADD_UNS_OP_n_97),
+	.SUM(n_31535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g1622 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [41]),
+	.B(inc_ADD_UNS_OP_n_83),
+	.COUT(inc_ADD_UNS_OP_n_95),
+	.SUM(n_31520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g1623 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [37]),
+	.B(inc_ADD_UNS_OP_n_81),
+	.COUT(inc_ADD_UNS_OP_n_93),
+	.SUM(n_31516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g1624 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [52]),
+	.B(inc_ADD_UNS_OP_n_80),
+	.COUT(inc_ADD_UNS_OP_n_91),
+	.SUM(n_31531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g1625 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [48]),
+	.B(inc_ADD_UNS_OP_n_75),
+	.COUT(inc_ADD_UNS_OP_n_89),
+	.SUM(n_31527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g1626 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [33]),
+	.B(inc_ADD_UNS_OP_n_76),
+	.COUT(inc_ADD_UNS_OP_n_87),
+	.SUM(n_31512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g1627 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [44]),
+	.B(inc_ADD_UNS_OP_n_72),
+	.COUT(inc_ADD_UNS_OP_n_85),
+	.SUM(n_31523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g1628 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [40]),
+	.B(inc_ADD_UNS_OP_n_70),
+	.COUT(inc_ADD_UNS_OP_n_83),
+	.SUM(n_31519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g1629 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [36]),
+	.B(inc_ADD_UNS_OP_n_71),
+	.COUT(inc_ADD_UNS_OP_n_81),
+	.SUM(n_31515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 inc_ADD_UNS_OP_g1630 (
+	.A(inc_ADD_UNS_OP_n_5),
+	.B_N(inc_ADD_UNS_OP_n_75),
+	.Y(inc_ADD_UNS_OP_n_80), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 inc_ADD_UNS_OP_g1631 (
+	.A(inc_ADD_UNS_OP_n_75),
+	.B(inc_ADD_UNS_OP_n_10),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [52]),
+	.X(inc_ADD_UNS_OP_n_79), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP_g1632 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [31]),
+	.B(inc_ADD_UNS_OP_n_73),
+	.X(n_31510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g1633 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [32]),
+	.B(inc_ADD_UNS_OP_n_69),
+	.COUT(inc_ADD_UNS_OP_n_76),
+	.SUM(n_31511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 inc_ADD_UNS_OP_g1634 (
+	.A(inc_ADD_UNS_OP_n_1),
+	.B_N(inc_ADD_UNS_OP_n_72),
+	.Y(inc_ADD_UNS_OP_n_75), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g1635 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [30]),
+	.B(inc_ADD_UNS_OP_n_67),
+	.COUT(inc_ADD_UNS_OP_n_73),
+	.SUM(n_31509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 inc_ADD_UNS_OP_g1636 (
+	.A(inc_ADD_UNS_OP_n_70),
+	.B(inc_ADD_UNS_OP_n_3),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [41]),
+	.X(inc_ADD_UNS_OP_n_72), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 inc_ADD_UNS_OP_g1637 (
+	.A(inc_ADD_UNS_OP_n_4),
+	.B_N(inc_ADD_UNS_OP_n_69),
+	.Y(inc_ADD_UNS_OP_n_71), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 inc_ADD_UNS_OP_g1638 (
+	.A(inc_ADD_UNS_OP_n_69),
+	.B(inc_ADD_UNS_OP_n_9),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [36]),
+	.X(inc_ADD_UNS_OP_n_70), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 inc_ADD_UNS_OP_g1639 (
+	.A(inc_ADD_UNS_OP_n_67),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [30]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [31]),
+	.X(inc_ADD_UNS_OP_n_69), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP_g1640 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [27]),
+	.B(inc_ADD_UNS_OP_n_63),
+	.X(n_31506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g1641 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [29]),
+	.B(inc_ADD_UNS_OP_n_59),
+	.COUT(inc_ADD_UNS_OP_n_67),
+	.SUM(n_31508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP_g1642 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [19]),
+	.B(inc_ADD_UNS_OP_n_61),
+	.X(n_31498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g1643 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [26]),
+	.B(inc_ADD_UNS_OP_n_57),
+	.COUT(inc_ADD_UNS_OP_n_63),
+	.SUM(n_31505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g1644 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [18]),
+	.B(inc_ADD_UNS_OP_n_55),
+	.COUT(inc_ADD_UNS_OP_n_61),
+	.SUM(n_31497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g1645 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [28]),
+	.B(inc_ADD_UNS_OP_n_52),
+	.COUT(inc_ADD_UNS_OP_n_59),
+	.SUM(n_31507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g1646 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [25]),
+	.B(inc_ADD_UNS_OP_n_49),
+	.COUT(inc_ADD_UNS_OP_n_57),
+	.SUM(n_31504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g1647 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [17]),
+	.B(inc_ADD_UNS_OP_n_43),
+	.COUT(inc_ADD_UNS_OP_n_55),
+	.SUM(n_31496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP_g1648 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [23]),
+	.B(inc_ADD_UNS_OP_n_46),
+	.X(n_31502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP_g1649 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [21]),
+	.B(inc_ADD_UNS_OP_n_50),
+	.X(n_31500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 inc_ADD_UNS_OP_g1650 (
+	.A(inc_ADD_UNS_OP_n_49),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [25]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [27]),
+	.D(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [26]),
+	.X(inc_ADD_UNS_OP_n_52), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g1651 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [20]),
+	.B(inc_ADD_UNS_OP_n_37),
+	.COUT(inc_ADD_UNS_OP_n_50),
+	.SUM(n_31499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g1652 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [24]),
+	.B(inc_ADD_UNS_OP_n_35),
+	.COUT(inc_ADD_UNS_OP_n_49),
+	.SUM(n_31503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g1653 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [22]),
+	.B(inc_ADD_UNS_OP_n_36),
+	.COUT(inc_ADD_UNS_OP_n_46),
+	.SUM(n_31501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP_g1654 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [15]),
+	.B(inc_ADD_UNS_OP_n_40),
+	.X(n_31494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g1655 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [16]),
+	.B(inc_ADD_UNS_OP_n_34),
+	.COUT(inc_ADD_UNS_OP_n_43),
+	.SUM(n_31495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP_g1656 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [11]),
+	.B(inc_ADD_UNS_OP_n_38),
+	.X(n_31490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g1657 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [14]),
+	.B(inc_ADD_UNS_OP_n_33),
+	.COUT(inc_ADD_UNS_OP_n_40),
+	.SUM(n_31493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g1658 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [10]),
+	.B(inc_ADD_UNS_OP_n_30),
+	.COUT(inc_ADD_UNS_OP_n_38),
+	.SUM(n_31489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 inc_ADD_UNS_OP_g1659 (
+	.A(inc_ADD_UNS_OP_n_2),
+	.B_N(inc_ADD_UNS_OP_n_34),
+	.Y(inc_ADD_UNS_OP_n_37), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 inc_ADD_UNS_OP_g1660 (
+	.A(inc_ADD_UNS_OP_n_6),
+	.B_N(inc_ADD_UNS_OP_n_34),
+	.Y(inc_ADD_UNS_OP_n_36), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 inc_ADD_UNS_OP_g1661 (
+	.A_N(inc_ADD_UNS_OP_n_6),
+	.B(inc_ADD_UNS_OP_n_34),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [23]),
+	.D(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [22]),
+	.X(inc_ADD_UNS_OP_n_35), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 inc_ADD_UNS_OP_g1662 (
+	.A(inc_ADD_UNS_OP_n_33),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [14]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [15]),
+	.X(inc_ADD_UNS_OP_n_34), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g1663 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [13]),
+	.B(inc_ADD_UNS_OP_n_28),
+	.COUT(inc_ADD_UNS_OP_n_33),
+	.SUM(n_31492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g1664 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [9]),
+	.B(inc_ADD_UNS_OP_n_25),
+	.COUT(inc_ADD_UNS_OP_n_30),
+	.SUM(n_31488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g1665 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [12]),
+	.B(inc_ADD_UNS_OP_n_22),
+	.COUT(inc_ADD_UNS_OP_n_28),
+	.SUM(n_31491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP_g1666 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [7]),
+	.B(inc_ADD_UNS_OP_n_23),
+	.X(n_31486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g1667 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [8]),
+	.B(inc_ADD_UNS_OP_n_21),
+	.COUT(inc_ADD_UNS_OP_n_25),
+	.SUM(n_31487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g1668 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [6]),
+	.B(inc_ADD_UNS_OP_n_20),
+	.COUT(inc_ADD_UNS_OP_n_23),
+	.SUM(n_31485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 inc_ADD_UNS_OP_g1669 (
+	.A(inc_ADD_UNS_OP_n_0),
+	.B_N(inc_ADD_UNS_OP_n_21),
+	.Y(inc_ADD_UNS_OP_n_22), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 inc_ADD_UNS_OP_g1670 (
+	.A(inc_ADD_UNS_OP_n_20),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [6]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [7]),
+	.X(inc_ADD_UNS_OP_n_21), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g1671 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [5]),
+	.B(inc_ADD_UNS_OP_n_16),
+	.COUT(inc_ADD_UNS_OP_n_20),
+	.SUM(n_31484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP_g1672 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [3]),
+	.B(inc_ADD_UNS_OP_n_14),
+	.X(n_31482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g1673 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [4]),
+	.B(inc_ADD_UNS_OP_n_13),
+	.COUT(inc_ADD_UNS_OP_n_16),
+	.SUM(n_31483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g1674 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [2]),
+	.B(inc_ADD_UNS_OP_n_12),
+	.COUT(inc_ADD_UNS_OP_n_14),
+	.SUM(n_31481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 inc_ADD_UNS_OP_g1675 (
+	.A(inc_ADD_UNS_OP_n_12),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [2]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [3]),
+	.X(inc_ADD_UNS_OP_n_13), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g1676 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [1]),
+	.B(inc_ADD_UNS_OP_n_7),
+	.COUT(inc_ADD_UNS_OP_n_12),
+	.SUM(n_31480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 inc_ADD_UNS_OP_g1677 (
+	.A_N(inc_ADD_UNS_OP_n_5),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [53]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [54]),
+	.D(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [55]),
+	.X(inc_ADD_UNS_OP_n_10), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 inc_ADD_UNS_OP_g1678 (
+	.A_N(inc_ADD_UNS_OP_n_4),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [37]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [38]),
+	.D(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [39]),
+	.X(inc_ADD_UNS_OP_n_9), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP_g1679 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [0]),
+	.B(n_31543),
+	.COUT(inc_ADD_UNS_OP_n_7),
+	.SUM(n_31479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 inc_ADD_UNS_OP_g1680 (
+	.A_N(inc_ADD_UNS_OP_n_2),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [21]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [20]),
+	.Y(inc_ADD_UNS_OP_n_6), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 inc_ADD_UNS_OP_g1681 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [48]),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [49]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [50]),
+	.D(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [51]),
+	.Y(inc_ADD_UNS_OP_n_5), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 inc_ADD_UNS_OP_g1682 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [33]),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [32]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [34]),
+	.D(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [35]),
+	.Y(inc_ADD_UNS_OP_n_4), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 inc_ADD_UNS_OP_g1683 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [40]),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [42]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [43]),
+	.X(inc_ADD_UNS_OP_n_3), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 inc_ADD_UNS_OP_g1684 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [18]),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [19]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [16]),
+	.D(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [17]),
+	.Y(inc_ADD_UNS_OP_n_2), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 inc_ADD_UNS_OP_g1685 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [46]),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [47]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [44]),
+	.D(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [45]),
+	.Y(inc_ADD_UNS_OP_n_1), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 inc_ADD_UNS_OP_g1686 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [10]),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [11]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [8]),
+	.D(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [9]),
+	.Y(inc_ADD_UNS_OP_n_0), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP10_g1597 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [62]),
+	.B(inc_ADD_UNS_OP10_n_133),
+	.X(n_31476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1598 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [61]),
+	.B(inc_ADD_UNS_OP10_n_128),
+	.COUT(inc_ADD_UNS_OP10_n_133),
+	.SUM(n_31475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 inc_ADD_UNS_OP10_g1599 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [63]),
+	.B(inc_ADD_UNS_OP10_n_131),
+	.Y(n_31477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 inc_ADD_UNS_OP10_g1600 (
+	.A(inc_ADD_UNS_OP10_n_128),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [62]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [61]),
+	.Y(inc_ADD_UNS_OP10_n_131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP10_g1601 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [59]),
+	.B(inc_ADD_UNS_OP10_n_122),
+	.X(n_31473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP10_g1602 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [55]),
+	.B(inc_ADD_UNS_OP10_n_124),
+	.X(n_31469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1603 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [60]),
+	.B(inc_ADD_UNS_OP10_n_114),
+	.COUT(inc_ADD_UNS_OP10_n_128),
+	.SUM(n_31474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP10_g1604 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [51]),
+	.B(inc_ADD_UNS_OP10_n_119),
+	.X(n_31465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1605 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [54]),
+	.B(inc_ADD_UNS_OP10_n_111),
+	.COUT(inc_ADD_UNS_OP10_n_124),
+	.SUM(n_31468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1606 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [58]),
+	.B(inc_ADD_UNS_OP10_n_110),
+	.COUT(inc_ADD_UNS_OP10_n_122),
+	.SUM(n_31472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP10_g1607 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [47]),
+	.B(inc_ADD_UNS_OP10_n_115),
+	.X(n_31461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1608 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [50]),
+	.B(inc_ADD_UNS_OP10_n_101),
+	.COUT(inc_ADD_UNS_OP10_n_119),
+	.SUM(n_31464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP10_g1609 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [43]),
+	.B(inc_ADD_UNS_OP10_n_107),
+	.X(n_31457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP10_g1610 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [39]),
+	.B(inc_ADD_UNS_OP10_n_105),
+	.X(n_31453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1611 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [46]),
+	.B(inc_ADD_UNS_OP10_n_99),
+	.COUT(inc_ADD_UNS_OP10_n_115),
+	.SUM(n_31460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 inc_ADD_UNS_OP10_g1612 (
+	.A(inc_ADD_UNS_OP10_n_110),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [58]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [59]),
+	.X(inc_ADD_UNS_OP10_n_114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP10_g1613 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [35]),
+	.B(inc_ADD_UNS_OP10_n_103),
+	.X(n_31449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1614 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [53]),
+	.B(inc_ADD_UNS_OP10_n_91),
+	.COUT(inc_ADD_UNS_OP10_n_111),
+	.SUM(n_31467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1615 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [57]),
+	.B(inc_ADD_UNS_OP10_n_97),
+	.COUT(inc_ADD_UNS_OP10_n_110),
+	.SUM(n_31471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1616 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [42]),
+	.B(inc_ADD_UNS_OP10_n_95),
+	.COUT(inc_ADD_UNS_OP10_n_107),
+	.SUM(n_31456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1617 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [38]),
+	.B(inc_ADD_UNS_OP10_n_93),
+	.COUT(inc_ADD_UNS_OP10_n_105),
+	.SUM(n_31452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1618 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [34]),
+	.B(inc_ADD_UNS_OP10_n_87),
+	.COUT(inc_ADD_UNS_OP10_n_103),
+	.SUM(n_31448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1619 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [49]),
+	.B(inc_ADD_UNS_OP10_n_89),
+	.COUT(inc_ADD_UNS_OP10_n_101),
+	.SUM(n_31463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1620 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [45]),
+	.B(inc_ADD_UNS_OP10_n_85),
+	.COUT(inc_ADD_UNS_OP10_n_99),
+	.SUM(n_31459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1621 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [56]),
+	.B(inc_ADD_UNS_OP10_n_79),
+	.COUT(inc_ADD_UNS_OP10_n_97),
+	.SUM(n_31470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1622 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [41]),
+	.B(inc_ADD_UNS_OP10_n_83),
+	.COUT(inc_ADD_UNS_OP10_n_95),
+	.SUM(n_31455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1623 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [37]),
+	.B(inc_ADD_UNS_OP10_n_81),
+	.COUT(inc_ADD_UNS_OP10_n_93),
+	.SUM(n_31451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1624 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [52]),
+	.B(inc_ADD_UNS_OP10_n_80),
+	.COUT(inc_ADD_UNS_OP10_n_91),
+	.SUM(n_31466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1625 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [48]),
+	.B(inc_ADD_UNS_OP10_n_75),
+	.COUT(inc_ADD_UNS_OP10_n_89),
+	.SUM(n_31462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1626 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [33]),
+	.B(inc_ADD_UNS_OP10_n_76),
+	.COUT(inc_ADD_UNS_OP10_n_87),
+	.SUM(n_31447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1627 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [44]),
+	.B(inc_ADD_UNS_OP10_n_72),
+	.COUT(inc_ADD_UNS_OP10_n_85),
+	.SUM(n_31458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1628 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [40]),
+	.B(inc_ADD_UNS_OP10_n_70),
+	.COUT(inc_ADD_UNS_OP10_n_83),
+	.SUM(n_31454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1629 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [36]),
+	.B(inc_ADD_UNS_OP10_n_71),
+	.COUT(inc_ADD_UNS_OP10_n_81),
+	.SUM(n_31450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 inc_ADD_UNS_OP10_g1630 (
+	.A(inc_ADD_UNS_OP10_n_5),
+	.B_N(inc_ADD_UNS_OP10_n_75),
+	.Y(inc_ADD_UNS_OP10_n_80), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 inc_ADD_UNS_OP10_g1631 (
+	.A(inc_ADD_UNS_OP10_n_75),
+	.B(inc_ADD_UNS_OP10_n_10),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [52]),
+	.X(inc_ADD_UNS_OP10_n_79), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP10_g1632 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [31]),
+	.B(inc_ADD_UNS_OP10_n_73),
+	.X(n_31445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1633 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [32]),
+	.B(inc_ADD_UNS_OP10_n_69),
+	.COUT(inc_ADD_UNS_OP10_n_76),
+	.SUM(n_31446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 inc_ADD_UNS_OP10_g1634 (
+	.A(inc_ADD_UNS_OP10_n_1),
+	.B_N(inc_ADD_UNS_OP10_n_72),
+	.Y(inc_ADD_UNS_OP10_n_75), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1635 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [30]),
+	.B(inc_ADD_UNS_OP10_n_67),
+	.COUT(inc_ADD_UNS_OP10_n_73),
+	.SUM(n_31444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 inc_ADD_UNS_OP10_g1636 (
+	.A(inc_ADD_UNS_OP10_n_70),
+	.B(inc_ADD_UNS_OP10_n_3),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [41]),
+	.X(inc_ADD_UNS_OP10_n_72), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 inc_ADD_UNS_OP10_g1637 (
+	.A(inc_ADD_UNS_OP10_n_4),
+	.B_N(inc_ADD_UNS_OP10_n_69),
+	.Y(inc_ADD_UNS_OP10_n_71), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 inc_ADD_UNS_OP10_g1638 (
+	.A(inc_ADD_UNS_OP10_n_69),
+	.B(inc_ADD_UNS_OP10_n_9),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [36]),
+	.X(inc_ADD_UNS_OP10_n_70), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 inc_ADD_UNS_OP10_g1639 (
+	.A(inc_ADD_UNS_OP10_n_67),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [30]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [31]),
+	.X(inc_ADD_UNS_OP10_n_69), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP10_g1640 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [27]),
+	.B(inc_ADD_UNS_OP10_n_63),
+	.X(n_31441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1641 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [29]),
+	.B(inc_ADD_UNS_OP10_n_59),
+	.COUT(inc_ADD_UNS_OP10_n_67),
+	.SUM(n_31443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP10_g1642 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [19]),
+	.B(inc_ADD_UNS_OP10_n_61),
+	.X(n_31433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1643 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [26]),
+	.B(inc_ADD_UNS_OP10_n_57),
+	.COUT(inc_ADD_UNS_OP10_n_63),
+	.SUM(n_31440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1644 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [18]),
+	.B(inc_ADD_UNS_OP10_n_55),
+	.COUT(inc_ADD_UNS_OP10_n_61),
+	.SUM(n_31432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1645 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [28]),
+	.B(inc_ADD_UNS_OP10_n_52),
+	.COUT(inc_ADD_UNS_OP10_n_59),
+	.SUM(n_31442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1646 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [25]),
+	.B(inc_ADD_UNS_OP10_n_49),
+	.COUT(inc_ADD_UNS_OP10_n_57),
+	.SUM(n_31439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1647 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [17]),
+	.B(inc_ADD_UNS_OP10_n_43),
+	.COUT(inc_ADD_UNS_OP10_n_55),
+	.SUM(n_31431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP10_g1648 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [23]),
+	.B(inc_ADD_UNS_OP10_n_46),
+	.X(n_31437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP10_g1649 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [21]),
+	.B(inc_ADD_UNS_OP10_n_50),
+	.X(n_31435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 inc_ADD_UNS_OP10_g1650 (
+	.A(inc_ADD_UNS_OP10_n_49),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [25]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [27]),
+	.D(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [26]),
+	.X(inc_ADD_UNS_OP10_n_52), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1651 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [20]),
+	.B(inc_ADD_UNS_OP10_n_37),
+	.COUT(inc_ADD_UNS_OP10_n_50),
+	.SUM(n_31434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1652 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [24]),
+	.B(inc_ADD_UNS_OP10_n_35),
+	.COUT(inc_ADD_UNS_OP10_n_49),
+	.SUM(n_31438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1653 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [22]),
+	.B(inc_ADD_UNS_OP10_n_36),
+	.COUT(inc_ADD_UNS_OP10_n_46),
+	.SUM(n_31436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP10_g1654 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [15]),
+	.B(inc_ADD_UNS_OP10_n_40),
+	.X(n_31429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1655 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [16]),
+	.B(inc_ADD_UNS_OP10_n_34),
+	.COUT(inc_ADD_UNS_OP10_n_43),
+	.SUM(n_31430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP10_g1656 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [11]),
+	.B(inc_ADD_UNS_OP10_n_38),
+	.X(n_31425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1657 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [14]),
+	.B(inc_ADD_UNS_OP10_n_33),
+	.COUT(inc_ADD_UNS_OP10_n_40),
+	.SUM(n_31428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1658 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [10]),
+	.B(inc_ADD_UNS_OP10_n_30),
+	.COUT(inc_ADD_UNS_OP10_n_38),
+	.SUM(n_31424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 inc_ADD_UNS_OP10_g1659 (
+	.A(inc_ADD_UNS_OP10_n_2),
+	.B_N(inc_ADD_UNS_OP10_n_34),
+	.Y(inc_ADD_UNS_OP10_n_37), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 inc_ADD_UNS_OP10_g1660 (
+	.A(inc_ADD_UNS_OP10_n_6),
+	.B_N(inc_ADD_UNS_OP10_n_34),
+	.Y(inc_ADD_UNS_OP10_n_36), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 inc_ADD_UNS_OP10_g1661 (
+	.A_N(inc_ADD_UNS_OP10_n_6),
+	.B(inc_ADD_UNS_OP10_n_34),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [23]),
+	.D(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [22]),
+	.X(inc_ADD_UNS_OP10_n_35), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 inc_ADD_UNS_OP10_g1662 (
+	.A(inc_ADD_UNS_OP10_n_33),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [14]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [15]),
+	.X(inc_ADD_UNS_OP10_n_34), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1663 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [13]),
+	.B(inc_ADD_UNS_OP10_n_28),
+	.COUT(inc_ADD_UNS_OP10_n_33),
+	.SUM(n_31427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1664 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [9]),
+	.B(inc_ADD_UNS_OP10_n_25),
+	.COUT(inc_ADD_UNS_OP10_n_30),
+	.SUM(n_31423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1665 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [12]),
+	.B(inc_ADD_UNS_OP10_n_22),
+	.COUT(inc_ADD_UNS_OP10_n_28),
+	.SUM(n_31426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP10_g1666 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [7]),
+	.B(inc_ADD_UNS_OP10_n_23),
+	.X(n_31421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1667 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [8]),
+	.B(inc_ADD_UNS_OP10_n_21),
+	.COUT(inc_ADD_UNS_OP10_n_25),
+	.SUM(n_31422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1668 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [6]),
+	.B(inc_ADD_UNS_OP10_n_20),
+	.COUT(inc_ADD_UNS_OP10_n_23),
+	.SUM(n_31420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 inc_ADD_UNS_OP10_g1669 (
+	.A(inc_ADD_UNS_OP10_n_0),
+	.B_N(inc_ADD_UNS_OP10_n_21),
+	.Y(inc_ADD_UNS_OP10_n_22), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 inc_ADD_UNS_OP10_g1670 (
+	.A(inc_ADD_UNS_OP10_n_20),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [6]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [7]),
+	.X(inc_ADD_UNS_OP10_n_21), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1671 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [5]),
+	.B(inc_ADD_UNS_OP10_n_16),
+	.COUT(inc_ADD_UNS_OP10_n_20),
+	.SUM(n_31419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP10_g1672 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [3]),
+	.B(inc_ADD_UNS_OP10_n_14),
+	.X(n_31417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1673 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [4]),
+	.B(inc_ADD_UNS_OP10_n_13),
+	.COUT(inc_ADD_UNS_OP10_n_16),
+	.SUM(n_31418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1674 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [2]),
+	.B(inc_ADD_UNS_OP10_n_12),
+	.COUT(inc_ADD_UNS_OP10_n_14),
+	.SUM(n_31416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 inc_ADD_UNS_OP10_g1675 (
+	.A(inc_ADD_UNS_OP10_n_12),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [2]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [3]),
+	.X(inc_ADD_UNS_OP10_n_13), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1676 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [1]),
+	.B(inc_ADD_UNS_OP10_n_7),
+	.COUT(inc_ADD_UNS_OP10_n_12),
+	.SUM(n_31415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 inc_ADD_UNS_OP10_g1677 (
+	.A_N(inc_ADD_UNS_OP10_n_5),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [53]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [54]),
+	.D(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [55]),
+	.X(inc_ADD_UNS_OP10_n_10), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 inc_ADD_UNS_OP10_g1678 (
+	.A_N(inc_ADD_UNS_OP10_n_4),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [37]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [38]),
+	.D(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [39]),
+	.X(inc_ADD_UNS_OP10_n_9), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1679 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [0]),
+	.B(n_31478),
+	.COUT(inc_ADD_UNS_OP10_n_7),
+	.SUM(n_31414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 inc_ADD_UNS_OP10_g1680 (
+	.A_N(inc_ADD_UNS_OP10_n_2),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [21]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [20]),
+	.Y(inc_ADD_UNS_OP10_n_6), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 inc_ADD_UNS_OP10_g1681 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [48]),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [49]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [50]),
+	.D(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [51]),
+	.Y(inc_ADD_UNS_OP10_n_5), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 inc_ADD_UNS_OP10_g1682 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [33]),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [32]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [34]),
+	.D(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [35]),
+	.Y(inc_ADD_UNS_OP10_n_4), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 inc_ADD_UNS_OP10_g1683 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [40]),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [42]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [43]),
+	.X(inc_ADD_UNS_OP10_n_3), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 inc_ADD_UNS_OP10_g1684 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [18]),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [19]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [16]),
+	.D(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [17]),
+	.Y(inc_ADD_UNS_OP10_n_2), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 inc_ADD_UNS_OP10_g1685 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [46]),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [47]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [44]),
+	.D(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [45]),
+	.Y(inc_ADD_UNS_OP10_n_1), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 inc_ADD_UNS_OP10_g1686 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [10]),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [11]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [8]),
+	.D(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [9]),
+	.Y(inc_ADD_UNS_OP10_n_0), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_soc_top_main_swith_host_lsu_add_105_52_g435 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[11]),
+	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_30),
+	.X(n_29928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_soc_top_main_swith_host_lsu_add_105_52_g436 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[10]),
+	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_27),
+	.COUT(inc_soc_top_main_swith_host_lsu_add_105_52_n_30),
+	.SUM(n_29927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_soc_top_main_swith_host_lsu_add_105_52_g437 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[7]),
+	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_23),
+	.X(n_29924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_soc_top_main_swith_host_lsu_add_105_52_g438 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[9]),
+	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_16),
+	.COUT(inc_soc_top_main_swith_host_lsu_add_105_52_n_27),
+	.SUM(n_29926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_soc_top_main_swith_host_lsu_add_105_52_g439 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[13]),
+	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_18),
+	.X(n_29930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_soc_top_main_swith_host_lsu_add_105_52_g440 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[15]),
+	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_20),
+	.X(n_29932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_soc_top_main_swith_host_lsu_add_105_52_g441 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[6]),
+	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_13),
+	.COUT(inc_soc_top_main_swith_host_lsu_add_105_52_n_23),
+	.SUM(n_29923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 inc_soc_top_main_swith_host_lsu_add_105_52_g442 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[16]),
+	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_15),
+	.Y(n_29933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_soc_top_main_swith_host_lsu_add_105_52_g443 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[14]),
+	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_11),
+	.COUT(inc_soc_top_main_swith_host_lsu_add_105_52_n_20),
+	.SUM(n_29931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_soc_top_main_swith_host_lsu_add_105_52_g444 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[12]),
+	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_12),
+	.COUT(inc_soc_top_main_swith_host_lsu_add_105_52_n_18),
+	.SUM(n_29929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_soc_top_main_swith_host_lsu_add_105_52_g445 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[8]),
+	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_10),
+	.COUT(inc_soc_top_main_swith_host_lsu_add_105_52_n_16),
+	.SUM(n_29925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 inc_soc_top_main_swith_host_lsu_add_105_52_g446 (
+	.A(inc_soc_top_main_swith_host_lsu_add_105_52_n_11),
+	.B(soc_top_main_swith_host_lsu_num_req_outstanding[15]),
+	.C(soc_top_main_swith_host_lsu_num_req_outstanding[14]),
+	.Y(inc_soc_top_main_swith_host_lsu_add_105_52_n_15), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_soc_top_main_swith_host_lsu_add_105_52_g447 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[5]),
+	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_8),
+	.COUT(inc_soc_top_main_swith_host_lsu_add_105_52_n_13),
+	.SUM(n_29922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 inc_soc_top_main_swith_host_lsu_add_105_52_g448 (
+	.A(inc_soc_top_main_swith_host_lsu_add_105_52_n_0),
+	.B_N(inc_soc_top_main_swith_host_lsu_add_105_52_n_10),
+	.Y(inc_soc_top_main_swith_host_lsu_add_105_52_n_12), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 inc_soc_top_main_swith_host_lsu_add_105_52_g449 (
+	.A_N(inc_soc_top_main_swith_host_lsu_add_105_52_n_0),
+	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_10),
+	.C(soc_top_main_swith_host_lsu_num_req_outstanding[13]),
+	.D(soc_top_main_swith_host_lsu_num_req_outstanding[12]),
+	.X(inc_soc_top_main_swith_host_lsu_add_105_52_n_11), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 inc_soc_top_main_swith_host_lsu_add_105_52_g450 (
+	.A(inc_soc_top_main_swith_host_lsu_add_105_52_n_6),
+	.B(soc_top_main_swith_host_lsu_num_req_outstanding[4]),
+	.C(soc_top_main_swith_host_lsu_num_req_outstanding[5]),
+	.X(inc_soc_top_main_swith_host_lsu_add_105_52_n_10), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_soc_top_main_swith_host_lsu_add_105_52_g451 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[4]),
+	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_3),
+	.COUT(inc_soc_top_main_swith_host_lsu_add_105_52_n_8),
+	.SUM(n_29921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_soc_top_main_swith_host_lsu_add_105_52_g452 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[3]),
+	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_4),
+	.X(n_29920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 inc_soc_top_main_swith_host_lsu_add_105_52_g453 (
+	.A(inc_soc_top_main_swith_host_lsu_add_105_52_n_3),
+	.B(soc_top_main_swith_host_lsu_num_req_outstanding[6]),
+	.C(soc_top_main_swith_host_lsu_num_req_outstanding[7]),
+	.X(inc_soc_top_main_swith_host_lsu_add_105_52_n_6), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_soc_top_main_swith_host_lsu_add_105_52_g454 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[2]),
+	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_2),
+	.COUT(inc_soc_top_main_swith_host_lsu_add_105_52_n_4),
+	.SUM(n_29919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 inc_soc_top_main_swith_host_lsu_add_105_52_g455 (
+	.A(inc_soc_top_main_swith_host_lsu_add_105_52_n_2),
+	.B(soc_top_main_swith_host_lsu_num_req_outstanding[2]),
+	.C(soc_top_main_swith_host_lsu_num_req_outstanding[3]),
+	.X(inc_soc_top_main_swith_host_lsu_add_105_52_n_3), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_soc_top_main_swith_host_lsu_add_105_52_g456 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[0]),
+	.B(soc_top_main_swith_host_lsu_num_req_outstanding[1]),
+	.COUT(inc_soc_top_main_swith_host_lsu_add_105_52_n_2),
+	.SUM(n_29918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 inc_soc_top_main_swith_host_lsu_add_105_52_g457 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[9]),
+	.B(soc_top_main_swith_host_lsu_num_req_outstanding[10]),
+	.C(soc_top_main_swith_host_lsu_num_req_outstanding[11]),
+	.D(soc_top_main_swith_host_lsu_num_req_outstanding[8]),
+	.Y(inc_soc_top_main_swith_host_lsu_add_105_52_n_0), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g2638  (
+	.A(n_87771),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_159 ),
+	.Y(n_30713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g2652  (
+	.A(n_87773),
+	.B(n_37871),
+	.Y(n_30726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g2658  (
+	.A(n_87779),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_170 ),
+	.Y(n_30718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g2659  (
+	.A(n_87774),
+	.B(n_45040),
+	.Y(n_30716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g2660  (
+	.A(n_87804),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_167 ),
+	.Y(n_30717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g2662  (
+	.A(n_87784),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_160 ),
+	.Y(n_30715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g2663  (
+	.A(n_87787),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_169 ),
+	.Y(n_30714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g2664  (
+	.A(n_87781),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_116 ),
+	.Y(n_30707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g2678  (
+	.A1_N(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_152 ),
+	.A2_N(n_87782),
+	.B1(n_87782),
+	.B2(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_152 ),
+	.Y(n_30712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g2689  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_151 ),
+	.B(n_63624),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_170 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g2690  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_151 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_55 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_169 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g2692  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_151 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_134 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_167 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g2697  (
+	.A(n_39233),
+	.B(n_87792),
+	.Y(n_30708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g2698  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_151 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_108 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_160 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g2699  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_151 ),
+	.B(n_87782),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_159 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g2705  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_152 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_151 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g2711  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_134 ),
+	.B(n_87804),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_147 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g2712  (
+	.A(n_69456),
+	.B(n_84508),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_152 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g2715  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_143 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_144 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g2720  (
+	.A(n_69456),
+	.B(n_75408),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_138 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g2722  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_93 ),
+	.B(n_80138),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_143 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g2724  (
+	.A(n_45041),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_134 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g2727  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_310 ),
+	.B(n_57807),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_131 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g2728  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_82 ),
+	.B(n_57807),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_130 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g2737  (
+	.A(n_57807),
+	.B(n_63622),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_119 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g2738  (
+	.A(n_63613),
+	.B(n_39921),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_118 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g2741  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_113 ),
+	.B(n_87780),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_116 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g2745  (
+	.A(n_39110),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_113 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g2748  (
+	.A(FE_DBTN127_n_93072),
+	.B(n_60717),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_109 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g2749  (
+	.A(n_42672),
+	.B(n_45037),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_108 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g2750  (
+	.A(n_45530),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_61 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_107 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g2757  (
+	.A(n_60707),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_99 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g2773  (
+	.A(n_44449),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_86 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g2774  (
+	.A(n_45528),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_85 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g2790  (
+	.A(n_44002),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_69 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g2793  (
+	.A(n_44031),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_66 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g2796  (
+	.A(n_59554),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_61 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g2802  (
+	.A(n_45037),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_55 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g2839  (
+	.A(n_87768),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_20 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g2858  (
+	.A(n_87777),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_1 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_fopt2900  (
+	.A(n_41015),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_309 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_fopt2901  (
+	.A(n_87769),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_310 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3281  (
+	.A(n_36192),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_163 ),
+	.Y(n_31186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3283  (
+	.A(n_36218),
+	.B(n_69862),
+	.Y(n_31196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3284  (
+	.A(n_36220),
+	.B(n_76793),
+	.Y(n_31194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_4 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3286  (
+	.A(n_36173),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_179 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [29]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3290  (
+	.A(n_36219),
+	.B(n_69528),
+	.Y(n_31195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3291  (
+	.A(n_36216),
+	.B(n_74538),
+	.Y(n_31198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3292  (
+	.A(n_36217),
+	.B(n_74541),
+	.Y(n_31197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3294  (
+	.A(n_31259),
+	.B(n_74537),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [23]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3296  (
+	.A(n_36215),
+	.B(n_74540),
+	.Y(n_31199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3297  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_214 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_299 ),
+	.Y(n_31182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3300  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_202 ),
+	.B(n_31241),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_214 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3305  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_196 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_197 ),
+	.Y(n_31180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3306  (
+	.A(n_36224),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_146 ),
+	.Y(n_31190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3309  (
+	.A(n_36223),
+	.B(n_84286),
+	.Y(n_31191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3310  (
+	.A(n_72009),
+	.B(n_75885),
+	.Y(n_31187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3312  (
+	.A(n_75639),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_202 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3316  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_116 ),
+	.B(n_76200),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_197 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3317  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_176 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_40 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_196 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3319  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_172 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_144 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_201 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3320  (
+	.A(n_84281),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_156 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_194 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_4 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3326  (
+	.A(n_31243),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_125 ),
+	.Y(n_31184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3328  (
+	.A(n_76198),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_135 ),
+	.Y(n_31183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3331  (
+	.A(n_84281),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_166 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_187 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3333  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_172 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_349 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_179 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3334  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_172 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_347 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_186 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3338  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_116 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_176 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3341  (
+	.A(n_84283),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_172 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3342  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_372 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_133 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_171 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3345  (
+	.A(n_84290),
+	.B(n_31244),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_168 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3347  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_110 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_133 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_166 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3348  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_74 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_133 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_165 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3351  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_142 ),
+	.B(n_31244),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_163 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3357  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_348 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_133 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_156 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3358  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_139 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_133 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_155 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3359  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_119 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_133 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_154 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3361  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_123 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_133 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_152 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3362  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_355 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_133 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_151 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3363  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_96 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_133 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_150 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3365  (
+	.A1_N(n_31240),
+	.A2_N(n_84291),
+	.B1(n_31240),
+	.B2(n_84291),
+	.Y(n_31181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3366  (
+	.A(n_71761),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_141 ),
+	.Y(n_31178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3367  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_142 ),
+	.B(n_72014),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_146 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3368  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_356 ),
+	.B(n_84290),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_157 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3370  (
+	.A(n_84290),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_142 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3371  (
+	.A(n_84288),
+	.B(n_76810),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_141 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3372  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_112 ),
+	.B(n_72008),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_140 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3373  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_111 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_279 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_139 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3374  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_42 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_112 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_138 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3377  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_114 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_56 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_135 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3378  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_371 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_110 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_144 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3380  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_133 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_132 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3385  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_120 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_112 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_127 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3387  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_114 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_306 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_125 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3389  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_113 ),
+	.B(n_72012),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_133 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3391  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_87 ),
+	.B(n_36217),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_123 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3394  (
+	.A(n_72015),
+	.B(n_75236),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_120 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3395  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_87 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_50 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_119 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3398  (
+	.A(n_84291),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_114 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3399  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_113 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_112 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3400  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_111 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_110 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3405  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_101 ),
+	.B(n_76202),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_116 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3407  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_84 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_92 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_113 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3408  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_368 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_372 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_111 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3412  (
+	.A(n_84292),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_101 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3416  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_75 ),
+	.B(n_36219),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_96 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3417  (
+	.A(n_36173),
+	.B(n_36174),
+	.C(n_36172),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_95 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3421  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_92 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_93 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3424  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_372 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_87 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3426  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_56 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_80 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_84 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3430  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_76 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_276 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_92 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3434  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_68 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_80 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3438  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_63 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_76 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3439  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_74 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_75 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3445  (
+	.A(n_76198),
+	.B(n_31243),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_68 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3448  (
+	.A(FE_DBTN7_n_84281),
+	.B(n_31236),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_65 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3450  (
+	.A(n_76810),
+	.B(n_31236),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_63 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3451  (
+	.A(n_36220),
+	.B(n_36221),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_74 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3457  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_55 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_56 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3462  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_49 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_50 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3463  (
+	.A(FE_DBTN7_n_84281),
+	.B(n_72008),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_48 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3470  (
+	.A(n_31241),
+	.B(n_31240),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_55 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3471  (
+	.A(n_36192),
+	.B(n_31244),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_42 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3472  (
+	.A(n_36216),
+	.B(n_36217),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_49 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3474  (
+	.A(n_76200),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_40 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3491  (
+	.A(n_31236),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_23 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3507  (
+	.A(n_31248),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_7 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3521  (
+	.A(n_76200),
+	.B(n_76202),
+	.X(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_276 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3524  (
+	.A(n_36176),
+	.B(n_36587),
+	.X(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_279 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3537  (
+	.A_N(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_300 ),
+	.B(n_72008),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_292 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3544  (
+	.A_N(n_31241),
+	.B(n_75639),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_299 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3545  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_42 ),
+	.B_N(n_72009),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_300 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3551  (
+	.A_N(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_55 ),
+	.B(n_76198),
+	.X(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_306 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3560  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_48 ),
+	.B(n_84293),
+	.C(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_140 ),
+	.D(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_292 ),
+	.Y(n_31188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3575  (
+	.A1(n_31244),
+	.A2(n_84290),
+	.B1(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_168 ),
+	.Y(n_31185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3579  (
+	.A_N(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_110 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_172 ),
+	.C(n_36587),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_334 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3592  (
+	.A_N(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_110 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_279 ),
+	.C(n_36175),
+	.X(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_347 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3593  (
+	.A_N(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_371 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_111 ),
+	.C(n_36173),
+	.D(n_36174),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_348 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3594  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_110 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_371 ),
+	.C_N(n_36174),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_349 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3596  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_112 ),
+	.B(n_72008),
+	.C_N(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_300 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_351 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3600  (
+	.A_N(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_49 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_87 ),
+	.C(n_36215),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_355 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3601  (
+	.A(n_72015),
+	.B(n_75236),
+	.C(n_36223),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_356 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3613  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_50 ),
+	.B(n_31259),
+	.C(n_36215),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_368 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3616  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_279 ),
+	.B(n_36177),
+	.C(n_36175),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_371 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3617  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_75 ),
+	.B(n_36218),
+	.C(n_36219),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_372 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3202  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [11]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_142 ),
+	.X(n_31557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3203  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [3]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_140 ),
+	.X(n_31549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3204  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [10]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_136 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_142 ),
+	.SUM(n_31556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3205  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [2]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_125 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_140 ),
+	.SUM(n_31548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3206  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [15]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_127 ),
+	.X(n_31561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3207  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [23]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_129 ),
+	.X(n_31569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3208  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [9]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_102 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_136 ),
+	.SUM(n_31555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3209  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [31]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_112 ),
+	.X(n_31609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3210  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [25]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_116 ),
+	.X(n_31571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3211  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [21]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_114 ),
+	.X(n_31567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3212  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [13]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_120 ),
+	.X(n_31559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3213  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [7]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_118 ),
+	.X(n_31553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3214  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [22]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_92 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_129 ),
+	.SUM(n_31568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3215  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [14]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_91 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_127 ),
+	.SUM(n_31560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3216  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [1]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_96 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_125 ),
+	.SUM(n_31547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3217  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [5]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_100 ),
+	.X(n_31551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3218  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [19]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_98 ),
+	.X(n_31565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3219  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [17]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_104 ),
+	.X(n_31563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3220  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [12]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_81 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_120 ),
+	.SUM(n_31558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3221  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [6]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_83 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_118 ),
+	.SUM(n_31552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3222  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [24]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_79 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_116 ),
+	.SUM(n_31570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3223  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [20]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_82 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_114 ),
+	.SUM(n_31566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3224  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [30]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_84 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_112 ),
+	.SUM(n_31608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3225  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [31]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_88 ),
+	.Y(n_31577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3226  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [30]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_86 ),
+	.Y(n_31576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3227  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [27]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_87 ),
+	.X(n_31573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3228  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [29]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_94 ),
+	.X(n_31575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3229  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [28]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_93 ),
+	.X(n_31574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3230  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [26]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_95 ),
+	.X(n_31572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3231  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [16]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_73 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_104 ),
+	.SUM(n_31562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3232  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [8]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_71 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_102 ),
+	.SUM(n_31554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3233  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [4]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_72 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_100 ),
+	.SUM(n_31550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3234  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [18]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_70 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_98 ),
+	.SUM(n_31564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3235  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [0]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_66 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_96 ),
+	.SUM(n_31546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3236  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_0 ),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_80 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_95 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3237  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_13 ),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_80 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_94 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3238  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_5 ),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_80 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_93 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3239  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_2 ),
+	.B_N(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_82 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_92 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3240  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_3 ),
+	.B_N(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_81 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_91 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3241  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [27]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_74 ),
+	.X(n_31605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3242  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [23]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_76 ),
+	.X(n_31601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3243  (
+	.A_N(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_13 ),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_79 ),
+	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [29]),
+	.D(\soc_top_timer0_reg2hw[timer_v_upper0][q] [30]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_88 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3244  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_0 ),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_80 ),
+	.C_N(\soc_top_timer0_reg2hw[timer_v_upper0][q] [26]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_87 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3245  (
+	.A_N(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_13 ),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_79 ),
+	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [29]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_86 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3246  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [29]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_65 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_84 ),
+	.SUM(n_31607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3247  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_1 ),
+	.B_N(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_72 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_83 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3248  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_6 ),
+	.B_N(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_73 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_82 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3249  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_8 ),
+	.B_N(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_71 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_81 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3250  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_80 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_79 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3251  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_73 ),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_12 ),
+	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [23]),
+	.D(\soc_top_timer0_reg2hw[timer_v_upper0][q] [22]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_80 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3252  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [19]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_68 ),
+	.X(n_31597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3253  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [22]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_62 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_76 ),
+	.SUM(n_31600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3254  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [26]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_60 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_74 ),
+	.SUM(n_31604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3255  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_19 ),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_67 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_73 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3256  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_7 ),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_67 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_72 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3257  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_15 ),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_67 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_71 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3258  (
+	.A_N(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_19 ),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_66 ),
+	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [16]),
+	.D(\soc_top_timer0_reg2hw[timer_v_upper0][q] [17]),
+	.X(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_70 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3259  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [18]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_57 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_68 ),
+	.SUM(n_31596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3260  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_67 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_66 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3261  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_65 ),
+	.B(\soc_top_timer0_reg2hw[timer_v_lower0][q] [29]),
+	.C(\soc_top_timer0_reg2hw[timer_v_lower0][q] [30]),
+	.D(\soc_top_timer0_reg2hw[timer_v_lower0][q] [31]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_67 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3262  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [28]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_53 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_65 ),
+	.SUM(n_31606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3263  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [21]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_51 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_62 ),
+	.SUM(n_31599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3264  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [25]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_48 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_60 ),
+	.SUM(n_31603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3265  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [15]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_54 ),
+	.X(n_31593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3266  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [17]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_45 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_57 ),
+	.SUM(n_31595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3267  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [11]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_49 ),
+	.X(n_31589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3268  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [14]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_43 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_54 ),
+	.SUM(n_31592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3269  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_48 ),
+	.B(\soc_top_timer0_reg2hw[timer_v_lower0][q] [25]),
+	.C(\soc_top_timer0_reg2hw[timer_v_lower0][q] [27]),
+	.D(\soc_top_timer0_reg2hw[timer_v_lower0][q] [26]),
+	.X(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_53 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3270  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [20]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_40 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_51 ),
+	.SUM(n_31598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3271  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [10]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_41 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_49 ),
+	.SUM(n_31588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3272  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [24]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_39 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_48 ),
+	.SUM(n_31602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3273  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [16]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_37 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_45 ),
+	.SUM(n_31594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3274  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [13]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_36 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_43 ),
+	.SUM(n_31591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3275  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [9]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_33 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_41 ),
+	.SUM(n_31587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3276  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_9 ),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_38 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_40 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3277  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_14 ),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_38 ),
+	.C_N(\soc_top_timer0_reg2hw[timer_v_lower0][q] [20]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_39 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3278  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_38 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_37 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3279  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_36 ),
+	.B(\soc_top_timer0_reg2hw[timer_v_lower0][q] [13]),
+	.C(\soc_top_timer0_reg2hw[timer_v_lower0][q] [14]),
+	.D(\soc_top_timer0_reg2hw[timer_v_lower0][q] [15]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_38 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3280  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [12]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_32 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_36 ),
+	.SUM(n_31590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3281  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [8]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_31 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_33 ),
+	.SUM(n_31586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3282  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_4 ),
+	.B_N(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_31 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_32 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3283  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [7]),
+	.B(\soc_top_timer0_reg2hw[cfg0][step][q] [7]),
+	.CIN(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_28 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_31 ),
+	.SUM(n_31585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3284  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [6]),
+	.B(\soc_top_timer0_reg2hw[cfg0][step][q] [6]),
+	.CIN(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_26 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_28 ),
+	.SUM(n_31584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3285  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [5]),
+	.B(\soc_top_timer0_reg2hw[cfg0][step][q] [5]),
+	.CIN(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_24 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_26 ),
+	.SUM(n_31583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3286  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [4]),
+	.B(\soc_top_timer0_reg2hw[cfg0][step][q] [4]),
+	.CIN(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_22 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_24 ),
+	.SUM(n_31582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3287  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [3]),
+	.B(\soc_top_timer0_reg2hw[cfg0][step][q] [3]),
+	.CIN(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_20 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_22 ),
+	.SUM(n_31581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3288  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [2]),
+	.B(\soc_top_timer0_reg2hw[cfg0][step][q] [2]),
+	.CIN(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_16 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_20 ),
+	.SUM(n_31580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3289  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_18 ),
+	.B(\soc_top_timer0_reg2hw[timer_v_upper0][q] [15]),
+	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [14]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_19 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3290  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_3 ),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_8 ),
+	.C(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_15 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_18 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3291  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [1]),
+	.B(\soc_top_timer0_reg2hw[cfg0][step][q] [1]),
+	.CIN(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_10 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_16 ),
+	.SUM(n_31579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4bb_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3292  (
+	.A_N(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_7 ),
+	.B_N(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_1 ),
+	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [6]),
+	.D(\soc_top_timer0_reg2hw[timer_v_upper0][q] [7]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_15 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3293  (
+	.A_N(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_9 ),
+	.B(\soc_top_timer0_reg2hw[timer_v_lower0][q] [21]),
+	.C(\soc_top_timer0_reg2hw[timer_v_lower0][q] [22]),
+	.D(\soc_top_timer0_reg2hw[timer_v_lower0][q] [23]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_14 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3294  (
+	.A_N(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_5 ),
+	.B(\soc_top_timer0_reg2hw[timer_v_upper0][q] [28]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_13 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3295  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_2 ),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_6 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_12 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3296  (
+	.A(\soc_top_timer0_reg2hw[cfg0][step][q] [0]),
+	.B(\soc_top_timer0_reg2hw[timer_v_lower0][q] [0]),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_10 ),
+	.SUM(n_31578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3297  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [16]),
+	.B(\soc_top_timer0_reg2hw[timer_v_lower0][q] [18]),
+	.C(\soc_top_timer0_reg2hw[timer_v_lower0][q] [17]),
+	.D(\soc_top_timer0_reg2hw[timer_v_lower0][q] [19]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_9 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3298  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [9]),
+	.B(\soc_top_timer0_reg2hw[timer_v_upper0][q] [8]),
+	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [10]),
+	.D(\soc_top_timer0_reg2hw[timer_v_upper0][q] [11]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_8 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3299  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [0]),
+	.B(\soc_top_timer0_reg2hw[timer_v_upper0][q] [2]),
+	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [1]),
+	.D(\soc_top_timer0_reg2hw[timer_v_upper0][q] [3]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_7 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3300  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [17]),
+	.B(\soc_top_timer0_reg2hw[timer_v_upper0][q] [16]),
+	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [18]),
+	.D(\soc_top_timer0_reg2hw[timer_v_upper0][q] [19]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_6 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3301  (
+	.A_N(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_0 ),
+	.B(\soc_top_timer0_reg2hw[timer_v_upper0][q] [26]),
+	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [27]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_5 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3302  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [10]),
+	.B(\soc_top_timer0_reg2hw[timer_v_lower0][q] [11]),
+	.C(\soc_top_timer0_reg2hw[timer_v_lower0][q] [8]),
+	.D(\soc_top_timer0_reg2hw[timer_v_lower0][q] [9]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_4 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3303  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [13]),
+	.B(\soc_top_timer0_reg2hw[timer_v_upper0][q] [12]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_3 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3304  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [21]),
+	.B(\soc_top_timer0_reg2hw[timer_v_upper0][q] [20]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_2 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3305  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [5]),
+	.B(\soc_top_timer0_reg2hw[timer_v_upper0][q] [4]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_1 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3306  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [25]),
+	.B(\soc_top_timer0_reg2hw[timer_v_upper0][q] [24]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_0 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41o_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4617  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_195 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_191 ),
+	.A3(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_107 ),
+	.A4(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_85 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_190 ),
+	.X(\soc_top_timer0_gen_harts[0].u_core_n_81 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4618  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_174 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_186 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_175 ),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_151 ),
+	.C1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_194 ),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_195 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o41ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4619  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_91 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_112 ),
+	.A3(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_148 ),
+	.A4(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_193 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_178 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_194 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o311ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4620  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_144 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_165 ),
+	.A3(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_192 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_75 ),
+	.C1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_174 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_193 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4621  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_76 ),
+	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_70 ),
+	.C(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_106 ),
+	.D(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_189 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_192 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4622  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_81 ),
+	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_152 ),
+	.C(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_172 ),
+	.D(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_188 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_191 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4623  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_184 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_173 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_187 ),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_188 ),
+	.C1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_183 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_190 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4624  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_185 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_109 ),
+	.A3(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_67 ),
+	.A4(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_80 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_177 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_189 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4625  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_181 ),
+	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_150 ),
+	.C(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_86 ),
+	.D(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_130 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_188 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4626  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_147 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_169 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_182 ),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_172 ),
+	.C1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_176 ),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_187 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4627  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_148 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_179 ),
+	.B1(\soc_top_timer0_reg2hw[compare_lower0_0][q] [22]),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_325 ),
+	.C1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_171 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_186 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o311ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4628  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_99 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_108 ),
+	.A3(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_166 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_138 ),
+	.C1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_170 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_185 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4629  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_95 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_103 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_150 ),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_167 ),
+	.C1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_161 ),
+	.C2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_110 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_184 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4630  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_65 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_101 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_149 ),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_168 ),
+	.C1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_155 ),
+	.C2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_116 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_183 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4631  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_102 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_83 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_156 ),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_129 ),
+	.C1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_180 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_182 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4632  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_37 ),
+	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [16]),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_173 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_181 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4633  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_163 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_133 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_152 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_180 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4634  (
+	.A1(\soc_top_timer0_reg2hw[compare_lower0_0][q] [18]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_125 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_112 ),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_158 ),
+	.C1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_82 ),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_179 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4635  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_157 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_115 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_40 ),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [31]),
+	.C1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_141 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_178 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4636  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_154 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_109 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_14 ),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [11]),
+	.C1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_139 ),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_177 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4637  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_153 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_114 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_30 ),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [15]),
+	.C1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_135 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_176 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4638  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_145 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_113 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_48 ),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [27]),
+	.C1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_134 ),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_175 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4639  (
+	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [24]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_41 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_94 ),
+	.C1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_113 ),
+	.D1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_151 ),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_174 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4640  (
+	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [24]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_46 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_90 ),
+	.C1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_131 ),
+	.D1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_149 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_173 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4641  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_60 ),
+	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_66 ),
+	.C(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_111 ),
+	.D(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_147 ),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_172 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4642  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_160 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_132 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_31 ),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [23]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_171 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4643  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_34 ),
+	.A2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [7]),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_162 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_170 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4644  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_104 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_98 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_164 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_169 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4645  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_146 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_131 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_100 ),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_74 ),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_168 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4646  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_159 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_130 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_105 ),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_84 ),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_167 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o311ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4647  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_79 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_56 ),
+	.A3(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_143 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_54 ),
+	.C1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_55 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_166 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4648  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_140 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_59 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_106 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_165 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4649  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_142 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_77 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_111 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_164 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4650  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_57 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_136 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_107 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_163 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4651  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_137 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_52 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_108 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_162 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4652  (
+	.A1(\soc_top_timer0_reg2hw[compare_upper0_0][q] [20]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_119 ),
+	.B1(\soc_top_timer0_reg2hw[compare_upper0_0][q] [21]),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_23 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_161 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4653  (
+	.A1(\soc_top_timer0_reg2hw[compare_lower0_0][q] [20]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_126 ),
+	.B1(\soc_top_timer0_reg2hw[compare_lower0_0][q] [21]),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_45 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_160 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4654  (
+	.A1(\soc_top_timer0_reg2hw[compare_upper0_0][q] [16]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_128 ),
+	.B1(\soc_top_timer0_reg2hw[compare_upper0_0][q] [17]),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_29 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_159 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4655  (
+	.A1_N(\soc_top_timer0_reg2hw[compare_lower0_0][q] [16]),
+	.A2_N(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_124 ),
+	.B1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [17]),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_9 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_158 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4656  (
+	.A1(\soc_top_timer0_reg2hw[compare_lower0_0][q] [28]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_123 ),
+	.B1(\soc_top_timer0_reg2hw[compare_lower0_0][q] [29]),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_22 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_157 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4657  (
+	.A1(\soc_top_timer0_reg2hw[compare_upper0_0][q] [4]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_121 ),
+	.B1(\soc_top_timer0_reg2hw[compare_upper0_0][q] [5]),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_6 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_156 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4658  (
+	.A1(\soc_top_timer0_reg2hw[compare_upper0_0][q] [28]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_120 ),
+	.B1(\soc_top_timer0_reg2hw[compare_upper0_0][q] [29]),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_33 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_155 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4659  (
+	.A1(\soc_top_timer0_reg2hw[compare_lower0_0][q] [8]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_127 ),
+	.B1(\soc_top_timer0_reg2hw[compare_lower0_0][q] [9]),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_17 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_154 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4660  (
+	.A1(\soc_top_timer0_reg2hw[compare_upper0_0][q] [12]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_117 ),
+	.B1(\soc_top_timer0_reg2hw[compare_upper0_0][q] [13]),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_20 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_153 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4661  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_90 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_46 ),
+	.A3(\soc_top_timer0_reg2hw[timer_v_upper0][q] [24]),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_25 ),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [25]),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_146 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4662  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_94 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_41 ),
+	.A3(\soc_top_timer0_reg2hw[timer_v_lower0][q] [24]),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_24 ),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [25]),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_145 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4663  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [15]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_50 ),
+	.C(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_4 ),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_144 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4664  (
+	.A1(\soc_top_timer0_reg2hw[compare_lower0_0][q] [1]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_1 ),
+	.B1(\soc_top_timer0_reg2hw[compare_lower0_0][q] [2]),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_10 ),
+	.C1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_118 ),
+	.C2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [0]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_143 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4665  (
+	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [4]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_26 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_87 ),
+	.C1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_129 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_152 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4666  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_115 ),
+	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_68 ),
+	.C(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_53 ),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_151 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4667  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_110 ),
+	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_69 ),
+	.C(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_51 ),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_150 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4668  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_116 ),
+	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_71 ),
+	.C(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_61 ),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_149 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4669  (
+	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [20]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_16 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_97 ),
+	.C1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_132 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_148 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4670  (
+	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [12]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_19 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_93 ),
+	.C1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_114 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_147 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4671  (
+	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [8]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_66 ),
+	.C_N(\soc_top_timer0_reg2hw[timer_v_upper0][q] [8]),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_142 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4672  (
+	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [30]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_72 ),
+	.C_N(\soc_top_timer0_reg2hw[timer_v_lower0][q] [30]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_141 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4673  (
+	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [12]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_70 ),
+	.C_N(\soc_top_timer0_reg2hw[timer_v_lower0][q] [12]),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_140 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4674  (
+	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [10]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_73 ),
+	.C_N(\soc_top_timer0_reg2hw[timer_v_lower0][q] [10]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_139 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4675  (
+	.A_N(\soc_top_timer0_reg2hw[compare_lower0_0][q] [6]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_63 ),
+	.C(\soc_top_timer0_reg2hw[timer_v_lower0][q] [6]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_138 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4676  (
+	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [4]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_99 ),
+	.C_N(\soc_top_timer0_reg2hw[timer_v_lower0][q] [4]),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_137 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4677  (
+	.A_N(\soc_top_timer0_reg2hw[compare_upper0_0][q] [0]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_85 ),
+	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [0]),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_136 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4678  (
+	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [14]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_64 ),
+	.C_N(\soc_top_timer0_reg2hw[timer_v_upper0][q] [14]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_135 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4679  (
+	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [26]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_62 ),
+	.C_N(\soc_top_timer0_reg2hw[timer_v_lower0][q] [26]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_134 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4680  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_58 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_78 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_92 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_133 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4681  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_86 ),
+	.B(\soc_top_timer0_reg2hw[timer_v_upper0][q] [16]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_128 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4682  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_67 ),
+	.B(\soc_top_timer0_reg2hw[timer_v_lower0][q] [8]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_127 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4683  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_97 ),
+	.B(\soc_top_timer0_reg2hw[timer_v_lower0][q] [20]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_126 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4684  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_96 ),
+	.B(\soc_top_timer0_reg2hw[timer_v_lower0][q] [18]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_125 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4685  (
+	.A_N(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_91 ),
+	.B(\soc_top_timer0_reg2hw[timer_v_lower0][q] [16]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_124 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4686  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_68 ),
+	.B(\soc_top_timer0_reg2hw[timer_v_lower0][q] [28]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_123 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4688  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_87 ),
+	.B(\soc_top_timer0_reg2hw[timer_v_upper0][q] [4]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_121 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4689  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_71 ),
+	.B(\soc_top_timer0_reg2hw[timer_v_upper0][q] [28]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_120 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4690  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_69 ),
+	.B(\soc_top_timer0_reg2hw[timer_v_upper0][q] [20]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_119 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4691  (
+	.A1(\soc_top_timer0_reg2hw[compare_lower0_0][q] [1]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_1 ),
+	.B1_N(\soc_top_timer0_reg2hw[timer_v_lower0][q] [0]),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_118 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4692  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_93 ),
+	.B(\soc_top_timer0_reg2hw[timer_v_upper0][q] [12]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_117 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4693  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_32 ),
+	.A2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [22]),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_88 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_132 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4694  (
+	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [26]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_5 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_74 ),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_131 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4695  (
+	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [18]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_39 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_84 ),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_130 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4696  (
+	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [6]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_3 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_83 ),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_129 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4697  (
+	.A1_N(\soc_top_timer0_reg2hw[timer_v_upper0][q] [18]),
+	.A2_N(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_39 ),
+	.B1(\soc_top_timer0_reg2hw[compare_upper0_0][q] [19]),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_21 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_105 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4698  (
+	.A1(\soc_top_timer0_reg2hw[compare_upper0_0][q] [10]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_15 ),
+	.B1(\soc_top_timer0_reg2hw[compare_upper0_0][q] [11]),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_36 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_104 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4699  (
+	.A1(\soc_top_timer0_reg2hw[compare_upper0_0][q] [22]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_11 ),
+	.B1(\soc_top_timer0_reg2hw[compare_upper0_0][q] [23]),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_49 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_103 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4700  (
+	.A1_N(\soc_top_timer0_reg2hw[timer_v_upper0][q] [6]),
+	.A2_N(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_3 ),
+	.B1(\soc_top_timer0_reg2hw[compare_upper0_0][q] [7]),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_18 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_102 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4701  (
+	.A1(\soc_top_timer0_reg2hw[compare_upper0_0][q] [30]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_7 ),
+	.B1(\soc_top_timer0_reg2hw[compare_upper0_0][q] [31]),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_47 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_101 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4702  (
+	.A1_N(\soc_top_timer0_reg2hw[timer_v_upper0][q] [26]),
+	.A2_N(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_5 ),
+	.B1(\soc_top_timer0_reg2hw[compare_upper0_0][q] [27]),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_38 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_100 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4703  (
+	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [30]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_8 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_65 ),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_116 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4704  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_12 ),
+	.A2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [30]),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_72 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_115 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4705  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_28 ),
+	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [14]),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_64 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_114 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4706  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_43 ),
+	.A2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [26]),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_62 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_113 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4707  (
+	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [18]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_2 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_96 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_112 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4708  (
+	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [10]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_35 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_98 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_111 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4709  (
+	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [22]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_13 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_95 ),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_110 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4710  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_27 ),
+	.A2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [10]),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_73 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_109 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4711  (
+	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [6]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_44 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_63 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_108 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4712  (
+	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [2]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_42 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_92 ),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_107 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4713  (
+	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [14]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_0 ),
+	.B1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [15]),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_4 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_106 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4715  (
+	.A_N(\soc_top_timer0_reg2hw[compare_lower0_0][q] [19]),
+	.B(\soc_top_timer0_reg2hw[timer_v_lower0][q] [19]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_82 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4716  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [0]),
+	.B_N(\soc_top_timer0_reg2hw[compare_upper0_0][q] [0]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_81 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4717  (
+	.A_N(\soc_top_timer0_reg2hw[timer_v_lower0][q] [8]),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [8]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_80 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4718  (
+	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [2]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_10 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_79 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4719  (
+	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [2]),
+	.B_N(\soc_top_timer0_reg2hw[timer_v_upper0][q] [2]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_78 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4720  (
+	.A_N(\soc_top_timer0_reg2hw[compare_upper0_0][q] [9]),
+	.B(\soc_top_timer0_reg2hw[timer_v_upper0][q] [9]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_77 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4721  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [12]),
+	.B_N(\soc_top_timer0_reg2hw[compare_lower0_0][q] [12]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_76 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4722  (
+	.A_N(\soc_top_timer0_reg2hw[timer_v_lower0][q] [16]),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [16]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_75 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4723  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [5]),
+	.B_N(\soc_top_timer0_reg2hw[compare_lower0_0][q] [5]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_99 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4724  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_36 ),
+	.B(\soc_top_timer0_reg2hw[compare_upper0_0][q] [11]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_98 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4725  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_45 ),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [21]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_97 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4726  (
+	.A_N(\soc_top_timer0_reg2hw[timer_v_lower0][q] [19]),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [19]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_96 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4727  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_49 ),
+	.B(\soc_top_timer0_reg2hw[compare_upper0_0][q] [23]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_95 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4728  (
+	.A_N(\soc_top_timer0_reg2hw[timer_v_lower0][q] [25]),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [25]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_94 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4729  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_20 ),
+	.B(\soc_top_timer0_reg2hw[compare_upper0_0][q] [13]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_93 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4730  (
+	.A_N(\soc_top_timer0_reg2hw[timer_v_upper0][q] [3]),
+	.B(\soc_top_timer0_reg2hw[compare_upper0_0][q] [3]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_92 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4731  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [17]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_9 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_91 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4732  (
+	.A_N(\soc_top_timer0_reg2hw[timer_v_upper0][q] [25]),
+	.B(\soc_top_timer0_reg2hw[compare_upper0_0][q] [25]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_90 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4733  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [23]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_31 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_88 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4734  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_6 ),
+	.B(\soc_top_timer0_reg2hw[compare_upper0_0][q] [5]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_87 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4735  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_29 ),
+	.B(\soc_top_timer0_reg2hw[compare_upper0_0][q] [17]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_86 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4736  (
+	.A_N(\soc_top_timer0_reg2hw[timer_v_upper0][q] [1]),
+	.B(\soc_top_timer0_reg2hw[compare_upper0_0][q] [1]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_85 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4737  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_21 ),
+	.B(\soc_top_timer0_reg2hw[compare_upper0_0][q] [19]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_84 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4738  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_18 ),
+	.B(\soc_top_timer0_reg2hw[compare_upper0_0][q] [7]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_83 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4739  (
+	.A_N(\soc_top_timer0_reg2hw[timer_v_upper0][q] [28]),
+	.B(\soc_top_timer0_reg2hw[compare_upper0_0][q] [28]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_61 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4740  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [8]),
+	.B_N(\soc_top_timer0_reg2hw[compare_upper0_0][q] [8]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_60 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4741  (
+	.A_N(\soc_top_timer0_reg2hw[compare_lower0_0][q] [13]),
+	.B(\soc_top_timer0_reg2hw[timer_v_lower0][q] [13]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_59 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4742  (
+	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [3]),
+	.B_N(\soc_top_timer0_reg2hw[timer_v_upper0][q] [3]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_58 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4743  (
+	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [1]),
+	.B_N(\soc_top_timer0_reg2hw[timer_v_upper0][q] [1]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_57 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4744  (
+	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [3]),
+	.B_N(\soc_top_timer0_reg2hw[timer_v_lower0][q] [3]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_56 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4745  (
+	.A_N(\soc_top_timer0_reg2hw[timer_v_lower0][q] [3]),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [3]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_55 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4746  (
+	.A_N(\soc_top_timer0_reg2hw[timer_v_lower0][q] [4]),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [4]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_54 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4747  (
+	.A_N(\soc_top_timer0_reg2hw[timer_v_lower0][q] [28]),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [28]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_53 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4748  (
+	.A_N(\soc_top_timer0_reg2hw[compare_lower0_0][q] [5]),
+	.B(\soc_top_timer0_reg2hw[timer_v_lower0][q] [5]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_52 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4749  (
+	.A_N(\soc_top_timer0_reg2hw[timer_v_upper0][q] [20]),
+	.B(\soc_top_timer0_reg2hw[compare_upper0_0][q] [20]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_51 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4750  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_38 ),
+	.B(\soc_top_timer0_reg2hw[compare_upper0_0][q] [27]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_74 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4751  (
+	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [14]),
+	.B_N(\soc_top_timer0_reg2hw[timer_v_lower0][q] [14]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_50 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4752  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [11]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_14 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_73 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4753  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [31]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_40 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_72 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4754  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_33 ),
+	.B(\soc_top_timer0_reg2hw[compare_upper0_0][q] [29]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_71 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4755  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [13]),
+	.B_N(\soc_top_timer0_reg2hw[compare_lower0_0][q] [13]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_70 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4756  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_23 ),
+	.B(\soc_top_timer0_reg2hw[compare_upper0_0][q] [21]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_69 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4757  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_22 ),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [29]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_68 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4758  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_17 ),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [9]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_67 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4759  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [9]),
+	.B_N(\soc_top_timer0_reg2hw[compare_upper0_0][q] [9]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_66 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4760  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_47 ),
+	.B(\soc_top_timer0_reg2hw[compare_upper0_0][q] [31]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_65 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4761  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [15]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_30 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_64 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4762  (
+	.A_N(\soc_top_timer0_reg2hw[timer_v_lower0][q] [7]),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [7]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_63 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4763  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [27]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_48 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_62 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4764  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [23]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_49 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4765  (
+	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [27]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_48 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4766  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [31]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_47 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4767  (
+	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [24]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_46 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4768  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [21]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_45 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4769  (
+	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [6]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_44 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4770  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [26]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_43 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4771  (
+	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [2]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_42 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4772  (
+	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [24]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_41 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4773  (
+	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [31]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_40 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4774  (
+	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [18]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_39 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4775  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [27]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_38 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4776  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [16]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_37 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4777  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [11]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_36 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4778  (
+	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [10]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_35 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4779  (
+	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [7]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_34 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4780  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [29]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_33 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4781  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [22]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_32 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4782  (
+	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [23]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_31 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4783  (
+	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [15]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_30 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4784  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [17]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_29 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4785  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [14]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_28 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4786  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [10]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_27 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4787  (
+	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [4]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_26 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4788  (
+	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [25]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_25 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4789  (
+	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [25]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_24 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4790  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [21]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_23 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4791  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [29]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_22 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4794  (
+	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [12]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_19 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4797  (
+	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [20]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_16 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4799  (
+	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [11]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_14 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4800  (
+	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [22]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_13 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4804  (
+	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [17]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_9 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4805  (
+	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [30]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_8 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4808  (
+	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [26]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_5 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4809  (
+	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [15]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_4 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4810  (
+	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [6]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_3 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4811  (
+	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [18]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_2 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4813  (
+	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [14]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_0 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g2  (
+	.A_N(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_88 ),
+	.B(\soc_top_timer0_reg2hw[timer_v_lower0][q] [22]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_325 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1108 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_48),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_149),
+	.Y(n_31641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1109 (
+	.A(n_29896),
+	.B(n_31672),
+	.CIN(soc_top_u_top_u_core_ex_block_i_add_93_45_n_147),
+	.COUT(soc_top_u_top_u_core_ex_block_i_add_93_45_n_149),
+	.SUM(n_31640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1110 (
+	.A(n_29896),
+	.B(n_31671),
+	.CI(soc_top_u_top_u_core_ex_block_i_add_93_45_n_145),
+	.COUT(soc_top_u_top_u_core_ex_block_i_add_93_45_n_147),
+	.SUM(n_31639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1111 (
+	.A(n_29896),
+	.B(n_31670),
+	.CIN(soc_top_u_top_u_core_ex_block_i_add_93_45_n_143),
+	.COUT(soc_top_u_top_u_core_ex_block_i_add_93_45_n_145),
+	.SUM(n_31638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1112 (
+	.A(n_29896),
+	.B(n_31669),
+	.CI(soc_top_u_top_u_core_ex_block_i_add_93_45_n_141),
+	.COUT(soc_top_u_top_u_core_ex_block_i_add_93_45_n_143),
+	.SUM(n_31637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1113 (
+	.A(n_29896),
+	.B(n_31668),
+	.CI(soc_top_u_top_u_core_ex_block_i_add_93_45_n_139),
+	.COUT(soc_top_u_top_u_core_ex_block_i_add_93_45_n_141),
+	.SUM(n_31636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1114 (
+	.A(n_29896),
+	.B(n_31667),
+	.CI(soc_top_u_top_u_core_ex_block_i_add_93_45_n_137),
+	.COUT(soc_top_u_top_u_core_ex_block_i_add_93_45_n_139),
+	.SUM(n_31635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1115 (
+	.A(n_29896),
+	.B(n_31666),
+	.CI(soc_top_u_top_u_core_ex_block_i_add_93_45_n_135),
+	.COUT(soc_top_u_top_u_core_ex_block_i_add_93_45_n_137),
+	.SUM(n_31634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1116 (
+	.A(n_29896),
+	.B(n_31665),
+	.CI(soc_top_u_top_u_core_ex_block_i_add_93_45_n_133),
+	.COUT(soc_top_u_top_u_core_ex_block_i_add_93_45_n_135),
+	.SUM(n_31633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1117 (
+	.A(n_29896),
+	.B(n_31664),
+	.CI(soc_top_u_top_u_core_ex_block_i_add_93_45_n_132),
+	.COUT(soc_top_u_top_u_core_ex_block_i_add_93_45_n_133),
+	.SUM(n_31632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 soc_top_u_top_u_core_ex_block_i_add_93_45_g1118 (
+	.A1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_11),
+	.A2(soc_top_u_top_u_core_ex_block_i_add_93_45_n_130),
+	.B1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_15),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1119 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_56),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_129),
+	.Y(n_31631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1120 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_129),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 soc_top_u_top_u_core_ex_block_i_add_93_45_g1121 (
+	.A1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_12),
+	.A2(soc_top_u_top_u_core_ex_block_i_add_93_45_n_127),
+	.B1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_35),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1122 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_54),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_126),
+	.Y(n_31630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1123 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_126),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 soc_top_u_top_u_core_ex_block_i_add_93_45_g1124 (
+	.A1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_46),
+	.A2(soc_top_u_top_u_core_ex_block_i_add_93_45_n_124),
+	.B1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_14),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1125 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_59),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_123),
+	.Y(n_31629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1126 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_123),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 soc_top_u_top_u_core_ex_block_i_add_93_45_g1127 (
+	.A1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_16),
+	.A2(soc_top_u_top_u_core_ex_block_i_add_93_45_n_121),
+	.B1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_20),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1128 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_52),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_120),
+	.Y(n_31628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1129 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_120),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 soc_top_u_top_u_core_ex_block_i_add_93_45_g1130 (
+	.A1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_33),
+	.A2(soc_top_u_top_u_core_ex_block_i_add_93_45_n_118),
+	.B1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_21),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1131 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_50),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_117),
+	.Y(n_31627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1132 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_117),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 soc_top_u_top_u_core_ex_block_i_add_93_45_g1133 (
+	.A1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_39),
+	.A2(soc_top_u_top_u_core_ex_block_i_add_93_45_n_115),
+	.B1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_32),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1134 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_55),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_114),
+	.Y(n_31626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1135 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_114),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 soc_top_u_top_u_core_ex_block_i_add_93_45_g1136 (
+	.A1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_45),
+	.A2(soc_top_u_top_u_core_ex_block_i_add_93_45_n_112),
+	.B1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_13),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1137 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_51),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_111),
+	.Y(n_31625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1138 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_111),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 soc_top_u_top_u_core_ex_block_i_add_93_45_g1139 (
+	.A1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_43),
+	.A2(soc_top_u_top_u_core_ex_block_i_add_93_45_n_109),
+	.B1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_41),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1140 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_64),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_108),
+	.Y(n_31624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1141 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_108),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1142 (
+	.A1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_17),
+	.A2(soc_top_u_top_u_core_ex_block_i_add_93_45_n_106),
+	.B1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_18),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1143 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_49),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_212),
+	.Y(n_31623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1144 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_212),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1149 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_69),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_99),
+	.Y(n_31621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1150 (
+	.A1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_4),
+	.A2(soc_top_u_top_u_core_ex_block_i_add_93_45_n_96),
+	.B1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_6),
+	.X(soc_top_u_top_u_core_ex_block_i_add_93_45_n_100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1151 (
+	.A1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_4),
+	.A2(soc_top_u_top_u_core_ex_block_i_add_93_45_n_94),
+	.B1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_6),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_99), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1152 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_58),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_94),
+	.Y(n_31620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1153 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_68),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_95),
+	.Y(n_31619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1154 (
+	.A1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_92),
+	.A2(soc_top_u_top_u_core_ex_block_i_add_93_45_n_26),
+	.B1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_72),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_96), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1155 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_add_93_45_n_92),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_5),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_95), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1156 (
+	.A1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_215),
+	.A2(soc_top_u_top_u_core_ex_block_i_add_93_45_n_26),
+	.A3(soc_top_u_top_u_core_ex_block_i_add_93_45_n_7),
+	.B1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_72),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_94), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1157 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_216),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_63),
+	.Y(n_31618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 soc_top_u_top_u_core_ex_block_i_add_93_45_g1158 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_215),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_7),
+	.X(soc_top_u_top_u_core_ex_block_i_add_93_45_n_92), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1160 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_60),
+	.B(n_72608),
+	.Y(n_31617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1161 (
+	.A(n_72608),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_89), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1162 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_53),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_85),
+	.Y(n_31615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 soc_top_u_top_u_core_ex_block_i_add_93_45_g1165 (
+	.A1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_27),
+	.A2(soc_top_u_top_u_core_ex_block_i_add_93_45_n_81),
+	.B1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_29),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_85), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1168 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_62),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_80),
+	.Y(n_31614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1169 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_80),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_81), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 soc_top_u_top_u_core_ex_block_i_add_93_45_g1170 (
+	.A1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_31),
+	.A2(soc_top_u_top_u_core_ex_block_i_add_93_45_n_78),
+	.B1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_47),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_80), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1171 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_67),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_77),
+	.Y(n_31613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1172 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_77),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_78), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 soc_top_u_top_u_core_ex_block_i_add_93_45_g1173 (
+	.A1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_24),
+	.A2(soc_top_u_top_u_core_ex_block_i_add_93_45_n_75),
+	.B1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_30),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_77), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1174 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_66),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_71),
+	.Y(n_31612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1175 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_71),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_75), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1176 (
+	.A(n_76337),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_207),
+	.Y(n_31611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1177 (
+	.A1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_29),
+	.A2(soc_top_u_top_u_core_ex_block_i_add_93_45_n_28),
+	.B1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_19),
+	.X(soc_top_u_top_u_core_ex_block_i_add_93_45_n_73), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1178 (
+	.A1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_5),
+	.A2(soc_top_u_top_u_core_ex_block_i_add_93_45_n_25),
+	.B1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_10),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_72), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_add_93_45_g1179 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_70),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_36),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_71), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_add_93_45_g1180 (
+	.A(n_72197),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_3),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_70), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1181 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_add_93_45_n_9),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_23),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_69), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1182 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_26),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_10),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_68), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1183 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_add_93_45_n_31),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_47),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_67), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1184 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_add_93_45_n_24),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_30),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_66), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1186 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_add_93_45_n_43),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_41),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_64), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1187 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_7),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_5),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_63), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1188 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_add_93_45_n_27),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_29),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_62), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1190 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_add_93_45_n_40),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_34),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_60), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1191 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_add_93_45_n_46),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_14),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_59), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1192 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_4),
+	.B_N(soc_top_u_top_u_core_ex_block_i_add_93_45_n_6),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_58), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1194 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_add_93_45_n_11),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_15),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_56), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1195 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_add_93_45_n_39),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_32),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_55), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1196 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_add_93_45_n_12),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_35),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_54), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1197 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_add_93_45_n_28),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_19),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_53), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1198 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_add_93_45_n_16),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_20),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_52), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1199 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_add_93_45_n_45),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_13),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_51), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1200 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_add_93_45_n_33),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_21),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_50), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1201 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_add_93_45_n_17),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_18),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_49), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1202 (
+	.A(n_29896),
+	.B(n_31673),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_48), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_add_93_45_g1204 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_25),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_26), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1205 (
+	.A(n_31645),
+	.B(n_29879),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_47), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1206 (
+	.A(n_29895),
+	.B(n_31661),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_46), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1207 (
+	.A(n_29891),
+	.B(n_31657),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_45), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1209 (
+	.A(n_29890),
+	.B(n_31656),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_43), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1211 (
+	.A(n_31656),
+	.B(n_29890),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_41), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1212 (
+	.A(n_29883),
+	.B(n_31649),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_40), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1213 (
+	.A(n_29892),
+	.B(n_31658),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_39), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1215 (
+	.A(n_76203),
+	.B(n_29876),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_36), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1216 (
+	.A(n_31662),
+	.B(n_29896),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_35), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1217 (
+	.A(n_31649),
+	.B(n_29883),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_34), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1218 (
+	.A(n_29893),
+	.B(n_31659),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_33), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1219 (
+	.A(n_31658),
+	.B(n_29892),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_32), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1220 (
+	.A(n_29879),
+	.B(n_31645),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_31), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1221 (
+	.A(n_31644),
+	.B(n_29877),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_30), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1222 (
+	.A(n_31646),
+	.B(n_29880),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_29), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1223 (
+	.A(n_29881),
+	.B(n_31647),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_28), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1224 (
+	.A(n_29880),
+	.B(n_31646),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_27), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1225 (
+	.A(n_29885),
+	.B(n_31651),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_25), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1226 (
+	.A(n_76337),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_3), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1227 (
+	.A(n_29877),
+	.B(n_31644),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_24), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1228 (
+	.A(n_31653),
+	.B(n_29887),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_23), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1230 (
+	.A(n_31659),
+	.B(n_29893),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_21), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1231 (
+	.A(n_31660),
+	.B(n_29894),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_20), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1232 (
+	.A(n_31647),
+	.B(n_29881),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_19), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1233 (
+	.A(n_31655),
+	.B(n_29889),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_18), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1234 (
+	.A(n_29889),
+	.B(n_31655),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_17), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1235 (
+	.A(n_29894),
+	.B(n_31660),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_16), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1236 (
+	.A(n_31663),
+	.B(n_29896),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_15), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1237 (
+	.A(n_31661),
+	.B(n_29895),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_14), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1238 (
+	.A(n_31657),
+	.B(n_29891),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_13), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1239 (
+	.A(n_29896),
+	.B(n_31662),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_12), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1240 (
+	.A(n_29896),
+	.B(n_31663),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_11), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1241 (
+	.A(n_31651),
+	.B(n_29885),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_10), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1242 (
+	.A(n_29887),
+	.B(n_31653),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_9), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 soc_top_u_top_u_core_ex_block_i_add_93_45_g1244 (
+	.A(n_29884),
+	.B(n_31650),
+	.X(soc_top_u_top_u_core_ex_block_i_add_93_45_n_7), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1245 (
+	.A(n_31652),
+	.B(n_29886),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_6), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1246 (
+	.A(n_31650),
+	.B(n_29884),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_5), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1247 (
+	.A(n_29886),
+	.B(n_31652),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_4), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2 (
+	.A1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_9),
+	.A2(soc_top_u_top_u_core_ex_block_i_add_93_45_n_100),
+	.B1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_23),
+	.X(soc_top_u_top_u_core_ex_block_i_add_93_45_n_205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1252 (
+	.A(n_72197),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_36),
+	.X(soc_top_u_top_u_core_ex_block_i_add_93_45_n_207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fahcin_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1256 (
+	.A(n_29888),
+	.B(n_31654),
+	.CIN(soc_top_u_top_u_core_ex_block_i_add_93_45_n_205),
+	.COUT(soc_top_u_top_u_core_ex_block_i_add_93_45_n_212),
+	.SUM(n_31622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 soc_top_u_top_u_core_ex_block_i_add_93_45_g1258 (
+	.A1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_40),
+	.A2(soc_top_u_top_u_core_ex_block_i_add_93_45_n_89),
+	.B1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_34),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g1159_0 (
+	.A1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_40),
+	.A2(soc_top_u_top_u_core_ex_block_i_add_93_45_n_89),
+	.B1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_34),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_4 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1487 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_136),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_333),
+	.Y(\soc_top_lsu_to_xbar[a_address] [26]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1489 (
+	.A(n_76338),
+	.B(n_74184),
+	.Y(\soc_top_lsu_to_xbar[a_address] [30]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_4 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1490 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_134),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_315),
+	.Y(\soc_top_lsu_to_xbar[a_address] [23]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1492 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_105),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_329),
+	.Y(\soc_top_lsu_to_xbar[a_address] [21]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1494 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_131),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_452),
+	.Y(\soc_top_lsu_to_xbar[a_address] [19]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1500 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_92),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_335),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_89),
+	.Y(n_29934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_4 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1501 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_132),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_331),
+	.Y(\soc_top_lsu_to_xbar[a_address] [20]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1502 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_343),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_125),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1503 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_338),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_130),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1504 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_130),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_339),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1507 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_125),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_342),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1512 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_342),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1513 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_338),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1515 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_328),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1517 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_305),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_264),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1519 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_309),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_462),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1521 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_304),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_256),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1522 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_308),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_266),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1523 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_313),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_272),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1525 (
+	.A1(n_74180),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_146),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_173),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1526 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_307),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_79),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1527 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_265),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_301),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1528 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_303),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_267),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1530 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_104),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_293),
+	.Y(\soc_top_lsu_to_xbar[a_address] [13]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1532 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_133),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_294),
+	.Y(\soc_top_lsu_to_xbar[a_address] [9]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1534 (
+	.A1_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_129),
+	.A2_N(n_74180),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_129),
+	.B2(n_74180),
+	.Y(soc_top_u_top_u_core_alu_adder_result_ex[15]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1535 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_108),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_295),
+	.Y(soc_top_u_top_u_core_alu_adder_result_ex[14]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1537 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_106),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_296),
+	.Y(\soc_top_lsu_to_xbar[a_address] [12]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1539 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_311),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_250),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1540 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_300),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_270),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1541 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_299),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_234),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1542 (
+	.A(n_74180),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_240),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1543 (
+	.A(n_74180),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_189),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1544 (
+	.A(n_74180),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_205),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1546 (
+	.A(n_74180),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_189),
+	.C(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_18),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1547 (
+	.A(n_74180),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_241),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1548 (
+	.A(n_74180),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_29),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1549 (
+	.A(n_74180),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_205),
+	.C(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_195),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1550 (
+	.A(n_74180),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_189),
+	.C(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_114),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1551 (
+	.A(n_74180),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_208),
+	.C(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_205),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1553 (
+	.A(n_74180),
+	.B(n_84832),
+	.C(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_189),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1554 (
+	.A(n_74180),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_237),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1555 (
+	.A(n_74180),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_205),
+	.C(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_185),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1556 (
+	.A(n_74180),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_182),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1557 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_280),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_259),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1558 (
+	.A1(n_71412),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_202),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_244),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1559 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_286),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_172),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1560 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_285),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_65),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1561 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_281),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_254),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1564 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_155),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_488),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_283),
+	.Y(\soc_top_xbar_to_timer[a_address] [6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1567 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_284),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_227),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1568 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_279),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_232),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1569 (
+	.A(n_71412),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_115),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1570 (
+	.A(n_71412),
+	.B(n_86792),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1571 (
+	.A(n_71412),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_187),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1572 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_488),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_155),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1573 (
+	.A(n_71412),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_211),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1574 (
+	.A(n_71412),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_187),
+	.C(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_144),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1575 (
+	.A(n_71412),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_187),
+	.C(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_24),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1576 (
+	.A(n_71412),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_115),
+	.C(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_61),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1577 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_34),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_262),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_27),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1578 (
+	.A1(n_85984),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_117),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_169),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1581 (
+	.A1_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_157),
+	.A2_N(n_85984),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_157),
+	.B2(n_85984),
+	.Y(\soc_top_xbar_to_timer[a_address] [3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1583 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_251),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_112),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_175),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1585 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_251),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_185),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_224),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1586 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_207),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_251),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_249),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1588 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_251),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_208),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_248),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1589 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_251),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_215),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_257),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1590 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_250),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_209),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_246),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1591 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_251),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_195),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_236),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1592 (
+	.A(n_85984),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1594 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_253),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_44),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_4 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1595 (
+	.A1_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_124),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_229),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_124),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_229),
+	.X(soc_top_u_top_u_core_alu_adder_result_ex[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1596 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_228),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_24),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_71),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1598 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_23),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_223),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_32),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1599 (
+	.A1(n_84833),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_114),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_178),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1601 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_228),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_144),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_497),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1602 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_229),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_41),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1603 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_247),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1604 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_251),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1605 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_198),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_223),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_458),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1606 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_197),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_223),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_222),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1607 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_243),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_231),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1608 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_224),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_143),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_176),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1610 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_200),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_227),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_233),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1611 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_242),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_220),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1612 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_228),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_194),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1613 (
+	.A(n_84833),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_196),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1614 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_205),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_215),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1615 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_205),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_112),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1618 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_206),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_209),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1619 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_62),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_174),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_28),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1621 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_173),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_19),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_48),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1622 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_497),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_59),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_73),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1623 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_60),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_172),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_68),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1624 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_218),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1626 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_228),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1628 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_224),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1629 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_176),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_120),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_162),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1631 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_153),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_178),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_184),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1633 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_168),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_214),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1634 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_204),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_37),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1635 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_210),
+	.B(n_76344),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1636 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_203),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_52),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1637 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_212),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_167),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1639 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_128),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_175),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_166),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1640 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_497),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_154),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1642 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_461),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_171),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1643 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_188),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_193),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1644 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_148),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_169),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1645 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_23),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_186),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1646 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_206),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1647 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_169),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_20),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1648 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_96),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_201),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1649 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_188),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_200),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1650 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_185),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_143),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1651 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_186),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_197),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1652 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_198),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_186),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1653 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_196),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_189),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1654 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_15),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1655 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_193),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1659 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_188),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1660 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_186),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1661 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_42),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_80),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_53),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1662 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_116),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_147),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1663 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_146),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_19),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1665 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_144),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_59),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1667 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_143),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_17),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1668 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_143),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_120),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1669 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_152),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_459),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1670 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_62),
+	.B_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_112),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1671 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_144),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_154),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1672 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_145),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_126),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1673 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_461),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_115),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1674 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_128),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_112),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1677 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_178),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1678 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_175),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1679 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_171),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1680 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_73),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_82),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_100),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1681 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_68),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_49),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_99),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1682 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_28),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_87),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_90),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1685 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_69),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_48),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_93),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1686 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_75),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_77),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_95),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1687 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_40),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_67),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_94),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1688 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_32),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_86),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_56),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1689 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_35),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_38),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_91),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1690 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_109),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_55),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1691 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_460),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_51),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1693 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_110),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_97),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1694 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_140),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1696 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_152),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1697 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_147),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1698 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_145),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1701 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_47),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_41),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1703 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_77),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_95),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1704 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_20),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_37),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1705 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_49),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_99),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1706 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_87),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_90),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1707 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_84),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_97),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1708 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_93),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_69),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1709 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_34),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_27),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1711 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_30),
+	.B_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_57),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1712 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_58),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_83),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1713 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_81),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_21),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1714 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_96),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_52),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1715 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_25),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_35),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1718 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_31),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_20),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1719 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_61),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_68),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1720 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_67),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_94),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1721 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_18),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_40),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1722 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_29),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_43),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1723 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_24),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_88),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1724 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_23),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_86),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1726 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_116),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1727 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_459),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1728 (
+	.A(n_31707),
+	.B(n_31674),
+	.X(n_29970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1729 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_26),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_85),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1730 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_43),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_78),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1731 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_48),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_19),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1732 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_29),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_79),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1733 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_100),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_83),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1734 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_62),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_87),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1735 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_17),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_75),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1736 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_88),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_98),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1737 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_21),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_42),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1738 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_59),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_72),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1739 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_69),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_19),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1740 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_86),
+	.B_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_56),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1741 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_47),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_54),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1742 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_41),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_44),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1744 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_92),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_89),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1747 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_23),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_32),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1748 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_16),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_77),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1749 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_43),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_55),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1750 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_38),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_91),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1751 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_33),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_85),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1752 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_76),
+	.B(n_86792),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1754 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_25),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_38),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1755 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_84),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_85), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1756 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_83),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_82), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1757 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_80),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_81), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1758 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_79),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_78), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1760 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_72),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_73), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1761 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_70),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_71), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1764 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_61),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_60), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1765 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_58),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_59), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1766 (
+	.A(n_93046),
+	.B(n_31722),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1767 (
+	.A(n_31685),
+	.B(n_31718),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_99), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1768 (
+	.A(n_93040),
+	.B(n_31720),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_98), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1769 (
+	.A(n_72754),
+	.B(n_31712),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_97), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1770 (
+	.A_N(n_31708),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_3),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_96), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1771 (
+	.A(n_31705),
+	.B(n_31738),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_95), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1772 (
+	.A(n_31695),
+	.B(n_31728),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_94), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1773 (
+	.A(n_31693),
+	.B(n_31726),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_93), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1774 (
+	.A(n_31739),
+	.B(n_93044),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_92), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1775 (
+	.A(n_31699),
+	.B(n_31732),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_91), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1776 (
+	.A(n_31701),
+	.B(n_31734),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_90), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1777 (
+	.A(n_93044),
+	.B(n_31739),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_89), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1778 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_0),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_5),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_88), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1779 (
+	.A(n_31734),
+	.B(n_31701),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_87), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1780 (
+	.A(n_31736),
+	.B(n_31703),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_86), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1781 (
+	.A(n_31712),
+	.B(n_72754),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_84), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1782 (
+	.A(n_31722),
+	.B(n_93046),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_83), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1783 (
+	.A(n_31730),
+	.B(n_31697),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_80), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1784 (
+	.A(n_31690),
+	.B(n_31723),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_79), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1785 (
+	.A(n_31738),
+	.B(n_31705),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_77), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1786 (
+	.A_N(n_31716),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_14),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_76), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1787 (
+	.A(n_31704),
+	.B(n_31737),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_75), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1788 (
+	.A(n_76045),
+	.B(n_31721),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_72), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1789 (
+	.A(n_31686),
+	.B(n_31719),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_70), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1790 (
+	.A_N(n_31726),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_11),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_69), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1791 (
+	.A(n_31684),
+	.B(n_31717),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_68), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1792 (
+	.A(n_31728),
+	.B(n_31695),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_67), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1793 (
+	.A(n_31682),
+	.B(n_31715),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_65), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1795 (
+	.A(n_31733),
+	.B(n_31700),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_62), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1796 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_12),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_1),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_61), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1797 (
+	.A(n_31721),
+	.B(n_76045),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_58), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1799 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_46),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_47), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1802 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_37),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_36), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1803 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_34),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_33), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1804 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_30),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_31), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1805 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_27),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_26), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1806 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_22),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_21), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1807 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_16),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_17), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1808 (
+	.A(n_93048),
+	.B(n_31714),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_57), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1809 (
+	.A(n_31703),
+	.B(n_31736),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_56), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1810 (
+	.A(n_31691),
+	.B(n_31724),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_55), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1811 (
+	.A(n_31677),
+	.B(n_31710),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_54), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1812 (
+	.A(n_31697),
+	.B(n_31730),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_53), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1813 (
+	.A(n_93045),
+	.B(n_31708),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_52), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1814 (
+	.A(n_31683),
+	.B(n_31716),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_51), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1815 (
+	.A(n_31718),
+	.B(n_31685),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_49), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1816 (
+	.A(n_93047),
+	.B(n_31725),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_48), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1817 (
+	.A(n_31710),
+	.B(n_31677),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_46), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1818 (
+	.A(n_31676),
+	.B(n_31709),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_44), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1819 (
+	.A_N(n_31724),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_13),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_43), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1820 (
+	.A(n_31696),
+	.B(n_31729),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_42), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1821 (
+	.A_N(n_31709),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_6),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_41), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1822 (
+	.A(n_31694),
+	.B(n_31727),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_40), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1823 (
+	.A(n_31732),
+	.B(n_31699),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_38), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1824 (
+	.A(n_31680),
+	.B(n_31713),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_37), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1825 (
+	.A(n_31698),
+	.B(n_31731),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_35), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1826 (
+	.A(n_31711),
+	.B(n_31678),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_34), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1827 (
+	.A(n_31702),
+	.B(n_31735),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_32), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1828 (
+	.A(n_31674),
+	.B(n_31707),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_15), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1829 (
+	.A(n_31714),
+	.B(n_93048),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_30), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1830 (
+	.A(n_31723),
+	.B(n_31690),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_29), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1831 (
+	.A(n_31700),
+	.B(n_31733),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_28), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1832 (
+	.A(n_31678),
+	.B(n_31711),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_27), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1833 (
+	.A(n_31731),
+	.B(n_31698),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_25), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1834 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_4),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_9),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_24), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1835 (
+	.A(n_31735),
+	.B(n_31702),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_23), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1836 (
+	.A(n_31729),
+	.B(n_31696),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_22), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1837 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_8),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_10),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_20), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1838 (
+	.A_N(n_31725),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_7),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_19), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1839 (
+	.A(n_31727),
+	.B(n_31694),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_18), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1840 (
+	.A(n_31737),
+	.B(n_31704),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_16), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1841 (
+	.A(n_31683),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_14), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1842 (
+	.A(n_31691),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_13), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1843 (
+	.A(n_31684),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_12), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1844 (
+	.A(n_31693),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_11), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1845 (
+	.A(n_31713),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_10), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1846 (
+	.A(n_31719),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_9), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1847 (
+	.A(n_31680),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_8), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1848 (
+	.A(n_93047),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_7), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1849 (
+	.A(n_31676),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_6), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1850 (
+	.A(n_31720),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_5), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1851 (
+	.A(n_31686),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_4), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1852 (
+	.A(n_93045),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_3), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1854 (
+	.A(n_31717),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_1), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1855 (
+	.A(n_93040),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_0), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1864 (
+	.A_N(n_84833),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_312),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1870 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_176),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_17),
+	.B1_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_75),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1871 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_67),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_18),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1872 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_65),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_76),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1873 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_49),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_61),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1874 (
+	.A1(n_84833),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_18),
+	.B1_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_40),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1900 (
+	.A1(n_85984),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_20),
+	.A3(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_117),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_217),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1909 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_71),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_88),
+	.B1_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_98),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_4 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1919 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_513),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_341),
+	.Y(\soc_top_lsu_to_xbar[a_address] [25]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g3 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_62),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_28),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1932 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_527),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_292),
+	.Y(\soc_top_lsu_to_xbar[a_address] [11]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1933 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_24),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_70),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1935 (
+	.A(n_86792),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_65),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3098 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_62),
+	.A2(n_86372),
+	.B1(n_86373),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_87),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_133),
+	.Y(n_30003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3099 (
+	.A1(n_86373),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_97),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_67),
+	.B2(n_74968),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_132),
+	.Y(n_30008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3100 (
+	.A1(n_86373),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_80),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_71),
+	.B2(n_74968),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_141),
+	.Y(n_30010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3101 (
+	.A1(n_86373),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_96),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_47),
+	.B2(n_74968),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_131),
+	.Y(n_30007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3102 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_114),
+	.B1(n_86373),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_94),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_92),
+	.Y(n_30006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3103 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_109),
+	.B1(n_86373),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_90),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_93),
+	.Y(n_30005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3104 (
+	.A1(n_86373),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_89),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_49),
+	.B2(n_74968),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_134),
+	.Y(n_30004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3105 (
+	.A1(n_86373),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_99),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_54),
+	.B2(n_74968),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_135),
+	.Y(n_30009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3106 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_4),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_80),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_79),
+	.B2(n_86373),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_125),
+	.Y(n_30018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3107 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_4),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_96),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_88),
+	.B2(n_86373),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_123),
+	.Y(n_30015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3108 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_86),
+	.A2(n_86373),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_4),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_94),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_130),
+	.Y(n_30014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3109 (
+	.A1(n_86373),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_81),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_4),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_87),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_127),
+	.Y(n_30011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3110 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_95),
+	.A2(n_86373),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_4),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_97),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_124),
+	.Y(n_30016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3111 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_4),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_99),
+	.B1(n_86373),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_98),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_126),
+	.Y(n_30017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3112 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_4),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_90),
+	.B1(n_86373),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_84),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_129),
+	.Y(n_30013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3113 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_4),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_89),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_83),
+	.B2(n_86373),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_128),
+	.Y(n_30012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3114 (
+	.A1(n_30038),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_120),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_6),
+	.Y(n_30027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3115 (
+	.A1(n_30038),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_110),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_6),
+	.Y(n_30024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3116 (
+	.A1(n_30038),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_118),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_6),
+	.Y(n_30029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3117 (
+	.A1(n_30038),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_121),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_6),
+	.Y(n_30028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3118 (
+	.A1(n_30038),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_119),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_6),
+	.Y(n_30030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3119 (
+	.A1(n_30038),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_113),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_6),
+	.Y(n_30023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3120 (
+	.A1(n_30038),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_116),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_6),
+	.Y(n_30032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3121 (
+	.A1(n_30038),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_115),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_6),
+	.Y(n_30031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3122 (
+	.A1(n_30038),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_112),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_6),
+	.Y(n_30025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3123 (
+	.A1(n_30038),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_111),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_6),
+	.Y(n_30026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3124 (
+	.A1(n_30038),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_122),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_6),
+	.Y(n_30034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3125 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_111),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_59),
+	.B2(n_86372),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3126 (
+	.A1(n_30038),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_114),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_6),
+	.Y(n_30022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3127 (
+	.A1(n_30038),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_109),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_6),
+	.Y(n_30021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3128 (
+	.A1(n_30038),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_108),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_6),
+	.Y(n_30020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3129 (
+	.A1(n_30038),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_106),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_6),
+	.Y(n_30019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3130 (
+	.A1(n_30038),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_117),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_6),
+	.Y(n_30033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3131 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_112),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_52),
+	.B2(n_86372),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3132 (
+	.A1_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_45),
+	.A2_N(n_86372),
+	.B1(n_30038),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_107),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3133 (
+	.A1_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_55),
+	.A2_N(n_74968),
+	.B1(n_30038),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_105),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3134 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_110),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_49),
+	.B2(n_86372),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3135 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_113),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_55),
+	.B2(n_86372),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3136 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_119),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3137 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_118),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3138 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_121),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3139 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_120),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3140 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_117),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3141 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_122),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3142 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_116),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3143 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_115),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3144 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_101),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_2),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_8),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3145 (
+	.A1(n_30037),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_85),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_9),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3146 (
+	.A1(n_30037),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_82),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_9),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3147 (
+	.A1(n_30037),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_100),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_9),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3148 (
+	.A1(n_30037),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_91),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_9),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3149 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_103),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_2),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_8),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3150 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_102),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_2),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_8),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3151 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_104),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_2),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_8),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3152 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_107),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3153 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_105),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3154 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_86),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_100),
+	.S(n_30037),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3155 (
+	.A1_N(n_30037),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_88),
+	.B1(n_30037),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_104),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3156 (
+	.A1_N(n_30037),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_98),
+	.B1(n_30037),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_103),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3157 (
+	.A1_N(n_30037),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_79),
+	.B1(n_30037),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_101),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3158 (
+	.A1_N(n_30037),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_95),
+	.B1(n_30037),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_102),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3159 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_84),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_91),
+	.S(n_30037),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3160 (
+	.A1(n_30037),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_83),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_2),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_85),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3161 (
+	.A1(n_30037),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_81),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_2),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_82),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3162 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_61),
+	.A2(n_86372),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_52),
+	.B2(n_74968),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_93), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3163 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_46),
+	.A2(n_86372),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_59),
+	.B2(n_74968),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_92), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3164 (
+	.A1(n_30036),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_56),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_10),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3165 (
+	.A1(n_30036),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_63),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_10),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3166 (
+	.A1(n_30036),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_69),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_10),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3167 (
+	.A1(n_30036),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_65),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_10),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3168 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_77),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_64),
+	.S(n_30036),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3169 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_78),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_57),
+	.S(n_30036),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_99), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3170 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_75),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_68),
+	.S(n_30036),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_98), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3171 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_76),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_53),
+	.S(n_30036),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_97), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3172 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_72),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_50),
+	.S(n_30036),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_96), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3173 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_70),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_58),
+	.S(n_30036),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_95), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3174 (
+	.A1_N(n_30036),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_71),
+	.B1(n_30036),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_48),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_94), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3175 (
+	.A1_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_63),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_68),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_91), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3176 (
+	.A1_N(n_30036),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_54),
+	.B1(n_30036),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_78),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_90), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3177 (
+	.A1_N(n_30036),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_67),
+	.B1(n_30036),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_76),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_89), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3178 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_66),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_73),
+	.S(n_30036),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_88), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3179 (
+	.A1_N(n_30036),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_47),
+	.B1(n_30036),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_72),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_87), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3180 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_60),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_51),
+	.S(n_30036),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_86), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3181 (
+	.A1_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_69),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_58),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_85), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3182 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_57),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_75),
+	.S(n_30036),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_84), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3183 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_53),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_70),
+	.S(n_30036),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_83), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3184 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_74),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_56),
+	.S(n_30036),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_82), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3185 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_50),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_66),
+	.S(n_30036),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_81), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3186 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_48),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_60),
+	.S(n_30036),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_80), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3187 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_51),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_77),
+	.S(n_30036),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_79), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3188 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_73),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_74), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3189 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_64),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_65), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3190 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_11),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_19),
+	.S(n_30035),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_62), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3191 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_19),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_22),
+	.S(n_30035),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_61), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3192 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_41),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_16),
+	.S(n_30035),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_78), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3193 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_24),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_44),
+	.S(n_30035),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_77), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3194 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_39),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_21),
+	.S(n_30035),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_76), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3195 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_38),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_23),
+	.S(n_30035),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_75), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3196 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_23),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_17),
+	.S(n_30035),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_73), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3197 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_36),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_41),
+	.S(n_30035),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_72), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3198 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_32),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_39),
+	.S(n_30035),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_71), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3199 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_42),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_43),
+	.S(n_30035),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_70), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3200 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_44),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_35),
+	.S(n_30035),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_69), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3201 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_17),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_40),
+	.S(n_30035),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_68), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3202 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_34),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_32),
+	.S(n_30035),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_67), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3203 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_37),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_38),
+	.S(n_30035),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_66), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3204 (
+	.A1(n_30035),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_35),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_7),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_64), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3205 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_13),
+	.A2(n_30035),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_7),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_63), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3206 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_15),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_27),
+	.S(n_30035),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_46), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3207 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_12),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_15),
+	.S(n_30035),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_45), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3208 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_20),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_42),
+	.S(n_30035),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_60), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3209 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_14),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_34),
+	.S(n_30035),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_59), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3210 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_26),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_24),
+	.S(n_30035),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_58), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3211 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_18),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_37),
+	.S(n_30035),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_57), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3212 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_40),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_13),
+	.S(n_30035),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_56), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3213 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_22),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_25),
+	.S(n_30035),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_55), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3214 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_33),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_36),
+	.S(n_30035),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_54), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3215 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_30),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_20),
+	.S(n_30035),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_53), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3216 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_25),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_31),
+	.S(n_30035),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_52), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3217 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_43),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_26),
+	.S(n_30035),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_51), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3218 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_16),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_18),
+	.S(n_30035),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_50), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3219 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_27),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_14),
+	.S(n_30035),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_49), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3220 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_21),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_30),
+	.S(n_30035),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_48), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3221 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_31),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_33),
+	.S(n_30035),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_47), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3222 (
+	.A0(n_29861),
+	.A1(n_29862),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_44), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3223 (
+	.A0(n_29855),
+	.A1(n_29856),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_43), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3224 (
+	.A0(n_29853),
+	.A1(n_29854),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_42), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3225 (
+	.A0(n_29846),
+	.A1(n_29847),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_41), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3226 (
+	.A0(n_29860),
+	.A1(n_29861),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_40), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3227 (
+	.A0(n_29845),
+	.A1(n_29846),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_39), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3228 (
+	.A0(n_29854),
+	.A1(n_29855),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_38), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3229 (
+	.A0(n_29852),
+	.A1(n_29853),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_37), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3230 (
+	.A0(n_29844),
+	.A1(n_29845),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_36), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3231 (
+	.A0(n_29863),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_n_803),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_35), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3232 (
+	.A0(n_29841),
+	.A1(n_29842),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_34), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3233 (
+	.A0(n_29842),
+	.A1(n_29843),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_33), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3234 (
+	.A0(n_29843),
+	.A1(n_29844),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_32), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3235 (
+	.A0(n_29840),
+	.A1(n_29841),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_31), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3236 (
+	.A0(n_29849),
+	.A1(n_29850),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_30), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3239 (
+	.A0(n_29833),
+	.A1(n_29834),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_12), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3240 (
+	.A0(n_29832),
+	.A1(n_29833),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_11), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3241 (
+	.A0(n_29837),
+	.A1(n_29838),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_27), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3242 (
+	.A0(n_29857),
+	.A1(n_29858),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_26), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3243 (
+	.A0(n_29838),
+	.A1(n_29839),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_25), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3244 (
+	.A0(n_29859),
+	.A1(n_29860),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_24), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3245 (
+	.A0(n_29856),
+	.A1(n_29857),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_23), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3246 (
+	.A0(n_29836),
+	.A1(n_29837),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_22), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3247 (
+	.A0(n_29847),
+	.A1(n_29848),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_21), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3248 (
+	.A0(n_29851),
+	.A1(n_29852),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_20), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3249 (
+	.A0(n_29834),
+	.A1(n_29835),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_19), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3250 (
+	.A0(n_29850),
+	.A1(n_29851),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_18), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3251 (
+	.A0(n_29858),
+	.A1(n_29859),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_17), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3252 (
+	.A0(n_29848),
+	.A1(n_29849),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_16), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3253 (
+	.A0(n_29835),
+	.A1(n_29836),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_15), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3254 (
+	.A0(n_29839),
+	.A1(n_29840),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_14), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3255 (
+	.A0(n_29862),
+	.A1(n_29863),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_13), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3256 (
+	.A(n_30036),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_n_803),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_10), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3257 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_9),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_8), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3258 (
+	.A(n_30037),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_n_803),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_9), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3259 (
+	.A(n_30035),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_n_803),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_7), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3260 (
+	.A(n_30038),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_n_803),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_6), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3266 (
+	.A(n_30038),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_hi_fo_buf3294 (
+	.A(n_30036),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3098 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_62),
+	.A2(n_86372),
+	.B1(n_86373),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_87),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_133),
+	.Y(n_30002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3099 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_97),
+	.A2(n_86373),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_67),
+	.B2(n_74968),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_132),
+	.Y(n_29997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3100 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_80),
+	.A2(n_86373),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_71),
+	.B2(n_74968),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_141),
+	.Y(n_29995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3101 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_96),
+	.A2(n_86373),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_47),
+	.B2(n_74968),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_131),
+	.Y(n_29998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3102 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_114),
+	.B1(n_86373),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_94),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_92),
+	.Y(n_29999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3103 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_109),
+	.B1(n_86373),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_90),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_93),
+	.Y(n_30000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3104 (
+	.A1(n_86373),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_89),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_49),
+	.B2(n_74968),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_134),
+	.Y(n_30001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3105 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_99),
+	.A2(n_86373),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_54),
+	.B2(n_74968),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_135),
+	.Y(n_29996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3106 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_4),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_80),
+	.B1(n_86373),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_79),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_125),
+	.Y(n_29987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3107 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_4),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_96),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_88),
+	.B2(n_86373),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_123),
+	.Y(n_29990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3108 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_86),
+	.A2(n_86373),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_4),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_94),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_130),
+	.Y(n_29991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3109 (
+	.A1(n_86373),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_81),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_4),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_87),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_127),
+	.Y(n_29994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3110 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_95),
+	.A2(n_86373),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_4),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_97),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_124),
+	.Y(n_29989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3111 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_4),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_99),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_98),
+	.B2(n_86373),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_126),
+	.Y(n_29988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3112 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_4),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_90),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_84),
+	.B2(n_86373),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_129),
+	.Y(n_29992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3113 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_4),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_89),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_83),
+	.B2(n_86373),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_128),
+	.Y(n_29993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3114 (
+	.A1(n_30038),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_120),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_6),
+	.Y(n_29978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3115 (
+	.A1(n_30038),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_110),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_6),
+	.Y(n_29981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3116 (
+	.A1(n_30038),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_118),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_6),
+	.Y(n_29976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3117 (
+	.A1(n_30038),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_121),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_6),
+	.Y(n_29977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3118 (
+	.A1(n_30038),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_119),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_6),
+	.Y(n_29975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3119 (
+	.A1(n_30038),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_113),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_6),
+	.Y(n_29982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3120 (
+	.A1(n_30038),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_116),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_6),
+	.Y(n_29973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3121 (
+	.A1(n_30038),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_115),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_6),
+	.Y(n_29974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3122 (
+	.A1(n_30038),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_112),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_6),
+	.Y(n_29980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3123 (
+	.A1(n_30038),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_111),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_6),
+	.Y(n_29979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3124 (
+	.A1(n_30038),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_122),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_6),
+	.Y(n_29971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3125 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_111),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_59),
+	.B2(n_86372),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3126 (
+	.A1(n_30038),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_114),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_6),
+	.Y(n_29983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3127 (
+	.A1(n_30038),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_109),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_6),
+	.Y(n_29984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3128 (
+	.A1(n_30038),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_108),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_6),
+	.Y(n_29985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3129 (
+	.A1(n_30038),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_106),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_6),
+	.Y(n_29986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3130 (
+	.A1(n_30038),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_117),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_6),
+	.Y(n_29972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3131 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_112),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_52),
+	.B2(n_86372),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3132 (
+	.A1_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_45),
+	.A2_N(n_86372),
+	.B1(n_30038),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_107),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3133 (
+	.A1_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_55),
+	.A2_N(n_74968),
+	.B1(n_30038),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_105),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3134 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_110),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_49),
+	.B2(n_86372),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3135 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_113),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_55),
+	.B2(n_86372),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3136 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_119),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3137 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_118),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3138 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_121),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3139 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_120),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3140 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_117),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3141 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_122),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3142 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_116),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3143 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_115),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3144 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_101),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_2),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_8),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3145 (
+	.A1(n_30037),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_85),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_9),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3146 (
+	.A1(n_30037),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_82),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_9),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3147 (
+	.A1(n_30037),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_100),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_9),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3148 (
+	.A1(n_30037),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_91),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_9),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3149 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_103),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_2),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_8),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3150 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_102),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_2),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_8),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3151 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_104),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_2),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_8),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3152 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_107),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3153 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_105),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3154 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_86),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_100),
+	.S(n_30037),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3155 (
+	.A1_N(n_30037),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_88),
+	.B1(n_30037),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_104),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3156 (
+	.A1_N(n_30037),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_98),
+	.B1(n_30037),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_103),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3157 (
+	.A1_N(n_30037),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_79),
+	.B1(n_30037),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_101),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3158 (
+	.A1_N(n_30037),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_95),
+	.B1(n_30037),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_102),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3159 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_84),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_91),
+	.S(n_30037),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3160 (
+	.A1(n_30037),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_83),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_2),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_85),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3161 (
+	.A1(n_30037),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_81),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_2),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_82),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3162 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_61),
+	.A2(n_86372),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_52),
+	.B2(n_74968),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_93), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3163 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_46),
+	.A2(n_86372),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_59),
+	.B2(n_74968),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_92), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3164 (
+	.A1(n_30036),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_56),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_10),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3165 (
+	.A1(n_30036),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_63),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_10),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3166 (
+	.A1(n_30036),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_69),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_10),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3167 (
+	.A1(n_30036),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_65),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_10),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3168 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_77),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_64),
+	.S(n_30036),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3169 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_78),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_57),
+	.S(n_30036),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_99), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3170 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_75),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_68),
+	.S(n_30036),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_98), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3171 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_76),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_53),
+	.S(n_30036),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_97), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3172 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_72),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_50),
+	.S(n_30036),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_96), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3173 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_70),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_58),
+	.S(n_30036),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_95), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3174 (
+	.A1_N(n_30036),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_71),
+	.B1(n_30036),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_48),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_94), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3175 (
+	.A1_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_63),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_68),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_91), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3176 (
+	.A1_N(n_30036),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_54),
+	.B1(n_30036),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_78),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_90), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3177 (
+	.A1_N(n_30036),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_67),
+	.B1(n_30036),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_76),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_89), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3178 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_66),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_73),
+	.S(n_30036),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_88), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3179 (
+	.A1_N(n_30036),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_47),
+	.B1(n_30036),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_72),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_87), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3180 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_60),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_51),
+	.S(n_30036),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_86), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3181 (
+	.A1_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_69),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_58),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_85), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3182 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_57),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_75),
+	.S(n_30036),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_84), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3183 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_53),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_70),
+	.S(n_30036),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_83), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3184 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_74),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_56),
+	.S(n_30036),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_82), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3185 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_50),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_66),
+	.S(n_30036),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_81), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3186 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_48),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_60),
+	.S(n_30036),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_80), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3187 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_51),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_77),
+	.S(n_30036),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_79), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3188 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_73),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_74), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3189 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_64),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_65), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3190 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_11),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_19),
+	.S(n_30035),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_62), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3191 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_19),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_22),
+	.S(n_30035),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_61), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3192 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_41),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_16),
+	.S(n_30035),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_78), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3193 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_24),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_44),
+	.S(n_30035),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_77), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3194 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_39),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_21),
+	.S(n_30035),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_76), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3195 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_38),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_23),
+	.S(n_30035),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_75), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3196 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_23),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_17),
+	.S(n_30035),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_73), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3197 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_36),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_41),
+	.S(n_30035),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_72), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3198 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_32),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_39),
+	.S(n_30035),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_71), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3199 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_42),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_43),
+	.S(n_30035),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_70), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3200 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_44),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_35),
+	.S(n_30035),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_69), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3201 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_17),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_40),
+	.S(n_30035),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_68), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3202 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_34),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_32),
+	.S(n_30035),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_67), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3203 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_37),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_38),
+	.S(n_30035),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_66), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3204 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_35),
+	.A2(n_30035),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_7),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_64), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3205 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_13),
+	.A2(n_30035),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_7),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_63), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3206 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_15),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_27),
+	.S(n_30035),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_46), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3207 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_12),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_15),
+	.S(n_30035),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_45), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3208 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_20),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_42),
+	.S(n_30035),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_60), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3209 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_14),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_34),
+	.S(n_30035),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_59), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3210 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_26),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_24),
+	.S(n_30035),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_58), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3211 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_18),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_37),
+	.S(n_30035),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_57), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3212 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_40),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_13),
+	.S(n_30035),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_56), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3213 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_22),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_25),
+	.S(n_30035),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_55), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3214 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_33),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_36),
+	.S(n_30035),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_54), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3215 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_30),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_20),
+	.S(n_30035),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_53), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3216 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_25),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_31),
+	.S(n_30035),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_52), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3217 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_43),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_26),
+	.S(n_30035),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_51), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3218 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_16),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_18),
+	.S(n_30035),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_50), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3219 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_27),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_14),
+	.S(n_30035),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_49), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3220 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_21),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_30),
+	.S(n_30035),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_48), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3221 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_31),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_33),
+	.S(n_30035),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_47), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3222 (
+	.A0(n_29834),
+	.A1(n_29833),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_44), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3223 (
+	.A0(n_29840),
+	.A1(n_29839),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_43), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3224 (
+	.A0(n_29842),
+	.A1(n_29841),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_42), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3225 (
+	.A0(n_29849),
+	.A1(n_29848),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_41), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3226 (
+	.A0(n_29835),
+	.A1(n_29834),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_40), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3227 (
+	.A0(n_29850),
+	.A1(n_29849),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_39), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3228 (
+	.A0(n_29841),
+	.A1(n_29840),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_38), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3229 (
+	.A0(n_29843),
+	.A1(n_29842),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_37), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3230 (
+	.A0(n_29851),
+	.A1(n_29850),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_36), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3231 (
+	.A0(n_29832),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_n_879),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_35), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3232 (
+	.A0(n_29854),
+	.A1(n_29853),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_34), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3233 (
+	.A0(n_29853),
+	.A1(n_29852),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_33), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3234 (
+	.A0(n_29852),
+	.A1(n_29851),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_32), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3235 (
+	.A0(n_29855),
+	.A1(n_29854),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_31), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3236 (
+	.A0(n_29846),
+	.A1(n_29845),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_30), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3239 (
+	.A0(n_29862),
+	.A1(n_29861),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_12), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3240 (
+	.A0(n_29863),
+	.A1(n_29862),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_11), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3241 (
+	.A0(n_29858),
+	.A1(n_29857),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_27), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3242 (
+	.A0(n_29838),
+	.A1(n_29837),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_26), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3243 (
+	.A0(n_29857),
+	.A1(n_29856),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_25), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3244 (
+	.A0(n_29836),
+	.A1(n_29835),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_24), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3245 (
+	.A0(n_29839),
+	.A1(n_29838),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_23), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3246 (
+	.A0(n_29859),
+	.A1(n_29858),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_22), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3247 (
+	.A0(n_29848),
+	.A1(n_29847),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_21), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3248 (
+	.A0(n_29844),
+	.A1(n_29843),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_20), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3249 (
+	.A0(n_29861),
+	.A1(n_29860),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_19), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3250 (
+	.A0(n_29845),
+	.A1(n_29844),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_18), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3251 (
+	.A0(n_29837),
+	.A1(n_29836),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_17), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3252 (
+	.A0(n_29847),
+	.A1(n_29846),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_16), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3253 (
+	.A0(n_29860),
+	.A1(n_29859),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_15), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3254 (
+	.A0(n_29856),
+	.A1(n_29855),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_14), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3255 (
+	.A0(n_29833),
+	.A1(n_29832),
+	.S(n_25726),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_13), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3256 (
+	.A(n_30036),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_n_879),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_10), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3257 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_9),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_8), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3258 (
+	.A(n_30037),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_n_879),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_9), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3259 (
+	.A(n_30035),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_n_879),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_7), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3260 (
+	.A(n_30038),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_n_879),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_6), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3261 (
+	.A(n_74967),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_4), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3264 (
+	.A(n_30037),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_2), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14326  (
+	.A(n_58638),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2224 ),
+	.C(n_55869),
+	.D(n_76849),
+	.Y(n_30781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14329  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2189 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2026 ),
+	.C(n_43205),
+	.Y(n_30778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14332  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2058 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2329 ),
+	.Y(n_30768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14342  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2065 ),
+	.B(n_76905),
+	.Y(n_30771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14352  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1966 ),
+	.B(n_54681),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2073 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14353  (
+	.A(n_78796),
+	.B(n_42366),
+	.Y(n_30675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14355  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1973 ),
+	.B(n_79007),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2070 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14360  (
+	.A(n_44900),
+	.B(n_54681),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2065 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14361  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1973 ),
+	.B(n_54681),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2064 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14364  (
+	.A(n_56876),
+	.B(n_54681),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2061 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14365  (
+	.A(n_60447),
+	.B(n_54681),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2060 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14367  (
+	.A(n_42362),
+	.B(n_54681),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2058 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14376  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1997 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1914 ),
+	.Y(n_30785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14387  (
+	.A1(n_63763),
+	.A2(n_53571),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1920 ),
+	.Y(n_30701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14390  (
+	.A1(n_78796),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1904 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1739 ),
+	.Y(n_30689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14394  (
+	.A1_N(n_79020),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1160 ),
+	.B1(n_78796),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1898 ),
+	.Y(n_30685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14398  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1872 ),
+	.B(n_54681),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2027 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14399  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1905 ),
+	.B(n_54681),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2026 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14403  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1893 ),
+	.B(n_54681),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2022 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14404  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1890 ),
+	.B(n_54681),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2021 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14411  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1877 ),
+	.B(n_54681),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2014 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14418  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1800 ),
+	.A2(n_78756),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2310 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2007 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14428  (
+	.A(n_60493),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1997 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14440  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1980 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1981 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14448  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1966 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1967 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14450  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1822 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1603 ),
+	.C(n_74469),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1964 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14466  (
+	.A(n_58633),
+	.B(n_70070),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1980 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14469  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1830 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1936 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1973 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14473  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1943 ),
+	.B(n_58650),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1966 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14480  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1799 ),
+	.B(FE_DBTN54_n_78756),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1945 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14482  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1794 ),
+	.B(FE_DBTN54_n_78756),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1943 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14483  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1798 ),
+	.B(n_75445),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1942 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14484  (
+	.A(n_62751),
+	.B(n_60435),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1941 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14488  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1799 ),
+	.B(n_78756),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1937 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14489  (
+	.A(n_60442),
+	.B(n_78756),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1936 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14491  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1794 ),
+	.B(n_78756),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1934 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14493  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1787 ),
+	.B(n_78756),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1932 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14495  (
+	.A(n_78176),
+	.B(n_79020),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1930 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14496  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1787 ),
+	.B(n_79020),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1929 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14497  (
+	.A(n_62706),
+	.B(FE_DBTN54_n_78756),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1928 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14501  (
+	.A(n_57992),
+	.B(n_60435),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1924 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14503  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1800 ),
+	.B(n_60435),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1922 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14505  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1871 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1920 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14511  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1864 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1914 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14521  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1905 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1904 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14525  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1855 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1898 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14527  (
+	.A(n_56906),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1896 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14541  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1872 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1873 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14542  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_957 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1689 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1774 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1871 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14549  (
+	.A(n_79021),
+	.B(n_55910),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1864 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14560  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1808 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1840 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1855 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14562  (
+	.A1(n_78756),
+	.A2(n_45911),
+	.B1(n_44082),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1893 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14563  (
+	.A1(n_78756),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1671 ),
+	.B1(n_56243),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1890 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14568  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1779 ),
+	.B(n_43547),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1877 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14570  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1783 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1565 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1872 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14574  (
+	.A(n_79016),
+	.B(FE_DBTN63_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sll_527_36_n_957),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1849 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14575  (
+	.A(n_74827),
+	.B(n_78756),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1848 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14577  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1708 ),
+	.B(FE_DBTN63_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sll_527_36_n_957),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1846 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14578  (
+	.A(n_74827),
+	.B(n_79020),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1845 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14580  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1711 ),
+	.B(n_60435),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1843 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14583  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1690 ),
+	.B(n_78756),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1840 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14585  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1670 ),
+	.B(n_78756),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1838 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14587  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1705 ),
+	.B(FE_DBTN54_n_78756),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1836 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14588  (
+	.A(n_60490),
+	.B(FE_DBTN54_n_78756),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1835 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14591  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1684 ),
+	.B(FE_DBTN54_n_78756),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1832 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14592  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1680 ),
+	.B(FE_DBTN54_n_78756),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1831 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14593  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1674 ),
+	.B(FE_DBTN54_n_78756),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1830 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14595  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_845 ),
+	.B(n_44083),
+	.Y(n_30664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14596  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1708 ),
+	.B(FE_DBTN54_n_78756),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1827 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14597  (
+	.A(n_78963),
+	.B(n_60435),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1826 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14601  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1682 ),
+	.B(FE_DBTN63_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sll_527_36_n_957),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1822 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14603  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1674 ),
+	.B(FE_DBTN63_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sll_527_36_n_957),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1820 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14605  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1705 ),
+	.B(FE_DBTN63_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sll_527_36_n_957),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1818 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14607  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1684 ),
+	.B(FE_DBTN63_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sll_527_36_n_957),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1816 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14609  (
+	.A(n_56899),
+	.B(n_79020),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1814 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14611  (
+	.A(n_76623),
+	.B(FE_DBTN63_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sll_527_36_n_957),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1812 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14614  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1691 ),
+	.B(FE_DBTN63_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sll_527_36_n_957),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1809 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14615  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1688 ),
+	.B(FE_DBTN54_n_78756),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1808 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14617  (
+	.A(n_53599),
+	.B(FE_DBTN63_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sll_527_36_n_957),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1806 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14626  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1787 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1786 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14629  (
+	.A(n_60627),
+	.B(FE_DBTN54_n_78756),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1783 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14631  (
+	.A(n_62561),
+	.B(n_60435),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1781 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14633  (
+	.A(n_54121),
+	.B(FE_DBTN54_n_78756),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1779 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14634  (
+	.A(n_76623),
+	.B(n_75445),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1778 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14638  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1691 ),
+	.B(n_60435),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1774 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14639  (
+	.A(n_53599),
+	.B(n_75445),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1773 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14640  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1686 ),
+	.B(FE_DBTN63_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sll_527_36_n_957),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1772 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14642  (
+	.A(n_56899),
+	.B(n_75445),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1770 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14658  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1652 ),
+	.B(n_79020),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1754 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14659  (
+	.A(n_76851),
+	.B(n_60435),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1753 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14663  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1731 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1615 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1800 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14664  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1600 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1738 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1799 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14665  (
+	.A(n_56085),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1725 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1798 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14668  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1619 ),
+	.B(n_56263),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1795 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14669  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1602 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1721 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1794 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14674  (
+	.A(n_56264),
+	.B(n_58368),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1787 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14681  (
+	.A(n_39166),
+	.B(n_54681),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1739 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14682  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1575 ),
+	.B(n_90625),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1738 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14683  (
+	.A(n_78325),
+	.B(n_90623),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1737 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14684  (
+	.A(n_62750),
+	.B(n_90623),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1736 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14686  (
+	.A(n_39166),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_758 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1734 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14689  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1584 ),
+	.B(n_90623),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1731 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14695  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1584 ),
+	.B(FE_DBTN72_n_90623),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1725 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14699  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1579 ),
+	.B(n_90623),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1721 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14704  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1573 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_758 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1716 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14709  (
+	.A(n_61619),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1587 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1711 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14722  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1688 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1689 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14723  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1682 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1683 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14727  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1670 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1671 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14741  (
+	.A(n_78277),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1601 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1705 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14744  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1559 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1627 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1701 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14751  (
+	.A1(FE_DBTN72_n_90623),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1452 ),
+	.B1(n_79256),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1691 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14752  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1624 ),
+	.B(n_59438),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1690 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14753  (
+	.A(n_80329),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1623 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1688 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14755  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1443 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1441 ),
+	.S(FE_DBTN72_n_90623),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1686 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14757  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1595 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1638 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1684 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14758  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1594 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1637 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1682 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14759  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1636 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1596 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1680 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14761  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1592 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1635 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1677 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14763  (
+	.A(n_56086),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1634 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1674 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14766  (
+	.A(n_80330),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1538 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1670 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14773  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1620 ),
+	.B(n_43548),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1652 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14781  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1504 ),
+	.B(n_90623),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1643 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14783  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1500 ),
+	.B(n_90623),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1641 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14786  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1437 ),
+	.B(n_90623),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1638 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14787  (
+	.A(n_78505),
+	.B(n_90625),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1637 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14788  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1511 ),
+	.B(n_90623),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1636 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14789  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1497 ),
+	.B(n_90623),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1635 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14790  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1449 ),
+	.B(n_90623),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1634 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14795  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1502 ),
+	.B(FE_DBTN72_n_90623),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1629 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14797  (
+	.A(n_57528),
+	.B(FE_DBTN72_n_90623),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1627 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14798  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1462 ),
+	.B(FE_DBTN72_n_90623),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1626 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14800  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1456 ),
+	.B(n_79323),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1624 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14801  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1450 ),
+	.B(FE_DBTN72_n_90623),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1623 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14804  (
+	.A(n_62255),
+	.B(FE_DBTN72_n_90623),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1620 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14805  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1437 ),
+	.B(FE_DBTN72_n_90623),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1619 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14808  (
+	.A(n_62727),
+	.B(FE_DBTN72_n_90623),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1616 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14809  (
+	.A(n_76347),
+	.B(FE_DBTN72_n_90623),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1615 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14815  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1442 ),
+	.B(FE_DBTN72_n_90623),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1609 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14818  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1430 ),
+	.B(FE_DBTN72_n_90623),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1606 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14821  (
+	.A(n_78948),
+	.B(n_75404),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1603 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14822  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1511 ),
+	.B(n_79323),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1602 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14823  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1508 ),
+	.B(n_79323),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1601 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14824  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1504 ),
+	.B(FE_DBTN72_n_90623),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1600 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14825  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1496 ),
+	.B(FE_DBTN72_n_90623),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1599 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14827  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1449 ),
+	.B(FE_DBTN72_n_90623),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1597 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14828  (
+	.A(n_78276),
+	.B(FE_DBTN72_n_90623),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1596 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14829  (
+	.A(n_53601),
+	.B(FE_DBTN72_n_90623),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1595 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14830  (
+	.A(n_78960),
+	.B(FE_DBTN72_n_90623),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1594 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14832  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1503 ),
+	.B(FE_DBTN72_n_90623),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1592 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14833  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1500 ),
+	.B(n_79323),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1591 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14838  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1554 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1587 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14844  (
+	.A(n_58648),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1573 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14845  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1570 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1571 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14849  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1425 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_659 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1565 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14853  (
+	.A(n_62255),
+	.B(n_90623),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1561 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14855  (
+	.A(n_85638),
+	.B(n_90623),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1559 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14857  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1495 ),
+	.B(n_90623),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1557 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14859  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1501 ),
+	.B(n_90625),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1555 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14860  (
+	.A(n_56280),
+	.B(n_80742),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1554 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14866  (
+	.A(n_75404),
+	.B(n_62557),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1548 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14868  (
+	.A(n_75404),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1430 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1546 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14870  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1462 ),
+	.B(n_90625),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1544 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14876  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1456 ),
+	.B(n_90623),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1538 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14881  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1444 ),
+	.B(n_90623),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1533 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14892  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1276 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1152 ),
+	.C(FE_DBTN72_n_90623),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1039 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1522 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14895  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1488 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1331 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1584 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14899  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1484 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1382 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1579 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14902  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1362 ),
+	.B(n_56265),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1575 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14905  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1425 ),
+	.B(n_39162),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1570 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14912  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1508 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1509 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14917  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1345 ),
+	.B(n_58731),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1489 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14918  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1344 ),
+	.B(n_79311),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1488 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14921  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1344 ),
+	.B(FE_DBTN20_n_79311),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1485 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14922  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1345 ),
+	.B(FE_DBTN20_n_79311),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1484 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14926  (
+	.A(n_75239),
+	.B(n_78756),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1480 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14935  (
+	.A(n_53295),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1416 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1512 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14936  (
+	.A(n_55872),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1365 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1511 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14938  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1296 ),
+	.B(n_55918),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1508 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14941  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1361 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1292 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1504 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14942  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1354 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1291 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1503 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14943  (
+	.A(n_56034),
+	.B(n_53604),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1502 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14944  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2275 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1399 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1501 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14945  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1357 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1288 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1500 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14947  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1285 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1358 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1497 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14948  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1294 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1359 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1496 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14949  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1378 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1307 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1495 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14960  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1451 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1452 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14961  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1442 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1443 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14962  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1440 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1441 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14972  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1330 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1396 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1466 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14976  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1308 ),
+	.B(n_79137),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1462 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14980  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1302 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1392 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1456 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14983  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1301 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1374 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1451 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14984  (
+	.A(n_60427),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1366 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1450 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14985  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1356 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1287 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1449 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14986  (
+	.A(n_90590),
+	.B(n_57482),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1448 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14990  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1387 ),
+	.B(n_79136),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1444 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14991  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1299 ),
+	.B(n_56268),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1442 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14992  (
+	.A(n_56266),
+	.B(n_56033),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1440 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14994  (
+	.A(n_60412),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1289 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1437 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15001  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1383 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1312 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1430 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15004  (
+	.A(n_62676),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1323 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1425 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15009  (
+	.A(n_77063),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_801 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1415 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15021  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1221 ),
+	.B(n_54681),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1403 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15022  (
+	.A(n_60424),
+	.B(n_90623),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1402 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15023  (
+	.A(n_79096),
+	.B(n_79311),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1401 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15025  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1271 ),
+	.B(n_79311),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1399 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15028  (
+	.A(n_40830),
+	.B(n_58731),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1396 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15032  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1195 ),
+	.B(n_57479),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1392 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15037  (
+	.A(n_79315),
+	.B(n_79311),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1387 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15041  (
+	.A(n_90488),
+	.B(n_58731),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1383 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15042  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1213 ),
+	.B(n_79311),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1382 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15044  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1164 ),
+	.B(n_57479),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1380 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15046  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1188 ),
+	.B(n_79311),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1378 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15050  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1175 ),
+	.B(n_79311),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1374 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15058  (
+	.A(n_53538),
+	.B(FE_DBTN20_n_79311),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1366 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15059  (
+	.A(n_87177),
+	.B(FE_DBTN20_n_79311),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1365 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15062  (
+	.A(n_60658),
+	.B(FE_DBTN60_n_58731),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1362 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15063  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1256 ),
+	.B(FE_DBTN20_n_79311),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1361 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15064  (
+	.A(n_78958),
+	.B(FE_DBTN60_n_58731),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1360 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15065  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2337 ),
+	.B(FE_DBTN20_n_79311),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1359 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15066  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2338 ),
+	.B(FE_DBTN20_n_79311),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1358 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15067  (
+	.A(n_87603),
+	.B(FE_DBTN20_n_79311),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1357 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15068  (
+	.A(n_76348),
+	.B(FE_DBTN20_n_79311),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1356 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15070  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1257 ),
+	.B(FE_DBTN60_n_58731),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1354 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15072  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2339 ),
+	.B(FE_DBTN60_n_58731),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1352 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15074  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1245 ),
+	.B(FE_DBTN60_n_58731),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1350 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15078  (
+	.A(n_62675),
+	.B(FE_DBTN1_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sll_527_36_n_535),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1416 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15080  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1342 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1343 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15085  (
+	.A(n_54691),
+	.B(FE_DBTN60_n_58731),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1335 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15086  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1213 ),
+	.B(FE_DBTN20_n_79311),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1334 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15089  (
+	.A(n_90488),
+	.B(FE_DBTN20_n_79311),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1331 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15090  (
+	.A(n_76350),
+	.B(FE_DBTN60_n_58731),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1330 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15091  (
+	.A(n_76689),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_801 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1329 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15097  (
+	.A(n_62645),
+	.B(FE_DBTN20_n_79311),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1323 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15098  (
+	.A(n_63854),
+	.B(FE_DBTN20_n_79311),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1322 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15104  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1271 ),
+	.B(FE_DBTN20_n_79311),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1316 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15107  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1215 ),
+	.B(FE_DBTN20_n_79311),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1313 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15108  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1212 ),
+	.B(FE_DBTN60_n_58731),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1312 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15109  (
+	.A(n_53942),
+	.B(n_79311),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1311 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15110  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1176 ),
+	.B(FE_DBTN20_n_79311),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1310 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15111  (
+	.A(n_62636),
+	.B(FE_DBTN60_n_58731),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1309 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15112  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1186 ),
+	.B(FE_DBTN60_n_58731),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1308 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15113  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1185 ),
+	.B(FE_DBTN20_n_79311),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1307 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15114  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1170 ),
+	.B(n_79311),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1306 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15117  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1195 ),
+	.B(n_46948),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1303 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15118  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1164 ),
+	.B(FE_DBTN20_n_79311),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1302 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15119  (
+	.A(n_53935),
+	.B(FE_DBTN20_n_79311),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1301 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15121  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1188 ),
+	.B(FE_DBTN20_n_79311),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1299 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15124  (
+	.A(n_76348),
+	.B(n_79311),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1296 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15125  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2337 ),
+	.B(n_58731),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1295 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15126  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2339 ),
+	.B(n_79311),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1294 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15127  (
+	.A(n_87603),
+	.B(n_58731),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1293 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15128  (
+	.A(n_60413),
+	.B(n_58731),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1292 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15129  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1245 ),
+	.B(n_57479),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1291 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15130  (
+	.A(n_78503),
+	.B(n_58731),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1290 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15131  (
+	.A(n_60658),
+	.B(n_58731),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1289 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15132  (
+	.A(n_87177),
+	.B(n_58731),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1288 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15133  (
+	.A(n_78274),
+	.B(n_79311),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1287 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15135  (
+	.A(n_78956),
+	.B(n_79311),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1285 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15141  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1238 ),
+	.B(n_57479),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1279 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15143  (
+	.A(n_63291),
+	.B(n_45535),
+	.C(n_79311),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1277 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15144  (
+	.A(n_57768),
+	.B(n_53238),
+	.C(n_79311),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1276 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15148  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1044 ),
+	.B(n_64829),
+	.C(n_41202),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1345 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15149  (
+	.A(n_79748),
+	.B(n_79490),
+	.C(n_41823),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1344 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15150  (
+	.A(n_79496),
+	.B(n_79753),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1123 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1342 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15155  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2338 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1261 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15159  (
+	.A(n_78935),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1240 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15162  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1221 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1235 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15168  (
+	.A(n_79323),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1059 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1227 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15170  (
+	.A(n_65309),
+	.B(n_61610),
+	.C(FE_DBTN44_n_64842),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1225 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15173  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1160 ),
+	.B(n_78756),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1222 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15174  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1129 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1022 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1271 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15186  (
+	.A(n_79498),
+	.B(n_79751),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_876 ),
+	.D(n_41213),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1257 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15187  (
+	.A(n_87176),
+	.B(n_79499),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1256 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15196  (
+	.A(n_79752),
+	.B(n_79489),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_908 ),
+	.D(n_41210),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1245 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15201  (
+	.A(n_79493),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1121 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1238 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15202  (
+	.A(n_62797),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1059 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1221 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15205  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1219 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1220 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15219  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1164 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1170 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15223  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1104 ),
+	.B(n_47757),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1219 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15224  (
+	.A(n_58635),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1126 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1218 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15227  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1018 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1102 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1215 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15228  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1025 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1118 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1213 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15229  (
+	.A(n_39911),
+	.B(n_39934),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1212 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15243  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1090 ),
+	.B(n_65391),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1195 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15247  (
+	.A(n_44551),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1133 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1188 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15249  (
+	.A(n_64229),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1093 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1186 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15250  (
+	.A(n_45534),
+	.B(n_43498),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1185 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15253  (
+	.A(n_64225),
+	.B(n_63292),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1181 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15256  (
+	.A(n_64228),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1047 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1176 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15257  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1030 ),
+	.B(n_64227),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1175 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15260  (
+	.A(n_69256),
+	.B(n_64166),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1164 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15265  (
+	.A(n_62988),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1160 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15266  (
+	.A(n_56855),
+	.B(FE_DBTN44_n_64842),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1159 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15269  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2236 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_989 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1156 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15271  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_864 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_668 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1154 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15272  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_669 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_849 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1153 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15273  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_669 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_854 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1152 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15279  (
+	.A(FE_DBTN78_n_81343),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_763 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1146 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15285  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_804 ),
+	.B(FE_DBTN78_n_81343),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1140 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15292  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_844 ),
+	.B(FE_DBTN78_n_81343),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1133 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15296  (
+	.A(FE_DBTN44_n_64842),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_830 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1129 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15299  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_820 ),
+	.B(FE_DBTN44_n_64842),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1126 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15302  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_840 ),
+	.B(FE_DBTN44_n_64842),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1123 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15304  (
+	.A(FE_DBTN44_n_64842),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_859 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1121 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15307  (
+	.A(n_62684),
+	.B(FE_DBTN44_n_64842),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1118 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15321  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_863 ),
+	.B(FE_DBTN44_n_64842),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1104 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15323  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_862 ),
+	.B(FE_DBTN44_n_64842),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1102 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15324  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_805 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_662 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1101 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15328  (
+	.A(n_78295),
+	.B(n_81343),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1097 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15332  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_765 ),
+	.B(n_81343),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1093 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15335  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_820 ),
+	.B(n_64842),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1090 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15338  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1023 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1087 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15350  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1003 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1075 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15353  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_999 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1072 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15364  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_764 ),
+	.B(n_81343),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1054 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15365  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_761 ),
+	.B(n_81343),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1053 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15367  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_803 ),
+	.B(n_64842),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1051 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15369  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_770 ),
+	.B(n_81343),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1049 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15371  (
+	.A(n_62782),
+	.B(n_81343),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1047 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15373  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_775 ),
+	.B(n_81343),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1045 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15374  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_823 ),
+	.B(n_64842),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1044 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15379  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_810 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_662 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1039 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15380  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_769 ),
+	.B(n_81343),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1038 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15388  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_804 ),
+	.B(n_81343),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1030 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15393  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_841 ),
+	.B(n_64842),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1025 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15395  (
+	.A(n_41216),
+	.B(n_79755),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1023 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15396  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_851 ),
+	.B(n_64842),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1022 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15400  (
+	.A(n_60654),
+	.B(n_64842),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1018 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15405  (
+	.A(n_64828),
+	.B(n_79501),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1013 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15406  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_803 ),
+	.B(n_79311),
+	.C(FE_DBTN44_n_64842),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1012 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15415  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_919 ),
+	.B(n_79504),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1003 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15419  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_921 ),
+	.B(n_79502),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_999 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15432  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_803 ),
+	.B(FE_DBTN20_n_79311),
+	.C(FE_DBTN44_n_64842),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1059 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15436  (
+	.A(n_46291),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_989 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15482  (
+	.A(FE_DBTN35_n_69877),
+	.B(n_29188),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_943 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15494  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_663 ),
+	.B(n_46253),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_931 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15503  (
+	.A(n_83983),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [31]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_922 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15504  (
+	.A(FE_DBTN35_n_69877),
+	.B(n_60613),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_921 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15506  (
+	.A(FE_DBTN35_n_69877),
+	.B(n_60541),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_919 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15510  (
+	.A(n_83983),
+	.B(n_46335),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_915 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15517  (
+	.A(FE_DBTN35_n_69877),
+	.B(n_36247),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_908 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15549  (
+	.A(FE_DBTN35_n_69877),
+	.B(n_36244),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_876 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15551  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2492 ),
+	.B(n_60541),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_874 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15561  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_853 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_854 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15563  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_848 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_849 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15566  (
+	.A(n_78291),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_837 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15572  (
+	.A(n_60417),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_810 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15574  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_804 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_805 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15590  (
+	.A(n_64853),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_557 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_785 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15597  (
+	.A(n_44476),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_741 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_778 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15599  (
+	.A(n_38399),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_737 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_776 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15600  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_745 ),
+	.B(n_79150),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_775 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15601  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_710 ),
+	.B(n_69543),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_774 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15604  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_702 ),
+	.B(n_73143),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_771 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15605  (
+	.A(n_55297),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_719 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_770 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15606  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_687 ),
+	.B(n_64851),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_769 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15607  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_564 ),
+	.B(n_69542),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_768 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15608  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_552 ),
+	.B(n_55205),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_767 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15609  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_704 ),
+	.B(n_64850),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_766 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15610  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_560 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_744 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_765 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15611  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_743 ),
+	.B(n_64846),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_764 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15612  (
+	.A(n_44477),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_747 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_763 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15613  (
+	.A(n_64859),
+	.B(n_38395),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_762 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15614  (
+	.A(n_64854),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_688 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_761 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15615  (
+	.A(n_57841),
+	.B(n_45033),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_868 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15616  (
+	.A(n_89311),
+	.B(n_64848),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_867 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15618  (
+	.A(n_55208),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_548 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_864 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15619  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_575 ),
+	.B(n_79769),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_863 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15620  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_730 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_566 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_862 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15622  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_679 ),
+	.B(n_81357),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_859 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15623  (
+	.A(n_73139),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_565 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_858 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15624  (
+	.A(n_79744),
+	.B(n_73137),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_856 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15626  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_591 ),
+	.B(n_44475),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_853 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15627  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_559 ),
+	.B(n_81358),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_851 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15629  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_543 ),
+	.B(n_79151),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_848 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15630  (
+	.A(n_79743),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_707 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_847 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15631  (
+	.A(n_75376),
+	.B(FE_DBTN47_n_63753),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_845 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15632  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_733 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_732 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_844 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15635  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_699 ),
+	.B(n_81353),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_841 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15636  (
+	.A(n_44554),
+	.B(n_45029),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_840 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15639  (
+	.A(n_71771),
+	.B(n_53552),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_834 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15641  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_544 ),
+	.B(n_81356),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_830 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15647  (
+	.A(n_79548),
+	.B(n_44553),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_823 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15650  (
+	.A(n_40184),
+	.B(n_81355),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_820 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15653  (
+	.A(n_55296),
+	.B(n_81352),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_817 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15662  (
+	.A(n_79144),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_574 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_804 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15663  (
+	.A(n_46290),
+	.B(n_79767),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_803 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15667  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2272 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_758 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15676  (
+	.A(FE_DBTN44_n_64842),
+	.B(n_87811),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_747 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15678  (
+	.A(n_64842),
+	.B(n_55070),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_745 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15679  (
+	.A(FE_DBTN44_n_64842),
+	.B(n_46359),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_744 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15680  (
+	.A(FE_DBTN44_n_64842),
+	.B(n_58657),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_743 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15681  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [8]),
+	.B(FE_DBTN78_n_81343),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_742 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15682  (
+	.A(FE_DBTN44_n_64842),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [38]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_741 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15686  (
+	.A(n_64842),
+	.B(n_36243),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_737 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15687  (
+	.A(FE_DBTN44_n_64842),
+	.B(n_59675),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_736 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15690  (
+	.A(n_64842),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [21]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_733 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15691  (
+	.A(FE_DBTN44_n_64842),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [23]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_732 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15693  (
+	.A(FE_DBTN78_n_81343),
+	.B(n_39146),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_730 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15704  (
+	.A(FE_DBTN44_n_64842),
+	.B(n_46253),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_719 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15709  (
+	.A(FE_DBTN78_n_81343),
+	.B(n_35219),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_714 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15713  (
+	.A(n_64842),
+	.B(n_61535),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_710 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15716  (
+	.A(n_64842),
+	.B(n_72106),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_707 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15719  (
+	.A(FE_DBTN44_n_64842),
+	.B(n_36249),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_704 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15721  (
+	.A(FE_DBTN44_n_64842),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [16]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_702 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15724  (
+	.A(FE_DBTN78_n_81343),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [7]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_699 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15729  (
+	.A(FE_DBTN44_n_64842),
+	.B(n_80800),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_694 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15735  (
+	.A(FE_DBTN44_n_64842),
+	.B(n_36246),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_688 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15736  (
+	.A(FE_DBTN44_n_64842),
+	.B(n_40660),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_687 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15741  (
+	.A(n_81343),
+	.B(n_36241),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_682 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15744  (
+	.A(FE_DBTN78_n_81343),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [2]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_679 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15754  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_668 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_669 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15759  (
+	.A(n_40181),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_663 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15760  (
+	.A(n_45536),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_662 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15763  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_533 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_659 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15824  (
+	.A(FE_DBTN44_n_64842),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [28]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_591 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15834  (
+	.A(n_64842),
+	.B(n_79580),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_581 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15840  (
+	.A(n_36238),
+	.B(n_81343),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_575 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15841  (
+	.A(n_64842),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [33]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_574 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15849  (
+	.A(n_81343),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [4]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_566 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15850  (
+	.A(FE_DBTN44_n_64842),
+	.B(n_58790),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_565 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15851  (
+	.A(n_64842),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [20]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_564 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15855  (
+	.A(n_64842),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [16]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_560 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15856  (
+	.A(FE_DBTN78_n_81343),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [4]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_559 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15858  (
+	.A(FE_DBTN44_n_64842),
+	.B(n_36248),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_557 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15861  (
+	.A(n_64842),
+	.B(FE_DBTN161_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sum_30),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_554 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15863  (
+	.A(n_64842),
+	.B(n_87811),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_552 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15867  (
+	.A(FE_DBTN44_n_64842),
+	.B(n_78004),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_548 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15871  (
+	.A(FE_DBTN78_n_81343),
+	.B(n_39141),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_544 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15872  (
+	.A(FE_DBTN44_n_64842),
+	.B(n_38315),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_543 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15874  (
+	.A(FE_DBTN44_n_64842),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [30]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_541 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15875  (
+	.A(FE_DBTN44_n_64842),
+	.B(n_46335),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_540 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15881  (
+	.A(n_90623),
+	.B(FE_DBTN54_n_78756),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_670 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15882  (
+	.A(FE_DBTN78_n_81343),
+	.B(FE_DBTN20_n_79311),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_668 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15883  (
+	.A(n_46948),
+	.B(FE_DBTN72_n_90623),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_535 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15886  (
+	.A(FE_DBTN72_n_90623),
+	.B(n_78756),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_533 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16428  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1812 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1734 ),
+	.C(n_90618),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2189 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16463  (
+	.A1(n_62998),
+	.A2(n_74466),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1220 ),
+	.B2(n_86406),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2224 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16475  (
+	.A(FE_DBTN20_n_79311),
+	.B(n_90623),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2236 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16491  (
+	.A_N(n_79269),
+	.B(n_63753),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2252 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16495  (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1416 ),
+	.B(n_79020),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2256 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16499  (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1059 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_659 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2260 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16504  (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_670 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1466 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2265 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16511  (
+	.A_N(n_63755),
+	.B(n_63753),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2272 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16512  (
+	.A_N(FE_DBTN47_n_63753),
+	.B(n_75376),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2273 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16514  (
+	.A_N(n_57479),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1218 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2275 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16539  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2060 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1820 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1922 ),
+	.Y(n_30767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16541  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2073 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1818 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1924 ),
+	.Y(n_30763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16542  (
+	.A1(n_44899),
+	.A2(n_54681),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1964 ),
+	.X(n_30772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g2  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2027 ),
+	.B(n_79331),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1781 ),
+	.Y(n_30765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16544  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2022 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1849 ),
+	.C(n_82293),
+	.Y(n_30769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16545  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2021 ),
+	.B(n_44063),
+	.C(n_58669),
+	.Y(n_30766), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16548  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2265 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1329 ),
+	.C(n_62980),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1225 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2310 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16551  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1257 ),
+	.A2(n_79311),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1342 ),
+	.B2(FE_DBTN60_n_58731),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2313 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16552  (
+	.A1_N(n_58731),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1240 ),
+	.B1(n_62800),
+	.B2(n_57479),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2314 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16567  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1677 ),
+	.A2(n_75445),
+	.B1(n_60490),
+	.B2(FE_DBTN63_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sll_527_36_n_957),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2329 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16568  (
+	.A1(n_54698),
+	.A2(n_76350),
+	.B1(n_86680),
+	.B2(n_76689),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2330 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16573  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1846 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1778 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2014 ),
+	.Y(n_30762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16575  (
+	.A(n_79152),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_922 ),
+	.C(n_79508),
+	.D(n_64824),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2337 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16576  (
+	.A(n_43775),
+	.B(n_79758),
+	.C(n_79491),
+	.D(n_41214),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2338 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16577  (
+	.A(n_41208),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_915 ),
+	.C(n_79509),
+	.D(n_64826),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2339 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g3  (
+	.A(n_45911),
+	.B_N(n_78756),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2341 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_fopt1  (
+	.A(n_61535),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2344 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2749  (
+	.A1(n_85120),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_88 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_92 ),
+	.Y(n_30086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2750  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_90 ),
+	.A2(n_85120),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_93 ),
+	.Y(n_30085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2751  (
+	.A1(n_85120),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_89 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_96 ),
+	.Y(n_30088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2752  (
+	.A1(n_85120),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_87 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_95 ),
+	.Y(n_30087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2754  (
+	.A1(n_29261),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_87 ),
+	.B1(n_85120),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_81 ),
+	.Y(n_30083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2755  (
+	.A1(n_29261),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_88 ),
+	.B1(n_85120),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_80 ),
+	.Y(n_30082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2756  (
+	.A1(n_29261),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_90 ),
+	.B1(n_85120),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_79 ),
+	.Y(n_30081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2757  (
+	.A1(n_29261),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_77 ),
+	.B1(n_85120),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_74 ),
+	.Y(n_30075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2758  (
+	.A1(n_29261),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_76 ),
+	.B1(n_85120),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_61 ),
+	.Y(n_30074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2759  (
+	.A1(n_29261),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_75 ),
+	.B1(n_85120),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_66 ),
+	.Y(n_30073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2760  (
+	.A1(n_29261),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_82 ),
+	.B1(n_85120),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_78 ),
+	.Y(n_30080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2761  (
+	.A1(n_29261),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_81 ),
+	.B1(n_85120),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_77 ),
+	.Y(n_30079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2763  (
+	.A1(n_29261),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_79 ),
+	.B1(n_85120),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_75 ),
+	.Y(n_30077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2764  (
+	.A1(n_29261),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_78 ),
+	.B1(n_85120),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_64 ),
+	.Y(n_30076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2765  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_62 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_5 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_69 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_96 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2766  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_71 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_5 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_68 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_95 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2767  (
+	.A1(n_74984),
+	.A2(n_85120),
+	.A3(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_36 ),
+	.B1(n_29261),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_61 ),
+	.Y(n_30070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2768  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_71 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_6 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_5 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_67 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_93 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2769  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_73 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_5 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_62 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_6 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_92 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2770  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_74 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_70 ),
+	.S(n_29261),
+	.Y(n_30071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2771  (
+	.A1(n_29261),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_66 ),
+	.B1(n_85120),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_29 ),
+	.Y(n_30069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2772  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_65 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_64 ),
+	.S(n_85120),
+	.Y(n_30072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2773  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_72 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_58 ),
+	.S(n_74984),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_90 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2774  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_73 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_63 ),
+	.S(n_74984),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_89 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2775  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_63 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_59 ),
+	.S(n_74984),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_88 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2776  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_67 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_72 ),
+	.S(n_74984),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_87 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2777  (
+	.A(n_29261),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_65 ),
+	.Y(n_30068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2778  (
+	.A(n_29261),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_70 ),
+	.Y(n_30067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2779  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_59 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_54 ),
+	.S(n_74984),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_82 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2780  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_58 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_57 ),
+	.S(n_74984),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_81 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2781  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_54 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_56 ),
+	.S(n_74984),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_80 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2782  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_57 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_55 ),
+	.S(n_74984),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_79 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2783  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_56 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_53 ),
+	.S(n_74984),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_78 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2784  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_55 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_52 ),
+	.S(n_74984),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_77 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2785  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_53 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_38 ),
+	.S(n_74984),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_76 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2786  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_52 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_43 ),
+	.S(n_74984),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_75 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2787  (
+	.A1(n_42770),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_16 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_15 ),
+	.B2(n_76353),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_69 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2788  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_16 ),
+	.A2(n_76353),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_39 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_15 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_68 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2789  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_43 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_41 ),
+	.S(n_74984),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_74 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2790  (
+	.A0(n_87178),
+	.A1(n_59593),
+	.S(n_83780),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_73 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2791  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_50 ),
+	.A1(n_71355),
+	.S(n_83780),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_72 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2792  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_47 ),
+	.A1(n_87178),
+	.S(n_83780),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_71 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2793  (
+	.A1(n_83780),
+	.A2(FE_DBTN36_n_74984),
+	.A3(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_18 ),
+	.B1(n_74984),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_40 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_70 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2794  (
+	.A0(n_59593),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_48 ),
+	.S(n_83780),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_67 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2795  (
+	.A1_N(FE_DBTN36_n_74984),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_40 ),
+	.B1(FE_DBTN36_n_74984),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_41 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_66 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2796  (
+	.A1_N(FE_DBTN36_n_74984),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_36 ),
+	.B1(FE_DBTN36_n_74984),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_37 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_65 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2797  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_38 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_42 ),
+	.S(n_74984),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_64 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2798  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_48 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_50 ),
+	.S(n_83780),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_63 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2799  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_39 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_47 ),
+	.S(n_83780),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_62 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2800  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_37 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_42 ),
+	.S(FE_DBTN36_n_74984),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_61 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2801  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_36 ),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_6 ),
+	.Y(n_30066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2802  (
+	.A0(n_71355),
+	.A1(n_71360),
+	.S(n_83780),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_59 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2803  (
+	.A0(n_71360),
+	.A1(n_71358),
+	.S(n_83780),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_58 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2804  (
+	.A0(n_71361),
+	.A1(n_71359),
+	.S(n_83780),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_57 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2805  (
+	.A0(n_71359),
+	.A1(n_71353),
+	.S(n_83780),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_56 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2806  (
+	.A0(n_71353),
+	.A1(n_71352),
+	.S(n_83780),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_55 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2807  (
+	.A0(n_71358),
+	.A1(n_71361),
+	.S(n_83780),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_54 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2808  (
+	.A0(n_71352),
+	.A1(n_71357),
+	.S(n_83780),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_53 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2809  (
+	.A0(n_71357),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_19 ),
+	.S(n_83780),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_52 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2811  (
+	.A(n_29261),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_29 ),
+	.Y(n_30065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2813  (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_8 ),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_14 ),
+	.B1(n_61467),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_8 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_50 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2815  (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
+	.A2_N(n_71351),
+	.B1(\soc_top_u_top_u_core_fp_operands[0] [9]),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_48 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2816  (
+	.A1_N(n_87514),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_8 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_8 ),
+	.B2(n_46208),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_47 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2818  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_20 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_24 ),
+	.S(n_83780),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_43 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2819  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_24 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_21 ),
+	.S(n_83780),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_42 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2820  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_21 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_23 ),
+	.S(n_83780),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_41 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2821  (
+	.A0(n_61657),
+	.A1(n_70600),
+	.S(n_83780),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_40 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2822  (
+	.A1_N(n_62568),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_8 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_8 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_10 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_39 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2823  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_19 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_20 ),
+	.S(n_83780),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_38 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2824  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_23 ),
+	.A1(n_61657),
+	.S(n_83780),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_37 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2825  (
+	.A1(n_83780),
+	.A2(n_70600),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_0 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_18 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_36 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2834  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_18 ),
+	.B(n_83780),
+	.C(n_74984),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_29 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2837  (
+	.A(n_83980),
+	.B(n_62568),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_24 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2838  (
+	.A(n_83980),
+	.B(n_58897),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_23 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2840  (
+	.A(n_83980),
+	.B(n_87514),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_21 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2841  (
+	.A(n_83980),
+	.B(n_61199),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_20 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2842  (
+	.A(n_83980),
+	.B(n_58169),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_19 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2843  (
+	.A(n_83980),
+	.B(n_61467),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_18 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2844  (
+	.A1(n_83982),
+	.A2(\soc_top_u_top_u_core_fp_operands[0] [16]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
+	.B2(n_77843),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_14 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2848  (
+	.A0(n_58418),
+	.A1(n_61234),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_10 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2850  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_6 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_16 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2851  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_6 ),
+	.B(n_83780),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_15 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2855  (
+	.A(n_74984),
+	.B(n_29261),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_6 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2856  (
+	.A(n_29261),
+	.B(FE_DBTN36_n_74984),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_5 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2724  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_63 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_5 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_70 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_97 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2725  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_72 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_5 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_69 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_96 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2727  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_72 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_6 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_68 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_5 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_94 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2728  (
+	.A1(n_71008),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_5 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_63 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_6 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_93 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2732  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_73 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_59 ),
+	.S(n_71004),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_91 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2734  (
+	.A0(n_71006),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_60 ),
+	.S(n_71004),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_89 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2735  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_68 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_73 ),
+	.S(n_71004),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_88 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2736  (
+	.A(n_71731),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_66 ),
+	.Y(n_30044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2738  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_60 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_55 ),
+	.S(n_71004),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_83 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2739  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_59 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_58 ),
+	.S(n_71004),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_82 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2740  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_55 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_57 ),
+	.S(n_71004),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_81 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2741  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_58 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_56 ),
+	.S(n_71004),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_80 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2742  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_57 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_54 ),
+	.S(n_71004),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_79 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2743  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_56 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_53 ),
+	.S(n_71004),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_78 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2744  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_54 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_39 ),
+	.S(n_71004),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_77 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2745  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_53 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_44 ),
+	.S(n_71004),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_76 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2746  (
+	.A1(n_40444),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_17 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_16 ),
+	.B2(n_68919),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_70 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2747  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_17 ),
+	.A2(n_68919),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_40 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_16 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_69 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2748  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_44 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_42 ),
+	.S(n_71004),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_75 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2750  (
+	.A1(n_70931),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_51 ),
+	.B1(n_40445),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_638 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_73 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2751  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_48 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_144 ),
+	.S(n_70931),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_72 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2752  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_20 ),
+	.A2(n_71004),
+	.A3(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_638 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_41 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_71 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2753  (
+	.A1(n_70931),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_47 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_638 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_49 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_68 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2754  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_42 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_41 ),
+	.S(n_71004),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_67 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2755  (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_37 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_38 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_66 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2756  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_43 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_39 ),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_65 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2758  (
+	.A1(n_70931),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_40 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_638 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_48 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_63 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2759  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_38 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_43 ),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_62 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2760  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_37 ),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_6 ),
+	.Y(n_30042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2761  (
+	.A1(n_40445),
+	.A2(n_70931),
+	.B1(n_68920),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_638 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_60 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2762  (
+	.A1(n_68920),
+	.A2(n_70931),
+	.B1(n_59612),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_638 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_59 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2763  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_33 ),
+	.A2(n_70931),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_32 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_638 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_58 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2764  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_32 ),
+	.A2(n_70931),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_34 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_638 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_57 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2765  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_34 ),
+	.A2(n_70931),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_30 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_638 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_56 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2766  (
+	.A1(n_59612),
+	.A2(n_70931),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_33 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_638 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_55 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2767  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_30 ),
+	.A2(n_70931),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_29 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_638 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_54 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2768  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_29 ),
+	.A2(n_70931),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_638 ),
+	.B2(n_40443),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_53 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2770  (
+	.A(n_71731),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_36 ),
+	.Y(n_30041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2772  (
+	.A1_N(n_14959),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_15 ),
+	.B1(n_81664),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_7 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_51 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2774  (
+	.A1(n_73334),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_2 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_8 ),
+	.B2(n_74529),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_49 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2775  (
+	.A1_N(n_14959),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_12 ),
+	.B1(n_61337),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_7 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_48 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2776  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_14 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_2 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_8 ),
+	.B2(n_75516),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_47 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2777  (
+	.A1(n_70931),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_22 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_638 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_26 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_44 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2778  (
+	.A1(n_70931),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_26 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_638 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_23 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_43 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2779  (
+	.A1(n_70931),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_23 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_638 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_25 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_42 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2780  (
+	.A1(n_70931),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_24 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_638 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_18 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_41 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2781  (
+	.A1_N(n_14959),
+	.A2_N(n_59613),
+	.B1(n_78034),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_7 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_40 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2782  (
+	.A1(n_70931),
+	.A2(n_40443),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_638 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_22 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_39 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2783  (
+	.A1(n_70931),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_25 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_24 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_638 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_38 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2784  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_638 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_19 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_20 ),
+	.B2(n_70931),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_37 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2785  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_638 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_20 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_36 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2787  (
+	.A1(n_75516),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [3]),
+	.B1(n_49541),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_2 ),
+	.C1(n_71730),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_34 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2788  (
+	.A1(n_41953),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [3]),
+	.B1(n_61337),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_2 ),
+	.C1(n_71730),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_33 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2789  (
+	.A1(n_38885),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [3]),
+	.B1(n_58890),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_2 ),
+	.C1(n_71730),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_32 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2791  (
+	.A1(n_74529),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [3]),
+	.B1(n_90504),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_2 ),
+	.C1(n_71730),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_30 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2792  (
+	.A1(n_92783),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [3]),
+	.B1(n_81664),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_2 ),
+	.C1(n_71730),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_29 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2795  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_10 ),
+	.B(n_78034),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_26 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2796  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_10 ),
+	.B(n_58890),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_25 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2797  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_10 ),
+	.B(n_49541),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_24 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2798  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_10 ),
+	.B(n_61337),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_23 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2799  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_10 ),
+	.B(n_81530),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_22 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2801  (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_9 ),
+	.B(n_81664),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_20 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2802  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_18 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_19 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2803  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_2 ),
+	.A2(soc_top_u_top_u_core_lsu_wdata[16]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [3]),
+	.B2(n_92783),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_15 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2804  (
+	.A1(n_71730),
+	.A2(soc_top_u_top_u_core_lsu_wdata[18]),
+	.B1(n_14959),
+	.B2(n_49541),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_14 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2806  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_2 ),
+	.A2(n_63780),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [3]),
+	.B2(n_41953),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_12 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2808  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_10 ),
+	.B(n_90504),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_18 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2809  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_6 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_638 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_17 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2810  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_6 ),
+	.B(n_70931),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_16 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2811  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_9 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_10 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2812  (
+	.A(n_71730),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_2 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_9 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2813  (
+	.A(n_14959),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_2 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_8 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2814  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [3]),
+	.B(n_71730),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_7 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2815  (
+	.A(n_71004),
+	.B(n_71731),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_6 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2816  (
+	.A(n_71731),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_5 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2817  (
+	.A(n_71004),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2819  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [3]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_2 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_10 ),
+	.A2(soc_top_u_top_u_core_lsu_wdata[19]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_7 ),
+	.B2(n_58890),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_8 ),
+	.C2(n_38885),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_144 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g711  (
+	.A(n_69916),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_79 ),
+	.C(n_40737),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_80 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g712  (
+	.A1(n_71914),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_40 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_50 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_79 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g714  (
+	.A(n_91432),
+	.B(n_79230),
+	.C(n_80225),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_77 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g716  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_74 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_11 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_17 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_75 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g717  (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_46 ),
+	.A2_N(n_72462),
+	.B1(n_81728),
+	.B2(n_12958),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_74 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g725  (
+	.A1(n_74355),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_65 ),
+	.B1(n_74354),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_66 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g726  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_64 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_12 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_14 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_65 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g727  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_30 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_63 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_47 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_64 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g728  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_62 ),
+	.A2(n_59592),
+	.B1(n_59591),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_63 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g729  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_44 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_61 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_27 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_62 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g730  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_60 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_42 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_43 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_61 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g731  (
+	.A1(n_40442),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_59 ),
+	.B1(n_40441),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_60 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g732  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_58 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_35 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_38 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_59 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g733  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_33 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_57 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_19 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_58 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g734  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_56 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_26 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_52 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_57 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g735  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_21 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_55 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_49 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_56 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g736  (
+	.A1(n_70599),
+	.A2(n_74577),
+	.B1(n_74576),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_55 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g739  (
+	.A(n_61337),
+	.B_N(n_87514),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_52 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g741  (
+	.A(n_73576),
+	.B_N(n_40712),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_50 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g742  (
+	.A_N(n_58890),
+	.B(n_58897),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_49 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g744  (
+	.A_N(n_38885),
+	.B(n_29042),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_47 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g745  (
+	.A(n_12958),
+	.B(n_81728),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_46 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g747  (
+	.A(\soc_top_u_top_u_core_fp_operands[0] [9]),
+	.B_N(n_74529),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_44 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g748  (
+	.A_N(n_92783),
+	.B(n_77843),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_43 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g749  (
+	.A_N(n_77843),
+	.B(n_92783),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_42 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g751  (
+	.A_N(n_40712),
+	.B(n_73576),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_40 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g753  (
+	.A(n_81530),
+	.B_N(n_61199),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_38 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g756  (
+	.A(n_61199),
+	.B_N(n_81530),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_35 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g757  (
+	.A_N(n_75771),
+	.B(soc_top_u_top_u_core_lsu_wdata[19]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_34 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g758  (
+	.A(n_62568),
+	.B_N(n_78034),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_33 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g760  (
+	.A(n_29042),
+	.B_N(n_38885),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_30 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g763  (
+	.A_N(n_74529),
+	.B(\soc_top_u_top_u_core_fp_operands[0] [9]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_27 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g764  (
+	.A_N(n_87514),
+	.B(n_61337),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_26 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g765  (
+	.A(soc_top_u_top_u_core_lsu_wdata[16]),
+	.B_N(\soc_top_u_top_u_core_fp_operands[0] [16]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_25 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g766  (
+	.A(soc_top_u_top_u_core_lsu_wdata[19]),
+	.B_N(n_75771),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_24 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g767  (
+	.A_N(\soc_top_u_top_u_core_fp_operands[0] [16]),
+	.B(soc_top_u_top_u_core_lsu_wdata[16]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_23 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g769  (
+	.A(n_58897),
+	.B_N(n_58890),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_21 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g771  (
+	.A_N(n_78034),
+	.B(n_62568),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_19 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g773  (
+	.A(n_80790),
+	.B_N(n_80788),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_17 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g776  (
+	.A(n_41953),
+	.B_N(n_61246),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_14 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g778  (
+	.A_N(n_61246),
+	.B(n_41953),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_12 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g779  (
+	.A_N(n_80788),
+	.B(n_80790),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_11 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g780  (
+	.A_N(n_61467),
+	.B(n_81664),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_31 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2326  (
+	.A(\soc_top_u_top_u_core_fp_operands[0] [9]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_343 ),
+	.Y(n_31378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2327  (
+	.A(n_64007),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_208 ),
+	.Y(n_31374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2328  (
+	.A1_N(n_84034),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_84 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_84 ),
+	.B2(n_84034),
+	.Y(n_31398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2330  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_366 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_249 ),
+	.Y(n_31396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2332  (
+	.A(n_91432),
+	.B(n_84031),
+	.Y(n_31393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2333  (
+	.A1(n_54294),
+	.A2(n_84037),
+	.B1(n_75650),
+	.Y(n_31400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2335  (
+	.A(n_59694),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_252 ),
+	.Y(n_31388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2336  (
+	.A(n_26214),
+	.B(n_84036),
+	.Y(n_31392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2337  (
+	.A1_N(n_46205),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_235 ),
+	.B1(n_46205),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_235 ),
+	.Y(n_31389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2339  (
+	.A1_N(n_84032),
+	.A2_N(n_72427),
+	.B1(n_72427),
+	.B2(n_84032),
+	.Y(n_31391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2341  (
+	.A1_N(FE_DBTN56_n_59622),
+	.A2_N(n_84039),
+	.B1(FE_DBTN56_n_59622),
+	.B2(n_84039),
+	.Y(n_31399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2344  (
+	.A(FE_DBTN8_n_84026),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_330 ),
+	.C(n_75771),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_252 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2347  (
+	.A(n_75482),
+	.B(FE_DBTN8_n_84026),
+	.C(n_61021),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_249 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2348  (
+	.A(n_40843),
+	.B(FE_DBTN8_n_84026),
+	.C(n_40712),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_248 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2351  (
+	.A(n_61234),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_390 ),
+	.Y(n_31382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2352  (
+	.A(n_29042),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_391 ),
+	.Y(n_31380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2353  (
+	.A(n_58897),
+	.B(n_61663),
+	.Y(n_31372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2358  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_314 ),
+	.B(FE_DBTN8_n_84026),
+	.C(n_59102),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_238 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2362  (
+	.A(FE_DBTN8_n_84026),
+	.B(n_75247),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_235 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2367  (
+	.A(FE_DBTN8_n_84026),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_117 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_229 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2369  (
+	.A(n_86618),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_394 ),
+	.Y(n_31376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2370  (
+	.A(n_77847),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_200 ),
+	.Y(n_31377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2378  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_368 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_200 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_218 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2379  (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_200 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_140 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_217 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2387  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_177 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_122 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_209 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2388  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_177 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_424 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_208 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2396  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_177 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_145 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_200 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2401  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_353 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_195 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2418  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_172 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_177 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2422  (
+	.A(n_84903),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_156 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_184 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2424  (
+	.A(n_70605),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_45 ),
+	.C(n_61661),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_172 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2426  (
+	.A(n_70605),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_170 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2440  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_133 ),
+	.B(n_53737),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_156 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2441  (
+	.A(n_75247),
+	.B(n_46205),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_155 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2451  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_126 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_121 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_145 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2461  (
+	.A(FE_DBTN56_n_59622),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_84 ),
+	.C(FE_DBTN142_soc_top_u_top_u_core_fp_operands_0_28),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_133 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2462  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_126 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_99 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_132 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2463  (
+	.A(n_85100),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_112 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_131 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_8 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2465  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_111 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_368 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_140 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2472  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_121 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_122 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2476  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_108 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_117 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2480  (
+	.A(n_26214),
+	.B(n_26564),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_112 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2481  (
+	.A_N(n_29042),
+	.B(n_81690),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_111 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2482  (
+	.A(n_75460),
+	.B(n_86618),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_126 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2486  (
+	.A(n_64007),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_424 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_121 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2487  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_76 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_11 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_108 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2496  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_16 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_45 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_99 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2497  (
+	.A(n_61021),
+	.B(n_40712),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_98 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2501  (
+	.A(n_87514),
+	.B(n_61234),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_94 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2502  (
+	.A(n_61234),
+	.B(n_61246),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_102 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2527  (
+	.A(n_43096),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_69 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2550  (
+	.A(n_58897),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_45 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2580  (
+	.A(n_61246),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_16 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2585  (
+	.A(\soc_top_u_top_u_core_fp_operands[0] [16]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_11 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2600  (
+	.A(n_84903),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_428 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_314 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2616  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_117 ),
+	.B(n_86735),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_330 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2629  (
+	.A(n_77847),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_201 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_343 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2639  (
+	.A_N(n_53737),
+	.B(n_84903),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_353 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2649  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_69 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_387 ),
+	.X(n_31383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2650  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_424 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_177 ),
+	.X(n_31373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2652  (
+	.A_N(n_61021),
+	.B(n_84035),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_366 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2653  (
+	.A(n_75460),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_209 ),
+	.Y(n_31375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2654  (
+	.A_N(\soc_top_u_top_u_core_fp_operands[0] [9]),
+	.B(n_77847),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_368 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2658  (
+	.A(FE_DBTN8_n_84026),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_11 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_372 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2673  (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_200 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_140 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_102 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_387 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2676  (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_200 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_140 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_16 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_390 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2677  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_200 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_368 ),
+	.C_N(n_81690),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_391 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2680  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_177 ),
+	.B(n_75460),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_122 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_394 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_fopt1  (
+	.A(n_87514),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_424 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3667  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_150 ),
+	.A2(n_16340),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_144 ),
+	.B2(n_74733),
+	.X(n_31367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3672  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_145 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_125 ),
+	.S(n_74733),
+	.Y(n_31354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3673  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_137 ),
+	.A2(n_16340),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_135 ),
+	.B2(n_74733),
+	.X(n_31362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3675  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_143 ),
+	.A2(n_16340),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_137 ),
+	.B2(n_74733),
+	.X(n_31364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3681  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_147 ),
+	.B(n_74733),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_160 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3682  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_150 ),
+	.B(n_74733),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_159 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3685  (
+	.A1(n_74733),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_124 ),
+	.B1(n_16340),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_122 ),
+	.Y(n_31351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3691  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_148 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_149 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3694  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_113 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_105 ),
+	.S(n_16342),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_150 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3695  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_98 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_118 ),
+	.B2(n_16342),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_148 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3696  (
+	.A1(n_16342),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_105 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_117 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_147 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3697  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_116 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_118 ),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_146 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3698  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_116 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
+	.B1(n_16342),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_92 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_145 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3699  (
+	.A1(n_16342),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_117 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_114 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_144 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3700  (
+	.A1(n_16342),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_114 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_112 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_143 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3703  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_133 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_134 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3706  (
+	.A1_N(n_74733),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_94 ),
+	.B1(n_74733),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_96 ),
+	.Y(n_31344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3707  (
+	.A1(n_16342),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_106 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_103 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_139 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3708  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_98 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_100 ),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_138 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3709  (
+	.A1(n_16342),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_104 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_106 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_137 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3710  (
+	.A1(n_16342),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_103 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_102 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_135 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3711  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_101 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_102 ),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_133 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3712  (
+	.A1(n_16342),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_101 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_99 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_132 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3713  (
+	.A1(n_16342),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_112 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_104 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_130 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3715  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_92 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_91 ),
+	.B2(n_16342),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_126 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3716  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_91 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_90 ),
+	.B2(n_16342),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_125 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3717  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_90 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_89 ),
+	.B2(n_16342),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_124 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3718  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_89 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_88 ),
+	.B2(n_16342),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_123 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3719  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_87 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_88 ),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_122 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3720  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_86 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_87 ),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_121 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3721  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_85 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_86 ),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_120 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3722  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_85 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_80 ),
+	.B2(n_16342),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_119 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3723  (
+	.A1(n_16340),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_54 ),
+	.A3(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_56 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_7 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_111 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3724  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_55 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_6 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_54 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_5 ),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_72 ),
+	.Y(n_31340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3726  (
+	.A1(n_16340),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_55 ),
+	.A3(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_54 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_7 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_108 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3727  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_83 ),
+	.B(n_74733),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_107 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3728  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_76 ),
+	.A2(n_16315),
+	.B1(n_74730),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_59 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_118 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3729  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_82 ),
+	.A2(n_16315),
+	.B1(n_74730),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_75 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_117 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3730  (
+	.A1_N(n_16315),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_65 ),
+	.B1(n_16315),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_53 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_116 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3731  (
+	.A1(n_16315),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_61 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_74 ),
+	.B2(n_74730),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_115 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3732  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_74 ),
+	.A2(n_16315),
+	.B1(n_74730),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_73 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_114 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3733  (
+	.A1(n_16315),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_60 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_66 ),
+	.B2(n_74730),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_113 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3734  (
+	.A1(n_16315),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_66 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_67 ),
+	.B2(n_74730),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_112 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3735  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_99 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_100 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3736  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_82 ),
+	.A2(n_74730),
+	.B1(n_16315),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_62 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_93 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3737  (
+	.A1(n_16315),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_75 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_70 ),
+	.B2(n_74730),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_106 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3738  (
+	.A1(n_16315),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_63 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_69 ),
+	.B2(n_74730),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_105 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3739  (
+	.A1(n_16315),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_69 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_64 ),
+	.B2(n_74730),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_104 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3740  (
+	.A1(n_16315),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_73 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_68 ),
+	.B2(n_74730),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_103 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3741  (
+	.A1(n_16315),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_67 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_76 ),
+	.B2(n_74730),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_102 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3742  (
+	.A1(n_16315),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_64 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_65 ),
+	.B2(n_74730),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_101 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3743  (
+	.A1(n_16315),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_70 ),
+	.B1(n_74730),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_48 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_99 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3744  (
+	.A1_N(n_16315),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_68 ),
+	.B1(n_16315),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_58 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_98 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3745  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_80 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_79 ),
+	.B2(n_16342),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_97 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3746  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_79 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_81 ),
+	.B2(n_16342),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_96 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3747  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_81 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_78 ),
+	.B2(n_16342),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_95 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3748  (
+	.A1(n_16342),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_77 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_56 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_94 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3749  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_48 ),
+	.A2(n_16315),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_52 ),
+	.B2(n_74730),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_92 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3750  (
+	.A1(n_74730),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_58 ),
+	.B1(n_16315),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_51 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_91 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3751  (
+	.A1_N(n_16315),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_59 ),
+	.B1(n_16315),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_50 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_90 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3752  (
+	.A1(n_74730),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_53 ),
+	.B1(n_16315),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_49 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_89 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3753  (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_52 ),
+	.A2_N(n_16315),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_40 ),
+	.B2(n_16315),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_88 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3754  (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_42 ),
+	.A2_N(n_74730),
+	.B1(n_74730),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_51 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_87 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3755  (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_47 ),
+	.A2_N(n_74730),
+	.B1(n_74730),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_50 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_86 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3756  (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_44 ),
+	.A2_N(n_74730),
+	.B1(n_74730),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_49 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_85 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3758  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_55 ),
+	.A2(n_16342),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_57 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_83 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3759  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_77 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_78 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3760  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_57 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_7 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_72 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3762  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_26 ),
+	.A2(n_35100),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_32 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_82 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3763  (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_47 ),
+	.A2_N(n_16315),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_43 ),
+	.B2(n_16315),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_81 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3764  (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_46 ),
+	.A2_N(n_74730),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_40 ),
+	.B2(n_74730),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_80 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3765  (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_42 ),
+	.A2_N(n_16315),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_45 ),
+	.B2(n_16315),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_79 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3766  (
+	.A1(n_16315),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_44 ),
+	.B1(n_74730),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_41 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_77 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3767  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_34 ),
+	.A2(n_35100),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_8 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_76 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3768  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_38 ),
+	.A2(n_35100),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_9 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_75 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3769  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_28 ),
+	.A2(n_35100),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_39 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_74 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3770  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_33 ),
+	.A2(n_35100),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_18 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_73 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3771  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_36 ),
+	.A2(n_35100),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_31 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_63 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3772  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_29 ),
+	.A2(n_35100),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_38 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_62 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3773  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_24 ),
+	.A2(n_35100),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_33 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_61 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3774  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_37 ),
+	.A2(n_35100),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_35 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_60 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3775  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_32 ),
+	.A2(n_35100),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_19 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_70 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3776  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_27 ),
+	.A2(n_35100),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_30 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_69 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3777  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_39 ),
+	.A2(n_35100),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_16 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_68 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3778  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_35 ),
+	.A2(n_35100),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_14 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_67 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3779  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_25 ),
+	.A2(n_35100),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_34 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_66 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3780  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_30 ),
+	.A2(n_35100),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_15 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_65 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3781  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_31 ),
+	.A2(n_35100),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_21 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_64 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3782  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_14 ),
+	.A2(n_35100),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_22 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_59 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3783  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_18 ),
+	.A2(n_35100),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_13 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_58 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3784  (
+	.A(n_16315),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_41 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_57 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3785  (
+	.A(n_16315),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_46 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_56 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3786  (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_43 ),
+	.B(n_16315),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_55 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3787  (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_45 ),
+	.B(n_16315),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_54 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3788  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_21 ),
+	.A2(n_35100),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_11 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_53 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3789  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_19 ),
+	.A2(n_35100),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_23 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_52 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3790  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_16 ),
+	.A2(n_35100),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_12 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_51 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3791  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_8 ),
+	.A2(n_35100),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_17 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_50 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3792  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_15 ),
+	.A2(n_35100),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_10 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_49 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3793  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_9 ),
+	.A2(n_35100),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_20 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_48 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3794  (
+	.A1(n_16257),
+	.A2(n_84363),
+	.B1(n_16258),
+	.B2(n_36081),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_37 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3795  (
+	.A1(n_16257),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [28]),
+	.B1(n_16258),
+	.B2(n_36066),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_36 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3796  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_22 ),
+	.B(n_35100),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_47 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3797  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_23 ),
+	.B(n_35100),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_46 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3798  (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_12 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_45 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3799  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_11 ),
+	.B(n_35100),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_44 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3800  (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_17 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_43 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3801  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_13 ),
+	.B(n_35100),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_42 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3802  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_10 ),
+	.B(n_35100),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_41 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3803  (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_20 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_40 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3804  (
+	.A1(n_16257),
+	.A2(n_87646),
+	.B1(n_16258),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [2]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_39 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3805  (
+	.A1(n_16257),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [23]),
+	.B1(n_16258),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [7]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_38 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3806  (
+	.A1(n_16257),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [31]),
+	.B1(n_16258),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [15]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_29 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3807  (
+	.A1(n_16257),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [26]),
+	.B1(n_16258),
+	.B2(n_36083),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_28 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3808  (
+	.A1(n_16257),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [24]),
+	.B1(n_16258),
+	.B2(n_36067),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_27 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3809  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [27]),
+	.A2(n_16258),
+	.B1(n_76134),
+	.B2(n_16257),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_26 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3810  (
+	.A1(n_16257),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [25]),
+	.B1(n_16258),
+	.B2(n_36077),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_25 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3811  (
+	.A1(n_16257),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [30]),
+	.B1(n_16258),
+	.B2(n_36068),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_24 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3812  (
+	.A1(n_16257),
+	.A2(n_76963),
+	.B1(n_16258),
+	.B2(n_36555),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_35 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3813  (
+	.A1(n_16257),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [17]),
+	.B1(n_16258),
+	.B2(n_70597),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_34 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3814  (
+	.A1(n_16257),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [22]),
+	.B1(n_16258),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [6]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_33 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3815  (
+	.A1(n_16257),
+	.A2(n_87080),
+	.B1(n_16258),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [3]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_32 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3816  (
+	.A1(n_16257),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [20]),
+	.B1(n_16258),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [4]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_31 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3817  (
+	.A1(n_16257),
+	.A2(n_36065),
+	.B1(n_16258),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [0]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_30 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3818  (
+	.A(n_16257),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [3]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_23 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3819  (
+	.A(n_16257),
+	.B(n_36555),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_22 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3820  (
+	.A(n_16257),
+	.B(n_36066),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_21 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3821  (
+	.A(n_16257),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [7]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_20 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3822  (
+	.A(n_16257),
+	.B(n_76134),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_19 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3823  (
+	.A(n_16257),
+	.B(n_36068),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_18 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3824  (
+	.A(n_16257),
+	.B(n_70597),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_17 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3825  (
+	.A(n_16257),
+	.B(n_36083),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_16 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3826  (
+	.A(n_16257),
+	.B(n_36067),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_15 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3827  (
+	.A(n_16257),
+	.B(n_36081),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_14 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3828  (
+	.A(n_16257),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [6]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_13 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3829  (
+	.A(n_16257),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [2]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_12 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3830  (
+	.A(n_16257),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [4]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_11 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3831  (
+	.A(n_16257),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [0]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_10 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3832  (
+	.A(n_16257),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [15]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_9 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3833  (
+	.A(n_16257),
+	.B(n_36077),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_8 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3834  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
+	.B(n_74733),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_7 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3835  (
+	.A(n_16342),
+	.B(n_16340),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_6 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3836  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ),
+	.B(n_16340),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_5 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3837  (
+	.A(n_35100),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_12 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g3838  (
+	.A(n_16342),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_3 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11434  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_335 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1434 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1450 ),
+	.Y(n_31212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11435  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_335 ),
+	.A2(n_75969),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1452 ),
+	.Y(n_31215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11436  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_335 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1415 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1404 ),
+	.Y(n_31217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11447  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1329 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1417 ),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_335 ),
+	.Y(n_31234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11448  (
+	.A1(n_31304),
+	.A2(n_75969),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1488 ),
+	.Y(n_31231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11450  (
+	.A1(n_31304),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1436 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1486 ),
+	.Y(n_31229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11451  (
+	.A1(n_31304),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1434 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1485 ),
+	.Y(n_31228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11453  (
+	.A1(n_31304),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1429 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1484 ),
+	.Y(n_31226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11454  (
+	.A1(n_31304),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1442 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1489 ),
+	.Y(n_31232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11456  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1516 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1482 ),
+	.Y(n_31223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11457  (
+	.A1_N(n_31304),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1331 ),
+	.B1(n_31304),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1423 ),
+	.Y(n_31222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11459  (
+	.A1(n_31304),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1418 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1480 ),
+	.Y(n_31220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11461  (
+	.A1(n_31304),
+	.A2(n_86956),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1524 ),
+	.Y(n_31218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11462  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_335 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1319 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1515 ),
+	.Y(n_31233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11463  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1416 ),
+	.B(n_31304),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1524 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11466  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1427 ),
+	.B(n_31304),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1521 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11468  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1425 ),
+	.B(n_31304),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1519 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11469  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1427 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_335 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1518 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11471  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1425 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_335 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1516 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11472  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1414 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_335 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1515 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11476  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_556 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1895 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1772 ),
+	.Y(n_31277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11480  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1338 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_335 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1969 ),
+	.B2(n_31304),
+	.X(n_31275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11481  (
+	.A1_N(n_31304),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1333 ),
+	.B1(n_75278),
+	.B2(n_31304),
+	.Y(n_31274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11482  (
+	.A1(n_31304),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1335 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1478 ),
+	.Y(n_31273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11483  (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_335 ),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1349 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_335 ),
+	.B2(n_75277),
+	.Y(n_31272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11484  (
+	.A1_N(n_31304),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1342 ),
+	.B1(n_31304),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1328 ),
+	.Y(n_31270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11485  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_335 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1337 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1468 ),
+	.Y(n_31269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11486  (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1323 ),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_335 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_335 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1322 ),
+	.Y(n_31268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11487  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_335 ),
+	.A2(n_38120),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1469 ),
+	.Y(n_31271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11497  (
+	.A(n_31304),
+	.B(n_75277),
+	.Y(n_31289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11498  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1340 ),
+	.B(n_31304),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1489 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11499  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1347 ),
+	.B(n_31304),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1488 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11501  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1351 ),
+	.B(n_31304),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1486 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11502  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1343 ),
+	.B(n_31304),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1485 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11503  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1338 ),
+	.B(n_31304),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1484 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11505  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1349 ),
+	.B(n_31304),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1482 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11507  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1325 ),
+	.B(n_31304),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1480 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11508  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1323 ),
+	.B(n_31304),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1479 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11509  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1360 ),
+	.B(n_31304),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1478 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11518  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1331 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_335 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1469 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11519  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1325 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_335 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1468 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11527  (
+	.A(n_73321),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1225 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1460 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11530  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1408 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1457 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11535  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1402 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1452 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11537  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1400 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1450 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11544  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1441 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1442 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11548  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1435 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1436 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11549  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1394 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1434 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11550  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1431 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1432 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11553  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1428 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1429 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11555  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1422 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1423 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11558  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1393 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1418 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11559  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1416 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1417 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11560  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1414 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1415 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11561  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1411 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1412 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11563  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1317 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1314 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1410 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11565  (
+	.A1(n_84569),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1261 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1226 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1408 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11569  (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_536 ),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1243 ),
+	.B1(n_70249),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1248 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1404 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11571  (
+	.A1(n_84569),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1247 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1383 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1402 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11573  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1370 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1379 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1400 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11579  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1392 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1291 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1441 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11581  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1390 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1289 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1438 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11582  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1389 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1287 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1435 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11583  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1378 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1286 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1394 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11584  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1388 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1284 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1431 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11585  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1387 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1368 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1428 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11586  (
+	.A1(n_31303),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1249 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1367 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1427 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11587  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1377 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1366 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1426 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11588  (
+	.A1(n_31303),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1247 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1365 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1425 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11589  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1386 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1364 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1422 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11590  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1313 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1363 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1419 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11591  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1316 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1385 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1393 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11592  (
+	.A1(n_31303),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1236 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1279 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1416 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11593  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1384 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1277 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1414 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11594  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1362 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1315 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1411 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11595  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1255 ),
+	.B(FE_DBTN86_n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1392 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11597  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1253 ),
+	.B(FE_DBTN86_n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1390 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11598  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1252 ),
+	.B(FE_DBTN86_n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1389 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11599  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1251 ),
+	.B(FE_DBTN86_n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1388 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11600  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1250 ),
+	.B(FE_DBTN86_n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1387 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11601  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1245 ),
+	.B(FE_DBTN86_n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1386 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11602  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1244 ),
+	.B(FE_DBTN86_n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1385 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11603  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1234 ),
+	.B(FE_DBTN86_n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1384 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11604  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1241 ),
+	.B(n_75347),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1383 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11608  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1258 ),
+	.B(n_75347),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1379 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11609  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1238 ),
+	.B(FE_DBTN86_n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1378 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11610  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1233 ),
+	.B(FE_DBTN86_n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1377 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11617  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1244 ),
+	.B(n_70249),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1370 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11619  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1235 ),
+	.B(n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1368 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11620  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1234 ),
+	.B(n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1367 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11621  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1255 ),
+	.B(n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1366 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11622  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1254 ),
+	.B(n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1365 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11623  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1253 ),
+	.B(n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1364 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11624  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1252 ),
+	.B(n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1363 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11625  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1251 ),
+	.B(n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1362 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11638  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1336 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1337 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11639  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1334 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1335 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11642  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1308 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1329 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11643  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1327 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1328 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11646  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1321 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1322 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11648  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1307 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1319 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11650  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1233 ),
+	.B(n_70249),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1317 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11651  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1238 ),
+	.B(n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1316 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11652  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1259 ),
+	.B(FE_DBTN86_n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1315 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11653  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1256 ),
+	.B(n_75347),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1314 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11654  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1262 ),
+	.B(FE_DBTN86_n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1313 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11655  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1260 ),
+	.B(n_75347),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1312 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11658  (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1754 ),
+	.A2_N(n_87403),
+	.B1(n_31303),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1141 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1360 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11661  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1164 ),
+	.A2(FE_DBTN86_n_31303),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1176 ),
+	.B2(n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1355 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11663  (
+	.A1(n_31303),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1170 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1272 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1351 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11664  (
+	.A1(n_31303),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1153 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1271 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1349 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11665  (
+	.A1(FE_DBTN86_n_31303),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1174 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1273 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1347 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11666  (
+	.A1(FE_DBTN86_n_31303),
+	.A2(n_38115),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1285 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1345 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11667  (
+	.A1(FE_DBTN86_n_31303),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1888 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1300 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1343 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11668  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2297 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1179 ),
+	.S(FE_DBTN86_n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1342 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11669  (
+	.A1(FE_DBTN86_n_31303),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1141 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1294 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1340 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11670  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1143 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1163 ),
+	.S(FE_DBTN86_n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1338 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11671  (
+	.A1_N(FE_DBTN86_n_31303),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1161 ),
+	.B1(FE_DBTN86_n_31303),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1134 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1336 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11672  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1155 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1157 ),
+	.S(n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1334 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11673  (
+	.A1_N(n_31303),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_833 ),
+	.B1(n_31303),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1150 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1333 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11674  (
+	.A1(FE_DBTN86_n_31303),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1172 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1297 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1331 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11675  (
+	.A1(n_31303),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1143 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1281 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1308 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11676  (
+	.A1(FE_DBTN86_n_31303),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1170 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1296 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1327 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11677  (
+	.A1(FE_DBTN86_n_31303),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1167 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1295 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1325 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11678  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1165 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1146 ),
+	.S(FE_DBTN86_n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1323 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11679  (
+	.A1(FE_DBTN86_n_31303),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1895 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1274 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1321 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11680  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1275 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1278 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1307 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11687  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1166 ),
+	.B(FE_DBTN86_n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1300 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11690  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1151 ),
+	.B(FE_DBTN86_n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1297 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11691  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1148 ),
+	.B(FE_DBTN86_n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1296 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11692  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1147 ),
+	.B(FE_DBTN86_n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1295 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11693  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1156 ),
+	.B(FE_DBTN86_n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1294 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11696  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1154 ),
+	.B(n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1291 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11698  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1151 ),
+	.B(n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1289 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11700  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1148 ),
+	.B(n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1287 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11701  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1147 ),
+	.B(n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1286 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11702  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1171 ),
+	.B(FE_DBTN86_n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1285 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11703  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1145 ),
+	.B(n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1284 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11706  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2049 ),
+	.B(n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1281 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11708  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1162 ),
+	.B(n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1279 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11709  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1149 ),
+	.B(n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1278 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11710  (
+	.A(n_85641),
+	.B(n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1277 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11711  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1139 ),
+	.B(n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1276 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11712  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1139 ),
+	.B(FE_DBTN86_n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1275 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11713  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1176 ),
+	.B(FE_DBTN86_n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1274 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11714  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1140 ),
+	.B(FE_DBTN86_n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1273 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11715  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1178 ),
+	.B(n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1272 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11716  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1140 ),
+	.B(n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1271 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11717  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1195 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_985 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_921 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1270 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11725  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1260 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1261 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11726  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1256 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1257 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11727  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1248 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1249 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11728  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1223 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1247 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11729  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1245 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1246 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11730  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1242 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1243 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11731  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1235 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1236 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11732  (
+	.A1(n_31302),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1032 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1205 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1232 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11734  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1217 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1117 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1230 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11737  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_646 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1047 ),
+	.B1(n_72454),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1049 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1227 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11738  (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_646 ),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1038 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1747 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1041 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1226 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11739  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1040 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1747 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1015 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1225 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11741  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1209 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1204 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1262 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11742  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1206 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1202 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1260 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11743  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1123 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1203 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1259 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11744  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1120 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1200 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1258 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11745  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1207 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1118 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1256 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11746  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1190 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1105 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1255 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11747  (
+	.A(n_41320),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1103 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1254 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11748  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1189 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1215 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1253 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11749  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1188 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1214 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1252 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11750  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1187 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1219 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1251 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11751  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1108 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1212 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1250 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11752  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1186 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1211 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1248 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11753  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1210 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1121 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1223 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11754  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1107 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1184 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1245 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11755  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1183 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1208 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1244 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11756  (
+	.A1(FE_DBTN87_n_31302),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1052 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1125 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1242 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11757  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1129 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1197 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1241 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11759  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1199 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1127 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1239 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11760  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1122 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1213 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1238 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11761  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1802 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1180 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1237 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11762  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1182 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1086 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1235 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11763  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1191 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1106 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1234 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11764  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1119 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1185 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1233 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11768  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1064 ),
+	.B(n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1219 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11770  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1031 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_559 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1217 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11772  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1065 ),
+	.B(n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1215 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11773  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1062 ),
+	.B(n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1214 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11774  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1061 ),
+	.B(n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1213 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11775  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1060 ),
+	.B(n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1212 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11776  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1058 ),
+	.B(n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1211 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11777  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1057 ),
+	.B(n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1210 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11778  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1055 ),
+	.B(n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1209 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11779  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1050 ),
+	.B(n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1208 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11780  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1054 ),
+	.B(n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1207 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11781  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1031 ),
+	.B(n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1206 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11782  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1033 ),
+	.B(n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1205 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11783  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1051 ),
+	.B(FE_DBTN87_n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1204 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11784  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1053 ),
+	.B(FE_DBTN87_n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1203 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11785  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1045 ),
+	.B(FE_DBTN87_n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1202 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11787  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1042 ),
+	.B(FE_DBTN87_n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1200 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11788  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1048 ),
+	.B(FE_DBTN87_n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1199 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11790  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1036 ),
+	.B(FE_DBTN87_n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1197 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11792  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1037 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1747 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1195 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11794  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1039 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1747 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1193 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11795  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1046 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1747 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1192 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11796  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1062 ),
+	.B(FE_DBTN87_n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1191 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11797  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1061 ),
+	.B(FE_DBTN87_n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1190 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11798  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1060 ),
+	.B(FE_DBTN87_n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1189 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11799  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1058 ),
+	.B(FE_DBTN87_n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1188 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11800  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1057 ),
+	.B(FE_DBTN87_n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1187 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11801  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1055 ),
+	.B(FE_DBTN87_n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1186 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11802  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1050 ),
+	.B(FE_DBTN87_n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1185 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11803  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1033 ),
+	.B(FE_DBTN87_n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1184 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11804  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1054 ),
+	.B(FE_DBTN87_n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1183 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11805  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1065 ),
+	.B(FE_DBTN87_n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1182 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11807  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1045 ),
+	.B(n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1180 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11808  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1178 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1179 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11811  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1173 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1174 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11812  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1171 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1172 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11813  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1116 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1170 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11816  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1166 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1167 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11817  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1164 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1165 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11818  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1162 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1163 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11819  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1888 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1161 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11821  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1156 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1157 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11822  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1154 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1155 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11823  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1152 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1153 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11824  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1149 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1150 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11825  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1145 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1146 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11827  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1113 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1143 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11829  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1112 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1141 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11834  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1133 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1134 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11835  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2297 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1132 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11836  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1053 ),
+	.B(n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1129 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11838  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1036 ),
+	.B(n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1127 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11840  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1035 ),
+	.B(FE_DBTN87_n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1125 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11842  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1034 ),
+	.B(n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1123 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11843  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1063 ),
+	.B(FE_DBTN87_n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1122 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11844  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1034 ),
+	.B(FE_DBTN87_n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1121 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11845  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1056 ),
+	.B(n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1120 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11846  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1063 ),
+	.B(n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1119 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11847  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1056 ),
+	.B(FE_DBTN87_n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1118 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11848  (
+	.A(n_41321),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1033 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1117 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11849  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1096 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1081 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1178 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11850  (
+	.A1(FE_DBTN87_n_31302),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_968 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1080 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1176 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11851  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1019 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1101 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1173 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11852  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1102 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1077 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1171 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11853  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1018 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1076 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1116 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11854  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1100 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1075 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1115 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11855  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1099 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1074 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1166 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11856  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1098 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1072 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1164 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11857  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1097 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1071 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1162 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11861  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1083 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1023 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1156 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11862  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1093 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1067 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1154 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11863  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1092 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1030 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1152 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11864  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1089 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1028 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1151 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11865  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1085 ),
+	.B(n_41319),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1149 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11866  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1029 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1087 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1148 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11867  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1084 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1025 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1147 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11868  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1024 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1091 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1145 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11869  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1088 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1027 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1113 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11870  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1082 ),
+	.B(n_41315),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1112 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11871  (
+	.A1(n_31302),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_962 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1022 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1140 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11872  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1016 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1021 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1139 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11874  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1104 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1078 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1133 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11877  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_947 ),
+	.B(FE_DBTN87_n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1109 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11878  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_935 ),
+	.B(FE_DBTN87_n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1108 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11879  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_935 ),
+	.B(n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1107 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11880  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_936 ),
+	.B(n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1106 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11881  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_940 ),
+	.B(n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1105 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11882  (
+	.A(n_87403),
+	.B(n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1104 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11883  (
+	.A(n_31302),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_943 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1103 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11884  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_937 ),
+	.B(n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1102 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11885  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_955 ),
+	.B(n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1101 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11886  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_947 ),
+	.B(n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1100 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11887  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_963 ),
+	.B(n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1099 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11888  (
+	.A(n_31302),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_961 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1098 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11889  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_960 ),
+	.B(n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1097 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11890  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_941 ),
+	.B(n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1096 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11891  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_959 ),
+	.B(n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1095 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11893  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_957 ),
+	.B(n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1093 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11894  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_954 ),
+	.B(n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1092 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11895  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_944 ),
+	.B(n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1091 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11897  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_952 ),
+	.B(n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1089 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11898  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_958 ),
+	.B(n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1088 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11899  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_950 ),
+	.B(n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1087 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11900  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_942 ),
+	.B(n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1086 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11901  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_938 ),
+	.B(n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1085 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11902  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_946 ),
+	.B(n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1084 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11903  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_949 ),
+	.B(n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1083 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11904  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_965 ),
+	.B(n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1082 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11905  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_953 ),
+	.B(FE_DBTN87_n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1081 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11906  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_945 ),
+	.B(FE_DBTN87_n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1080 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11908  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_965 ),
+	.B(FE_DBTN87_n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1078 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11909  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_960 ),
+	.B(FE_DBTN87_n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1077 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11910  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_959 ),
+	.B(FE_DBTN87_n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1076 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11911  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_958 ),
+	.B(FE_DBTN87_n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1075 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11912  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_957 ),
+	.B(FE_DBTN87_n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1074 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11914  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_954 ),
+	.B(FE_DBTN87_n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1072 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11915  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_952 ),
+	.B(FE_DBTN87_n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1071 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11917  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_950 ),
+	.B(FE_DBTN87_n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1069 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11919  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_946 ),
+	.B(FE_DBTN87_n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1067 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11920  (
+	.A(n_87403),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_559 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1110 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11923  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1051 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1052 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11924  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1048 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1049 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11925  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1046 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1047 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11927  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1037 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1038 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11928  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1031 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1032 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11929  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_944 ),
+	.B(FE_DBTN87_n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1030 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11930  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_936 ),
+	.B(FE_DBTN87_n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1029 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11931  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_942 ),
+	.B(FE_DBTN87_n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1028 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11932  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_937 ),
+	.B(FE_DBTN87_n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1027 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11934  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_940 ),
+	.B(FE_DBTN87_n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1025 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11935  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_943 ),
+	.B(FE_DBTN87_n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1024 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11936  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_963 ),
+	.B(FE_DBTN87_n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1023 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11937  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_945 ),
+	.B(n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1022 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11938  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_953 ),
+	.B(n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1021 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11940  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_967 ),
+	.B(FE_DBTN87_n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1019 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11941  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_951 ),
+	.B(n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1018 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11943  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_951 ),
+	.B(FE_DBTN87_n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1016 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11944  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1685 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_736 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_848 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_738 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1015 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11945  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_992 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_908 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1065 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11946  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_976 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_867 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1064 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11947  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_920 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_972 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1063 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11948  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1002 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_869 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1062 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11949  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1001 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_977 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1061 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11950  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_974 ),
+	.B(n_38456),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1060 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11952  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_999 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_973 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1058 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11953  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_971 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_998 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1057 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11954  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_989 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_930 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1056 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11955  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1013 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_997 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1055 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11956  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_978 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_993 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1054 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11957  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_927 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_924 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1053 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11958  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_929 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_925 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1051 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11959  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_996 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_919 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1050 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11960  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1011 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_986 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1048 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11961  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1005 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_981 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1046 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11962  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1009 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_984 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1045 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11963  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1008 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_983 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1043 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11964  (
+	.A1(FE_DBTN80_n_84985),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_842 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_990 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1042 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11965  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1007 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_982 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1041 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11966  (
+	.A1(n_84985),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_836 ),
+	.B1(FE_DBTN80_n_84985),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_838 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1040 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11967  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1006 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_980 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1039 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11968  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1010 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_979 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1037 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11969  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_987 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_923 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1036 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11970  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_928 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_922 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1035 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11971  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_932 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_926 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1034 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11972  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_931 ),
+	.B(n_38453),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1033 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11973  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_975 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_994 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1031 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11974  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2329 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1013 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11976  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_840 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1011 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11977  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_845 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1010 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11978  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_843 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1009 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11979  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_850 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1008 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11980  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_839 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1007 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11981  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_844 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1006 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11982  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_835 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1005 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11983  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_737 ),
+	.B(n_87411),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1004 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11984  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_737 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_810 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1003 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11985  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_861 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1002 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11986  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_852 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1001 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11988  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_858 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_999 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11989  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2329 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_998 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11990  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_856 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_997 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11991  (
+	.A(n_77041),
+	.B(FE_DBTN80_n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_996 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11993  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_864 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_994 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11994  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_853 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_993 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11995  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_865 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_992 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11996  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_739 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_863 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_991 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11997  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_839 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_990 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11998  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_843 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_989 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g11999  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_739 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_834 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_988 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12000  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_850 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_987 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12001  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_837 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_986 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12002  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_739 ),
+	.B(n_87411),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_985 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12003  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_841 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_984 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12004  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_840 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_983 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12005  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_844 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_982 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12006  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_847 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_981 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12007  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_845 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_980 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12008  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_834 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_979 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12009  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_855 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_978 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12010  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_865 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_977 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12011  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_861 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_976 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12012  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_853 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_975 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12013  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_852 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_974 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12014  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_812 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_973 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12015  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_860 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_972 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12016  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_858 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_971 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12018  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_967 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_968 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12020  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_961 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_962 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12024  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_856 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_932 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12025  (
+	.A(n_77041),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_931 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12026  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_864 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_930 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12027  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_851 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_929 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12028  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_866 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_928 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12029  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_849 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_927 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12030  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_851 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_926 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12031  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_849 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_925 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12032  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_866 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_924 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12033  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_859 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_923 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12034  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_859 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_922 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12035  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_737 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_811 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_921 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12036  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_815 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_920 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12037  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_813 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_919 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12039  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_903 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_823 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_967 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12040  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_904 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_907 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_965 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12041  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_916 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_914 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_964 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12042  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_893 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_917 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_963 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12043  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_910 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_909 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_961 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12044  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_906 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_905 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_960 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12045  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_901 ),
+	.B(n_38457),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_959 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12046  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_899 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_898 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_958 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12047  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_897 ),
+	.B(n_38454),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_957 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12048  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_895 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_894 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_955 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12049  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_918 ),
+	.B(n_38458),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_954 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12050  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_826 ),
+	.B(n_76568),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_953 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12051  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_878 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_892 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_952 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12052  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_820 ),
+	.B(n_76567),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_951 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12053  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_890 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_889 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_950 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12054  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_888 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_887 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_949 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12055  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_875 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_883 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_947 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12056  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_885 ),
+	.B(n_38450),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_946 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12057  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_824 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_822 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_945 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12058  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_881 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_880 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_944 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12059  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_912 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_830 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_943 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12060  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_891 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_876 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_942 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12061  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_829 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_915 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_941 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12062  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_882 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_828 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_940 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12063  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_879 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_911 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_938 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12064  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_874 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_873 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_937 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12065  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_870 ),
+	.B(n_38451),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_936 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12066  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_792 ),
+	.A2(n_84985),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_814 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_935 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12067  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_827 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_902 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_934 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12069  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_750 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_918 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12070  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_766 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_917 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12071  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_749 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_916 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12072  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_752 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_915 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12073  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_765 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_914 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12075  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_767 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_912 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12076  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_740 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_911 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12077  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_762 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_910 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12078  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_741 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_909 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12079  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_764 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_908 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12080  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_754 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_907 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12081  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_766 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_906 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12082  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_756 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_905 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12083  (
+	.A(n_84985),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_759 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_904 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12084  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_752 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_903 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12085  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_744 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_902 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12086  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_741 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_901 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12088  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_765 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_899 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12089  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_757 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_898 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12090  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_756 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_897 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12092  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_740 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_895 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12093  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_753 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_894 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12094  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_751 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_893 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12095  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_763 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_892 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12096  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_742 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_891 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12097  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_761 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_890 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12098  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_748 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_889 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12099  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_757 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_888 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12100  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_747 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_887 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12102  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_763 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_885 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12104  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_749 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_883 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12105  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_758 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_882 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12106  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_748 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_881 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12107  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_746 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_880 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12108  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_744 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_879 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12109  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_768 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_878 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12111  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_758 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_876 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12112  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_754 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_875 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12113  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_747 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_874 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12114  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_751 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_873 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12117  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_746 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_870 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12118  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_755 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_869 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12120  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_816 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_867 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12121  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1685 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_863 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12123  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_847 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_848 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12124  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_841 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_842 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12125  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_837 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_838 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12126  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_835 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_836 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12129  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_755 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_830 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12130  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_753 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_829 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12131  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_764 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_828 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12132  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_803 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_827 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12133  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_806 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_826 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12135  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_661 ),
+	.B(n_84985),
+	.C(n_69784),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_824 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12136  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_806 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_823 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12137  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_655 ),
+	.B(FE_DBTN80_n_84985),
+	.C(n_69784),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_822 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12139  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_762 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_820 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12143  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_643 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_644 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_621 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_816 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12144  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_621 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_702 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_703 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_815 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12145  (
+	.A(n_84987),
+	.B(n_84989),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_621 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_814 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12146  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_677 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_680 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_621 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_813 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12147  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_698 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_687 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_621 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_812 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12148  (
+	.A1_N(n_29234),
+	.A2_N(FE_DBTN88_n_31300),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_654 ),
+	.B2(FE_DBTN88_n_31300),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_811 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12149  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_654 ),
+	.A2(n_31300),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_665 ),
+	.B2(FE_DBTN88_n_31300),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_810 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12150  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_775 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_621 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_866 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12151  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_641 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_776 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_865 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12152  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_678 ),
+	.B(n_75663),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_621 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_864 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12154  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_681 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_733 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_861 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12155  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_679 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_735 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_860 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12156  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_667 ),
+	.A2(n_31300),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_732 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_859 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12157  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_694 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_689 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_621 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_858 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12159  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_691 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_690 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_621 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_856 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12160  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_696 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_685 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_621 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_855 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12162  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_688 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_684 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_621 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_853 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12163  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_697 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_734 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_852 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12164  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_772 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_621 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_851 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12165  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_787 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_797 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_850 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12166  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_774 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_621 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_849 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12167  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_798 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_790 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_847 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12169  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_779 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_785 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_845 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12170  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_673 ),
+	.A2(n_31300),
+	.B1(FE_DBTN88_n_31300),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_669 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_844 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12171  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_781 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_794 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_843 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12172  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_799 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_782 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_841 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12173  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_780 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_795 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_840 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12174  (
+	.A1(FE_DBTN88_n_31300),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_671 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_783 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_839 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12175  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_793 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_784 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_837 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12176  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_791 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_796 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_835 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12177  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_786 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_789 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_834 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12178  (
+	.A(n_41317),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_804 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_833 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12181  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_769 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_806 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12183  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_803 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_804 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12186  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_658 ),
+	.B(n_31300),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_799 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12187  (
+	.A(n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_660 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_798 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12188  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_658 ),
+	.B(FE_DBTN88_n_31300),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_797 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12189  (
+	.A(FE_DBTN88_n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_650 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_796 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12190  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_670 ),
+	.B(FE_DBTN88_n_31300),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_795 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12191  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_663 ),
+	.B(FE_DBTN88_n_31300),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_794 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12192  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_651 ),
+	.B(n_31300),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_793 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12193  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_703 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_702 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_792 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12194  (
+	.A(n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_672 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_791 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12195  (
+	.A(FE_DBTN88_n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_662 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_790 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12196  (
+	.A(FE_DBTN88_n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_656 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_789 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12198  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_663 ),
+	.B(n_31300),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_787 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12199  (
+	.A(n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_662 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_786 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12200  (
+	.A(FE_DBTN88_n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_660 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_785 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12201  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_668 ),
+	.B(FE_DBTN88_n_31300),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_784 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12202  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_651 ),
+	.B(FE_DBTN88_n_31300),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_783 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12203  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_659 ),
+	.B(FE_DBTN88_n_31300),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_782 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12204  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_666 ),
+	.B(n_31300),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_781 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12205  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_659 ),
+	.B(n_31300),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_780 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12206  (
+	.A(n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_650 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_779 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12207  (
+	.A(FE_DBTN88_n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_652 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_778 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12209  (
+	.A(FE_DBTN88_n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_642 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_776 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12210  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_655 ),
+	.B(n_76790),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_775 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12211  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_661 ),
+	.B(n_76790),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_774 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12212  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2232 ),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_773 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12213  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_649 ),
+	.B(n_76790),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_772 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12216  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_649 ),
+	.B(n_69784),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_769 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12217  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_609 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_600 ),
+	.B1(n_76790),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_803 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12218  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_648 ),
+	.B(n_41317),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_800 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12219  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_731 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_768 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12220  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_730 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_767 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12221  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_729 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_766 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12222  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_728 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_765 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12223  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_727 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_764 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12224  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_726 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_763 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12225  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_725 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_762 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12226  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_724 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_761 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12228  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_723 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_759 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12229  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_722 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_758 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12230  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_721 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_757 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12231  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_720 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_756 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12232  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_719 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_755 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12233  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_718 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_754 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12234  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_717 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_753 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12235  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_716 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_752 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12236  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_715 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_751 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12237  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_714 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_750 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12238  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_713 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_749 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12239  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_712 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_748 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12240  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_711 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_747 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12241  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_710 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_746 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12243  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_709 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_744 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12244  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_708 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_743 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12245  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_707 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_742 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12246  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_706 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_741 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12247  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_705 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_740 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12248  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_739 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_738 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12249  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_737 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_736 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12250  (
+	.A1(n_75343),
+	.A2(n_31334),
+	.B1(FE_DBTN0_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_621),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_735 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12251  (
+	.A1(n_75343),
+	.A2(n_31336),
+	.B1(FE_DBTN0_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_621),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_734 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12252  (
+	.A1(n_75343),
+	.A2(n_31337),
+	.B1(FE_DBTN0_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_621),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_733 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12253  (
+	.A(n_31300),
+	.B(n_75664),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_732 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12254  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_608 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_501 ),
+	.C(n_69784),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_731 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12255  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_583 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_605 ),
+	.C(n_69784),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_730 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12256  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_512 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_516 ),
+	.C(n_69784),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_729 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12257  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_596 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_581 ),
+	.C(n_69784),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_728 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12258  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_584 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_580 ),
+	.C(n_69784),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_727 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12259  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_506 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_593 ),
+	.C(n_69784),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_726 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12260  (
+	.A(n_69784),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_585 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_569 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_725 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12261  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_591 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_606 ),
+	.C(n_69784),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_724 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12262  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_576 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_604 ),
+	.C(n_69784),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_723 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12263  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_514 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_530 ),
+	.C(n_69784),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_722 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12264  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_519 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1814 ),
+	.C(n_69784),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_721 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12265  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_526 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_502 ),
+	.C(n_69784),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_720 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12266  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_571 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_510 ),
+	.C(n_69784),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_719 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12267  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_523 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_601 ),
+	.C(n_69784),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_718 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12268  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_573 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_509 ),
+	.C(n_69784),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_717 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12269  (
+	.A1(n_31329),
+	.A2(FE_DBTN88_n_31300),
+	.B1(n_69784),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_524 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_716 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12270  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_588 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_528 ),
+	.C(n_69784),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_715 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12271  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_577 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_505 ),
+	.C(n_69784),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_714 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12272  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_507 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_499 ),
+	.C(n_69784),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_713 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12273  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_527 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_503 ),
+	.C(n_69784),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_712 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12274  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_500 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_607 ),
+	.C(n_69784),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_711 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12275  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_572 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_589 ),
+	.C(n_69784),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_710 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12276  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_513 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_579 ),
+	.C(n_69784),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_709 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12277  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_511 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1817 ),
+	.C(n_69784),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_708 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12278  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_575 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_595 ),
+	.C(n_69784),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_707 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12279  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_582 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_590 ),
+	.C(n_69784),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_706 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12280  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_597 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_594 ),
+	.C(n_69784),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_705 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12281  (
+	.A(FE_DBTN80_n_84985),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_646 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_739 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12282  (
+	.A(n_84985),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_646 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_737 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12284  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_676 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_703 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12288  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_552 ),
+	.B(n_31334),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_698 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12289  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_554 ),
+	.B(n_35293),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_697 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12290  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_554 ),
+	.B(n_31325),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_696 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12291  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_552 ),
+	.B(n_35270),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_695 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12292  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_552 ),
+	.B(n_35298),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_694 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12295  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_552 ),
+	.B(n_31328),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_691 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12296  (
+	.A(n_31329),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_549 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_690 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12297  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_549 ),
+	.B(n_31333),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_689 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12298  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_554 ),
+	.B(n_31323),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_688 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12299  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_549 ),
+	.B(n_35293),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_687 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12301  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_549 ),
+	.B(n_31326),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_685 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12302  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_549 ),
+	.B(n_31324),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_684 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12305  (
+	.A(n_31336),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_552 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_681 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12306  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_552 ),
+	.B(n_31329),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_680 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12307  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_554 ),
+	.B(n_31333),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_679 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12308  (
+	.A(n_75343),
+	.B(n_35271),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_678 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12309  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_549 ),
+	.B(n_35270),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_677 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12310  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_60 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_553 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_676 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12311  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_549 ),
+	.B(n_35298),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_702 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12314  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_672 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_673 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12315  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_670 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_671 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12316  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_668 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_669 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12317  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_666 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_667 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12318  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_664 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_665 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12319  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_656 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_657 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12321  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2232 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_648 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12322  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_646 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_645 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12323  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_564 ),
+	.B(FE_DBTN88_n_31300),
+	.C(n_31334),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_644 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12324  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_564 ),
+	.B(n_31300),
+	.C(n_35293),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_643 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12325  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_621 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_525 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_642 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12326  (
+	.A_N(FE_DBTN88_n_31300),
+	.B(n_69784),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_75 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_641 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12328  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_531 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_621 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_672 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12329  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_603 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_621 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_670 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12330  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_508 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_621 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_668 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12331  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_587 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_621 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_666 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12332  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_515 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_621 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_664 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12333  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_621 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_568 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_663 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12334  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_504 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_621 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_662 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12335  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_602 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_518 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_661 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12336  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_498 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_621 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_660 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12337  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_599 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_621 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_659 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12338  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_517 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_621 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_658 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12339  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_520 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_621 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_656 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12340  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_574 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_522 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_655 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12341  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_75 ),
+	.A2(n_76790),
+	.B1(FE_DBTN0_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_621),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_654 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12342  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_621 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_598 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_652 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12343  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_621 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_578 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_651 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12344  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_567 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_621 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_650 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12345  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_521 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_586 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_649 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12347  (
+	.A(n_75347),
+	.B(FE_DBTN87_n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_646 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12376  (
+	.A(FE_DBTN88_n_31300),
+	.B(n_31336),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_609 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12377  (
+	.A(FE_DBTN88_n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_480 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_608 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12378  (
+	.A(n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_83 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_607 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12379  (
+	.A(n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_480 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_606 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12380  (
+	.A(n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_490 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_605 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12381  (
+	.A(n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_475 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_604 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12382  (
+	.A(n_31316),
+	.B(n_76790),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_603 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12383  (
+	.A(FE_DBTN88_n_31300),
+	.B(n_31324),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_602 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12384  (
+	.A(n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_66 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_601 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12385  (
+	.A(n_31300),
+	.B(n_31337),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_600 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12386  (
+	.A(n_31317),
+	.B(n_76790),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_599 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12387  (
+	.A(n_35269),
+	.B(n_76790),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_598 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12388  (
+	.A(FE_DBTN88_n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_66 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_597 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12389  (
+	.A(FE_DBTN88_n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_79 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_596 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12390  (
+	.A(n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_487 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_595 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12391  (
+	.A(n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_65 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_594 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12392  (
+	.A(n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_486 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_593 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12394  (
+	.A(FE_DBTN88_n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_486 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_591 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12395  (
+	.A(n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_81 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_590 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12396  (
+	.A(n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_481 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_589 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12397  (
+	.A(FE_DBTN88_n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_492 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_588 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12398  (
+	.A(n_31320),
+	.B(n_76790),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_587 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12399  (
+	.A(n_31300),
+	.B(n_31327),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_586 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12400  (
+	.A(n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_492 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_585 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12401  (
+	.A(FE_DBTN88_n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_483 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_584 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12402  (
+	.A(FE_DBTN88_n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_76 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_583 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12403  (
+	.A(FE_DBTN88_n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_82 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_582 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12404  (
+	.A(n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_477 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_581 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12405  (
+	.A(n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_76 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_580 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12406  (
+	.A(n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_54 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_579 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12407  (
+	.A(n_31315),
+	.B(n_76790),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_578 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12408  (
+	.A(FE_DBTN88_n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_482 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_577 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12409  (
+	.A(FE_DBTN88_n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_65 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_576 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12410  (
+	.A(FE_DBTN88_n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_481 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_575 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12411  (
+	.A(FE_DBTN88_n_31300),
+	.B(n_35271),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_574 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12412  (
+	.A(FE_DBTN88_n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_479 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_573 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12413  (
+	.A(FE_DBTN88_n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_491 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_572 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12414  (
+	.A(n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_483 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_571 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12416  (
+	.A(FE_DBTN88_n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_72 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_569 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12417  (
+	.A(n_35272),
+	.B(n_76790),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_568 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12418  (
+	.A(n_86776),
+	.B(n_76790),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_567 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12420  (
+	.A(n_31321),
+	.B(n_76790),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_637 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12421  (
+	.A(n_69784),
+	.B(n_29234),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_621 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12422  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_496 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_565 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12427  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_556 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_557 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12428  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_553 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_554 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12430  (
+	.A(n_74425),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_552 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12432  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_548 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_549 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12444  (
+	.A(n_75347),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_536 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12448  (
+	.A(n_31313),
+	.B(n_76790),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_531 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12449  (
+	.A(n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_491 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_530 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12451  (
+	.A(n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_67 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_528 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12452  (
+	.A(FE_DBTN88_n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_487 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_527 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12453  (
+	.A(FE_DBTN88_n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_78 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_526 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12454  (
+	.A(n_31337),
+	.B(n_76790),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_525 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12455  (
+	.A(FE_DBTN88_n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_477 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_524 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12456  (
+	.A(FE_DBTN88_n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_60 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_523 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12457  (
+	.A(n_31300),
+	.B(n_31323),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_522 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12458  (
+	.A(FE_DBTN88_n_31300),
+	.B(n_31326),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_521 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12459  (
+	.A(n_31309),
+	.B(n_76790),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_520 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12460  (
+	.A(FE_DBTN88_n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_488 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_519 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12461  (
+	.A(n_31300),
+	.B(n_31325),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_518 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12462  (
+	.A(n_31318),
+	.B(n_76790),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_517 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12463  (
+	.A(n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_72 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_516 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12464  (
+	.A(n_31307),
+	.B(n_76790),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_515 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12465  (
+	.A(FE_DBTN88_n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_490 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_514 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12466  (
+	.A(FE_DBTN88_n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_475 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_513 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12467  (
+	.A(FE_DBTN88_n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_81 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_512 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12468  (
+	.A(FE_DBTN88_n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_54 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_511 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12469  (
+	.A(FE_DBTN88_n_31300),
+	.B(n_86600),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_510 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12470  (
+	.A(n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_60 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_509 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12471  (
+	.A(n_31314),
+	.B(n_76790),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_508 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12472  (
+	.A(FE_DBTN88_n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_56 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_507 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12473  (
+	.A(FE_DBTN88_n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_73 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_506 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12474  (
+	.A(n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_78 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_505 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12475  (
+	.A(n_31310),
+	.B(n_76790),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_504 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12476  (
+	.A(n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_73 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_503 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12477  (
+	.A(n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_82 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_502 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12478  (
+	.A(n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_482 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_501 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12479  (
+	.A(FE_DBTN88_n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_69 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_500 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12480  (
+	.A(n_31300),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_479 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_499 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12481  (
+	.A(n_31311),
+	.B(n_76790),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_498 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12483  (
+	.A(n_84985),
+	.B(FE_DBTN87_n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_496 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12484  (
+	.A(n_69784),
+	.B(n_84985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_564 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12487  (
+	.A(n_31303),
+	.B(n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_559 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12489  (
+	.A(n_31304),
+	.B(FE_DBTN86_n_31303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_556 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12490  (
+	.A(FE_DBTN88_n_31300),
+	.B(n_76790),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_553 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12492  (
+	.A(n_31300),
+	.B(n_76790),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_548 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12495  (
+	.A(n_31321),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_492 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12496  (
+	.A(n_31310),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_491 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12497  (
+	.A(n_31309),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_490 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12499  (
+	.A(n_31325),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_488 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12500  (
+	.A(n_86776),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_487 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12502  (
+	.A(n_31314),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_486 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12504  (
+	.A(n_31307),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_483 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12505  (
+	.A(n_31316),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_482 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12506  (
+	.A(n_31311),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_481 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12507  (
+	.A(n_31315),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_480 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12508  (
+	.A(n_35270),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_479 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12511  (
+	.A(n_31328),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_477 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12512  (
+	.A(n_31334),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_475 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12652  (
+	.A(n_31304),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_335 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12904  (
+	.A(n_31324),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_83 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12905  (
+	.A(n_31318),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_82 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12906  (
+	.A(n_35272),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_81 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12908  (
+	.A(n_31327),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_79 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12909  (
+	.A(n_31317),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_78 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12911  (
+	.A(n_35269),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_76 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12912  (
+	.A(n_86600),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_75 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12914  (
+	.A(n_31313),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_73 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12915  (
+	.A(n_31320),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_72 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12918  (
+	.A(n_31323),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_69 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12920  (
+	.A(n_35271),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_67 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12921  (
+	.A(n_35298),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_66 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12924  (
+	.A(n_31333),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_65 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12928  (
+	.A(n_31331),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_60 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12932  (
+	.A(n_31329),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_56 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12933  (
+	.A(n_35293),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_54 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g2  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1333 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_335 ),
+	.X(n_31291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12990  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1336 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_335 ),
+	.X(n_31286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12991  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1360 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_335 ),
+	.X(n_31290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12992  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1321 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_335 ),
+	.X(n_31285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g12993  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1969 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_335 ),
+	.X(n_31292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g13036  (
+	.A(n_38114),
+	.B(n_75347),
+	.X(n_31296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g13037  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1895 ),
+	.B_N(n_75347),
+	.Y(n_31293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g13038  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1133 ),
+	.B(n_75347),
+	.X(n_31294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g13039  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1132 ),
+	.B(n_75347),
+	.X(n_31295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g13054  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1110 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_335 ),
+	.X(n_31298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g13055  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1680 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_335 ),
+	.X(n_31297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g13070  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_934 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_559 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1680 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g13075  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_657 ),
+	.A2(FE_DBTN88_n_31300),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_778 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1685 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g13137  (
+	.A(n_31302),
+	.B(n_75347),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1747 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g13144  (
+	.A(FE_DBTN87_n_31302),
+	.B(n_31303),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1754 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g13162  (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1355 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_335 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1772 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g13177  (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_536 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_833 ),
+	.X(n_31299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g13192  (
+	.A_N(n_31302),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1041 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1802 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g13204  (
+	.A_N(n_31326),
+	.B(n_31300),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1814 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g13207  (
+	.A_N(n_31336),
+	.B(n_31300),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1817 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g13223  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1242 ),
+	.A2(n_70249),
+	.B1(n_72455),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1833 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g13224  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1241 ),
+	.A2(n_70249),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1227 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1834 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g13235  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1232 ),
+	.A2(n_70249),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1237 ),
+	.B2(n_75347),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1845 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g13236  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1259 ),
+	.A2(n_70249),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1239 ),
+	.B2(n_75347),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1846 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g13265  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1042 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1747 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1039 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_645 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1875 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g13278  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_964 ),
+	.A2(n_31302),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_949 ),
+	.B2(FE_DBTN87_n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1888 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g13285  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_934 ),
+	.A2(n_31302),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_955 ),
+	.B2(FE_DBTN87_n_31302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1895 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g13307  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1307 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_335 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_833 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_557 ),
+	.X(n_31283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g13308  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1351 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_335 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1132 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_557 ),
+	.X(n_31279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g13309  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1343 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_335 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1133 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_557 ),
+	.X(n_31278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g13310  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1345 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_335 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_557 ),
+	.B2(n_38114),
+	.X(n_31280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g13311  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1308 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_335 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_800 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_557 ),
+	.X(n_31284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g13312  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1340 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_335 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1110 ),
+	.B2(n_31304),
+	.X(n_31282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g13313  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1347 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_335 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1680 ),
+	.B2(n_31304),
+	.X(n_31281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g13359  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2049 ),
+	.A2(FE_DBTN86_n_31303),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_800 ),
+	.B2(n_31303),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1969 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g13371  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1004 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_988 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1193 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1981 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g13439  (
+	.A1(n_72302),
+	.A2(n_31302),
+	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1109 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2049 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g13622  (
+	.A(n_31337),
+	.B(n_69784),
+	.C(FE_DBTN88_n_31300),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2232 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g13626  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1239 ),
+	.A2(n_70249),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2238 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2239 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g3  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1192 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_991 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1003 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2238 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g13655  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_335 ),
+	.A2(n_86956),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2268 ),
+	.Y(n_31202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g13656  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1237 ),
+	.A2(n_70249),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1270 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2268 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g13683  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_565 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_804 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2296 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2297 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g13684  (
+	.A_N(n_31302),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_938 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2296 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g13715  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2328 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_695 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_621 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2329 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g13716  (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_60 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_549 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2328 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g762 (
+	.A(soc_top_u_top_u_core_pc_if[20]),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_66),
+	.X(n_29954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g763 (
+	.A(soc_top_u_top_u_core_pc_if[12]),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_64),
+	.X(n_29946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g764 (
+	.A(soc_top_u_top_u_core_pc_if[19]),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_59),
+	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_66),
+	.SUM(n_29953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g765 (
+	.A(soc_top_u_top_u_core_pc_if[11]),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_48),
+	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_64),
+	.SUM(n_29945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g766 (
+	.A(soc_top_u_top_u_core_pc_if[28]),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_54),
+	.X(n_29962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g767 (
+	.A(soc_top_u_top_u_core_pc_if[24]),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_50),
+	.X(n_29958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g768 (
+	.A(soc_top_u_top_u_core_pc_if[30]),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_52),
+	.X(n_29964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g769 (
+	.A(soc_top_u_top_u_core_pc_if[18]),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_37),
+	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_59),
+	.SUM(n_29952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g770 (
+	.A(soc_top_u_top_u_core_pc_if[26]),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_44),
+	.X(n_29960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g771 (
+	.A(soc_top_u_top_u_core_pc_if[22]),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_40),
+	.X(n_29956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g772 (
+	.A(soc_top_u_top_u_core_pc_if[16]),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_42),
+	.X(n_29950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g773 (
+	.A(soc_top_u_top_u_core_pc_if[27]),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_28),
+	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_54),
+	.SUM(n_29961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g774 (
+	.A(soc_top_u_top_u_core_pc_if[29]),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_26),
+	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_52),
+	.SUM(n_29963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g775 (
+	.A(soc_top_u_top_u_core_pc_if[23]),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_27),
+	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_50),
+	.SUM(n_29957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g776 (
+	.A(soc_top_u_top_u_core_pc_if[10]),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_29),
+	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_48),
+	.SUM(n_29944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g777 (
+	.A(soc_top_u_top_u_core_pc_if[31]),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_34),
+	.Y(n_29965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g778 (
+	.A(soc_top_u_top_u_core_pc_if[14]),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_35),
+	.X(n_29948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g779 (
+	.A(soc_top_u_top_u_core_pc_if[25]),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_20),
+	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_44),
+	.SUM(n_29959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g780 (
+	.A(soc_top_u_top_u_core_pc_if[15]),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_21),
+	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_42),
+	.SUM(n_29949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g781 (
+	.A(soc_top_u_top_u_core_pc_if[21]),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_22),
+	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_40),
+	.SUM(n_29955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g782 (
+	.A(soc_top_u_top_u_core_pc_if[8]),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_31),
+	.X(n_29942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g783 (
+	.A(soc_top_u_top_u_core_pc_if[17]),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_16),
+	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_37),
+	.SUM(n_29951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g784 (
+	.A(soc_top_u_top_u_core_pc_if[13]),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_17),
+	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_35),
+	.SUM(n_29947), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g785 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_26),
+	.B(soc_top_u_top_u_core_pc_if[30]),
+	.C(soc_top_u_top_u_core_pc_if[29]),
+	.Y(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_34), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g786 (
+	.A(soc_top_u_top_u_core_pc_if[6]),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_23),
+	.X(n_29940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g787 (
+	.A(soc_top_u_top_u_core_pc_if[7]),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_15),
+	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_31),
+	.SUM(n_29941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g788 (
+	.A(soc_top_u_top_u_core_pc_if[9]),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_14),
+	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_29),
+	.SUM(n_29943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g789 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_2),
+	.B_N(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_20),
+	.Y(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_28), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g790 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_1),
+	.B_N(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_22),
+	.Y(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_27), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g791 (
+	.A_N(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_2),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_20),
+	.C(soc_top_u_top_u_core_pc_if[28]),
+	.D(soc_top_u_top_u_core_pc_if[27]),
+	.X(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_26), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g792 (
+	.A(soc_top_u_top_u_core_pc_if[4]),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_18),
+	.X(n_29938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g793 (
+	.A(soc_top_u_top_u_core_pc_if[5]),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_13),
+	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_23),
+	.SUM(n_29939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g794 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_5),
+	.B_N(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_16),
+	.Y(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_22), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g795 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_4),
+	.B_N(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_17),
+	.Y(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_21), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g796 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_16),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_10),
+	.C(soc_top_u_top_u_core_pc_if[24]),
+	.D(soc_top_u_top_u_core_pc_if[23]),
+	.X(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_20), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g797 (
+	.A(soc_top_u_top_u_core_pc_if[3]),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_12),
+	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_18),
+	.SUM(n_29937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g798 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_6),
+	.B_N(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_14),
+	.Y(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_17), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g799 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_14),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_9),
+	.C(soc_top_u_top_u_core_pc_if[16]),
+	.D(soc_top_u_top_u_core_pc_if[15]),
+	.X(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_16), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g800 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_3),
+	.B_N(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_13),
+	.Y(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_15), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g801 (
+	.A_N(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_3),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_13),
+	.C(soc_top_u_top_u_core_pc_if[8]),
+	.D(soc_top_u_top_u_core_pc_if[7]),
+	.X(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_14), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g802 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_12),
+	.B(soc_top_u_top_u_core_pc_if[3]),
+	.C(soc_top_u_top_u_core_pc_if[4]),
+	.X(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_13), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_2 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g803 (
+	.A(soc_top_u_top_u_core_pc_if[2]),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_0),
+	.CIN(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_7),
+	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_12),
+	.SUM(n_29936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g804 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_1),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_5),
+	.Y(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_10), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g805 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_4),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_6),
+	.Y(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_9), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g806 (
+	.A(soc_top_u_top_u_core_pc_if[1]),
+	.B(n_36110),
+	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_7),
+	.SUM(n_29935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g807 (
+	.A(soc_top_u_top_u_core_pc_if[12]),
+	.B(soc_top_u_top_u_core_pc_if[10]),
+	.C(soc_top_u_top_u_core_pc_if[11]),
+	.D(soc_top_u_top_u_core_pc_if[9]),
+	.Y(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_6), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g808 (
+	.A(soc_top_u_top_u_core_pc_if[20]),
+	.B(soc_top_u_top_u_core_pc_if[18]),
+	.C(soc_top_u_top_u_core_pc_if[19]),
+	.D(soc_top_u_top_u_core_pc_if[17]),
+	.Y(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_5), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g809 (
+	.A(soc_top_u_top_u_core_pc_if[14]),
+	.B(soc_top_u_top_u_core_pc_if[13]),
+	.Y(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_4), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g810 (
+	.A(soc_top_u_top_u_core_pc_if[5]),
+	.B(soc_top_u_top_u_core_pc_if[6]),
+	.Y(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_3), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g811 (
+	.A(soc_top_u_top_u_core_pc_if[26]),
+	.B(soc_top_u_top_u_core_pc_if[25]),
+	.Y(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_2), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g812 (
+	.A(soc_top_u_top_u_core_pc_if[22]),
+	.B(soc_top_u_top_u_core_pc_if[21]),
+	.Y(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_1), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g813 (
+	.A(n_36110),
+	.Y(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_0), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g706 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[31]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_75),
+	.C(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_19),
+	.X(soc_top_u_uart_u_uart_core_rx_time_n_217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g707 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[30]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_74),
+	.C(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_20),
+	.X(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_75), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g708 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[29]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_73),
+	.C(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_2),
+	.X(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_74), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g709 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[28]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_72),
+	.C(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_0),
+	.X(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_73), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g710 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[27]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_71),
+	.C(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_10),
+	.X(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_72), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g711 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[26]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_70),
+	.C(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_16),
+	.X(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_71), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g712 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[25]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_69),
+	.C(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_9),
+	.X(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_70), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g713 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[24]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_68),
+	.C(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_21),
+	.X(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_69), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g714 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[23]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_67),
+	.C(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_6),
+	.X(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_68), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g715 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_24),
+	.A2(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_66),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[22]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_13),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_67), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g716 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[21]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_65),
+	.C(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_11),
+	.X(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_66), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g717 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[20]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_64),
+	.C(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_4),
+	.X(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_65), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g718 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[19]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_63),
+	.C(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_18),
+	.X(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_64), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g719 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[18]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_62),
+	.C(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_8),
+	.X(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_63), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g720 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[17]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_61),
+	.C(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_14),
+	.X(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_62), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g721 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_60),
+	.A2(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_32),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_28),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_61), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g722 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_31),
+	.A2(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_59),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[15]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_15),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_60), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g723 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_58),
+	.A2(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_39),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_30),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_59), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g724 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_27),
+	.A2(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_57),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[13]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_5),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_58), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g725 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_56),
+	.A2(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_25),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_26),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_57), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g726 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_23),
+	.A2(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_55),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[11]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_22),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_56), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g727 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[10]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_54),
+	.C(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_17),
+	.X(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_55), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g728 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_53),
+	.A2(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_42),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_34),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_54), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g729 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_41),
+	.A2(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_52),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[8]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_12),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_53), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g730 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_51),
+	.A2(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_40),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_38),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_52), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g731 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_43),
+	.A2(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_50),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[6]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_1),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_51), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g732 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_49),
+	.A2(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_37),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_29),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_50), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g733 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_36),
+	.A2(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_48),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[4]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_3),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_49), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g734 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_7),
+	.B(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_47),
+	.C(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[3]),
+	.X(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_48), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g735 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_46),
+	.A2(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_33),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_35),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_47), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g736 (
+	.A1_N(soc_top_u_uart_u_uart_core_rx_time_rx_time[1]),
+	.A2_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_44),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_45),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_46), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g737 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[1]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_44),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_45), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g738 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_1),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[6]),
+	.X(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_43), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g739 (
+	.A_N(soc_top_u_uart_u_uart_core_rx_time_rx_time[9]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[9]),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_42), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g740 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_12),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[8]),
+	.X(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_41), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g741 (
+	.A_N(soc_top_u_uart_u_uart_core_rx_time_rx_time[7]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[7]),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_40), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g742 (
+	.A_N(soc_top_u_uart_u_uart_core_rx_time_rx_time[14]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[14]),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_39), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g743 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[7]),
+	.B_N(soc_top_u_uart_u_uart_core_rx_time_rx_time[7]),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_38), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g744 (
+	.A_N(soc_top_u_uart_u_uart_core_rx_time_rx_time[5]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[5]),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_37), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g745 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_3),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[4]),
+	.X(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_36), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g746 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[2]),
+	.B_N(soc_top_u_uart_u_uart_core_rx_time_rx_time[2]),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_35), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g747 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[9]),
+	.B_N(soc_top_u_uart_u_uart_core_rx_time_rx_time[9]),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_34), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g748 (
+	.A_N(soc_top_u_uart_u_uart_core_rx_time_rx_time[0]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[0]),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_44), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g749 (
+	.A_N(soc_top_u_uart_u_uart_core_rx_time_rx_time[2]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[2]),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_33), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g750 (
+	.A_N(soc_top_u_uart_u_uart_core_rx_time_rx_time[16]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[16]),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_32), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g751 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_15),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[15]),
+	.X(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_31), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g752 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[14]),
+	.B_N(soc_top_u_uart_u_uart_core_rx_time_rx_time[14]),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_30), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g753 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[5]),
+	.B_N(soc_top_u_uart_u_uart_core_rx_time_rx_time[5]),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_29), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g754 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[16]),
+	.B_N(soc_top_u_uart_u_uart_core_rx_time_rx_time[16]),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_28), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g755 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_5),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[13]),
+	.X(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_27), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g756 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[12]),
+	.B_N(soc_top_u_uart_u_uart_core_rx_time_rx_time[12]),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_26), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g757 (
+	.A_N(soc_top_u_uart_u_uart_core_rx_time_rx_time[12]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[12]),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_25), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g758 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_13),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[22]),
+	.X(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_24), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g759 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_22),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[11]),
+	.X(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_23), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g485 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[19]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_66),
+	.X(soc_top_u_uart_u_uart_core_rx_time_n_588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g486 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[18]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_55),
+	.COUT(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_66),
+	.SUM(soc_top_u_uart_u_uart_core_rx_time_n_589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g487 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[11]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_60),
+	.X(soc_top_u_uart_u_uart_core_rx_time_n_596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g488 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[31]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_62),
+	.X(soc_top_u_uart_u_uart_core_rx_time_n_576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g489 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[30]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_39),
+	.COUT(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_62),
+	.SUM(soc_top_u_uart_u_uart_core_rx_time_n_577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g490 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[10]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_40),
+	.COUT(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_60),
+	.SUM(soc_top_u_uart_u_uart_core_rx_time_n_597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g491 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[29]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_47),
+	.X(soc_top_u_uart_u_uart_core_rx_time_n_578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g492 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[27]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_51),
+	.X(soc_top_u_uart_u_uart_core_rx_time_n_580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g493 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[23]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_49),
+	.X(soc_top_u_uart_u_uart_core_rx_time_n_584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g494 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[17]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_36),
+	.COUT(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_55),
+	.SUM(soc_top_u_uart_u_uart_core_rx_time_n_590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g495 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[25]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_42),
+	.X(soc_top_u_uart_u_uart_core_rx_time_n_582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g496 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[21]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_44),
+	.X(soc_top_u_uart_u_uart_core_rx_time_n_586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g497 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[26]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_30),
+	.COUT(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_51),
+	.SUM(soc_top_u_uart_u_uart_core_rx_time_n_581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g498 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[22]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_29),
+	.COUT(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_49),
+	.SUM(soc_top_u_uart_u_uart_core_rx_time_n_585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g499 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[28]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_28),
+	.COUT(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_47),
+	.SUM(soc_top_u_uart_u_uart_core_rx_time_n_579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g500 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[15]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_34),
+	.X(soc_top_u_uart_u_uart_core_rx_time_n_592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g501 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[20]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_22),
+	.COUT(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_44),
+	.SUM(soc_top_u_uart_u_uart_core_rx_time_n_587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g502 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[24]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_21),
+	.COUT(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_42),
+	.SUM(soc_top_u_uart_u_uart_core_rx_time_n_583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g503 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[9]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_23),
+	.COUT(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_40),
+	.SUM(soc_top_u_uart_u_uart_core_rx_time_n_598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g504 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_28),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_time[28]),
+	.C(soc_top_u_uart_u_uart_core_rx_time_rx_time[29]),
+	.X(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_39), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g505 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[13]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_31),
+	.X(soc_top_u_uart_u_uart_core_rx_time_n_594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g506 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[16]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_16),
+	.COUT(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_36),
+	.SUM(soc_top_u_uart_u_uart_core_rx_time_n_591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g507 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[14]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_17),
+	.COUT(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_34),
+	.SUM(soc_top_u_uart_u_uart_core_rx_time_n_593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g508 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[7]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_25),
+	.X(soc_top_u_uart_u_uart_core_rx_time_n_600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g509 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[12]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_13),
+	.COUT(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_31),
+	.SUM(soc_top_u_uart_u_uart_core_rx_time_n_595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g510 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_3),
+	.B_N(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_21),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_30), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g511 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_1),
+	.B_N(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_22),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_29), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g512 (
+	.A_N(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_3),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_21),
+	.C(soc_top_u_uart_u_uart_core_rx_time_rx_time[26]),
+	.D(soc_top_u_uart_u_uart_core_rx_time_rx_time[27]),
+	.X(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_28), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g513 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[5]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_18),
+	.X(soc_top_u_uart_u_uart_core_rx_time_n_602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g514 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[6]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_12),
+	.COUT(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_25),
+	.SUM(soc_top_u_uart_u_uart_core_rx_time_n_601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g515 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[8]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_11),
+	.COUT(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_23),
+	.SUM(soc_top_u_uart_u_uart_core_rx_time_n_599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g516 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_5),
+	.B_N(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_16),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_22), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g517 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_16),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_10),
+	.C(soc_top_u_uart_u_uart_core_rx_time_rx_time[22]),
+	.D(soc_top_u_uart_u_uart_core_rx_time_rx_time[23]),
+	.X(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_21), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g518 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[3]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_14),
+	.X(soc_top_u_uart_u_uart_core_rx_time_n_604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g519 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[4]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_8),
+	.COUT(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_18),
+	.SUM(soc_top_u_uart_u_uart_core_rx_time_n_603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g520 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_0),
+	.B_N(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_13),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_17), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g521 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_11),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_9),
+	.C(soc_top_u_uart_u_uart_core_rx_time_rx_time[14]),
+	.D(soc_top_u_uart_u_uart_core_rx_time_rx_time[15]),
+	.X(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_16), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g522 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[2]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_7),
+	.COUT(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_14),
+	.SUM(soc_top_u_uart_u_uart_core_rx_time_n_605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g523 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_4),
+	.B_N(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_11),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_13), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g524 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_2),
+	.B_N(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_8),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_12), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g525 (
+	.A_N(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_2),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_8),
+	.C(soc_top_u_uart_u_uart_core_rx_time_rx_time[6]),
+	.D(soc_top_u_uart_u_uart_core_rx_time_rx_time[7]),
+	.X(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_11), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g526 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_1),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_5),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_10), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g527 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_0),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_4),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_9), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g528 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_7),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_time[3]),
+	.C(soc_top_u_uart_u_uart_core_rx_time_rx_time[2]),
+	.X(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_8), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g529 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[0]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_time[1]),
+	.COUT(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_7),
+	.SUM(soc_top_u_uart_u_uart_core_rx_time_n_606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g530 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[16]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_time[17]),
+	.C(soc_top_u_uart_u_uart_core_rx_time_rx_time[18]),
+	.D(soc_top_u_uart_u_uart_core_rx_time_rx_time[19]),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_5), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g531 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[8]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_time[9]),
+	.C(soc_top_u_uart_u_uart_core_rx_time_rx_time[10]),
+	.D(soc_top_u_uart_u_uart_core_rx_time_rx_time[11]),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_4), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g532 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[25]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_time[24]),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_3), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g533 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[5]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_time[4]),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_2), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g534 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[21]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_time[20]),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_1), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g535 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[13]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_time[12]),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_0), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1010208 (
+	.A(n_17741),
+	.Y(n_29398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1010210 (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_10 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_11 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1010228  (
+	.A(n_76288),
+	.B(n_87149),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1908 ),
+	.COUT(UNCONNECTED497),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3320 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1010268 (
+	.A(n_87847),
+	.Y(n_37374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g781004_1 (
+	.A(n_15644),
+	.B(n_15641),
+	.Y(n_37407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g713228_1 (
+	.A_N(soc_top_u_top_u_core_id_in_ready),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [1]),
+	.Y(n_37409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1010292 (
+	.A(n_8614),
+	.B(FE_DBTN32_soc_top_u_top_u_core_id_in_ready),
+	.Y(n_9167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g994730_1 (
+	.A(n_8614),
+	.B(FE_DBTN32_soc_top_u_top_u_core_id_in_ready),
+	.Y(n_37411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g14417_0  (
+	.A(n_62285),
+	.B(n_62294),
+	.Y(n_37413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1010311 (
+	.A(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Y(n_37433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1010312 (
+	.A(n_29556),
+	.B(n_57519),
+	.Y(n_37434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1010316 (
+	.A1(n_35518),
+	.A2(n_74665),
+	.B1(soc_top_u_dccm_bank_sel[0]),
+	.Y(n_37438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1010322 (
+	.A(soc_top_u_top_u_core_alu_operator_ex[1]),
+	.B(soc_top_u_top_u_core_alu_operator_ex[4]),
+	.Y(n_37444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g1010323 (
+	.A(n_16367),
+	.B(n_16420),
+	.Y(n_37445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1010328 (
+	.A(n_76123),
+	.B(n_16360),
+	.Y(n_37450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1010329 (
+	.A(n_15812),
+	.B(n_16276),
+	.Y(n_37451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g1010337 (
+	.A(n_62073),
+	.B(n_62054),
+	.Y(n_37459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1010367 (
+	.A0(FE_DBTN68_n_54295),
+	.A1(n_54295),
+	.S(n_31185),
+	.X(n_37489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1010368 (
+	.A0(FE_DBTN68_n_54295),
+	.A1(n_54295),
+	.S(n_31186),
+	.X(n_37490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g1010369 (
+	.A(n_54295),
+	.B(n_31190),
+	.Y(n_37491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor3_1 g1010378 (
+	.A(n_54295),
+	.B(n_76204),
+	.C(n_76321),
+	.X(n_37500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1010379 (
+	.A0(FE_DBTN68_n_54295),
+	.A1(n_54295),
+	.S(n_31195),
+	.X(n_29283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1010386 (
+	.A(soc_top_u_top_u_core_fp_alu_op_mod),
+	.B(n_29354),
+	.Y(n_37508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_2 g1010387 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1438 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1345 ),
+	.S(n_31304),
+	.Y(n_37509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o41a_4 g1010388 (
+	.A1(soc_top_u_top_u_core_fp_flush),
+	.A2(n_4757),
+	.A3(n_667),
+	.A4(n_73780),
+	.B1(n_1595),
+	.X(n_37510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 g1010389 (
+	.A0(n_31146),
+	.A1(n_518),
+	.S(n_37059),
+	.X(n_37511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1010390 (
+	.A0(n_503),
+	.A1(n_84338),
+	.S(n_84339),
+	.Y(n_37512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1010398 (
+	.A_N(soc_top_u_top_u_core_lsu_type[1]),
+	.B(soc_top_u_top_u_core_alu_adder_result_ex[1]),
+	.C(n_72622),
+	.Y(n_37520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1010399 (
+	.A_N(n_55286),
+	.B(n_37521),
+	.Y(addinc_ADD_UNS_OP_2_n_55), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1010400 (
+	.A0(n_87553),
+	.A1(n_53323),
+	.S(n_27861),
+	.Y(n_37521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1010409 (
+	.A0(FE_DBTN68_n_54295),
+	.A1(n_54295),
+	.S(n_31188),
+	.X(n_37531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1010410 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [2]),
+	.B(n_36659),
+	.Y(n_37532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1010414 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_30),
+	.B(n_23741),
+	.X(n_37536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1010416 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_26),
+	.B(n_42700),
+	.Y(n_37538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1010420 (
+	.A(addinc_ADD_UNS_OP_2_n_751),
+	.B(n_79697),
+	.Y(n_37542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1010421 (
+	.A(n_86295),
+	.B(addinc_ADD_UNS_OP_2_n_1970),
+	.Y(n_37543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1010424 (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_204 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_363 ),
+	.Y(n_37546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1010431 (
+	.A(n_87735),
+	.B(n_76655),
+	.X(n_37553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1010439 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2539 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2584 ),
+	.X(n_37561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1010441 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2529 ),
+	.B(n_87470),
+	.X(n_37563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1010442 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2532 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2531 ),
+	.Y(n_37564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g1010446 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1713 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3331 ),
+	.Y(n_37568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1010447 (
+	.A(n_79441),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1777 ),
+	.Y(n_37569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1010448 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3549 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1933 ),
+	.Y(n_37570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1010449 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1419 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1925 ),
+	.Y(n_37571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1010450 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1417 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1947 ),
+	.X(n_37572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g1010451 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1932 ),
+	.B(n_76287),
+	.Y(n_37573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1010452 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1421 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3523 ),
+	.Y(n_37574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1010453 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3514 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3547 ),
+	.X(n_37575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1010454 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1890 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3511 ),
+	.Y(n_37576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1010455 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1408 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1911 ),
+	.Y(n_37577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1010457 (
+	.A(n_78720),
+	.B(n_76312),
+	.Y(n_37579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1010463 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3553 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1497 ),
+	.Y(n_37585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1010464 (
+	.A(n_78720),
+	.B(n_54922),
+	.Y(n_37586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1010465 (
+	.A(FE_DBTN18_n_78720),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_453 ),
+	.Y(n_37587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1010468 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_376 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_453 ),
+	.Y(n_37590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1010469 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_258 ),
+	.B(n_31084),
+	.Y(n_37591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g1010476 (
+	.A(n_85863),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3791 ),
+	.Y(n_37598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1010477 (
+	.A(n_72426),
+	.B(n_85863),
+	.Y(n_37599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1010478 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_261 ),
+	.B(FE_DBTN53_n_60824),
+	.X(n_37600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1010479 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_457 ),
+	.B(n_85865),
+	.Y(n_37601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1010480 (
+	.A(n_75381),
+	.B(FE_DBTN24_n_77220),
+	.Y(n_37602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1010482 (
+	.A(n_72426),
+	.B(n_77220),
+	.Y(n_37604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1010483 (
+	.A(n_90594),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3791 ),
+	.Y(n_37605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g1010485 (
+	.A(n_78720),
+	.B(n_31065),
+	.Y(n_37607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1010491 (
+	.A(n_37607),
+	.B(n_37613),
+	.Y(n_37614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_2 g1010492 (
+	.A0(n_31066),
+	.A1(n_58891),
+	.S(n_31065),
+	.Y(n_37613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1010500 (
+	.A(n_87780),
+	.B(n_39110),
+	.Y(n_37622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g1010501 (
+	.A(n_36174),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_201 ),
+	.Y(n_37623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1010504 (
+	.A(n_36221),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_172 ),
+	.X(n_37626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1010523 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_76 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_372 ),
+	.Y(n_37645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1010569  (
+	.A(n_77509),
+	.Y(n_37691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1010578 (
+	.A(n_37704),
+	.Y(n_37706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1010580 (
+	.A(n_56973),
+	.B(n_37702),
+	.C(FE_DBTN98_n_26214),
+	.Y(n_37704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1010582 (
+	.A(n_91432),
+	.B(n_61021),
+	.Y(n_37702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1010584 (
+	.A(n_64633),
+	.B(n_37704),
+	.Y(n_37707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 g1010623 (
+	.A1_N(n_16648),
+	.A2_N(n_19562),
+	.B1(n_38823),
+	.B2(n_78434),
+	.Y(n_37743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1010636 (
+	.A(n_24973),
+	.B(n_78545),
+	.Y(n_37760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g1010643 (
+	.A(addinc_ADD_UNS_OP_2_n_556),
+	.B(n_37767),
+	.Y(n_37769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g1010645 (
+	.A(n_58654),
+	.Y(n_37767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g1010673 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1398),
+	.B(n_88482),
+	.Y(n_37800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 WALLACE_CSA_DUMMY_OP_groupi_g1010679 (
+	.A1(n_49436),
+	.A2(n_88482),
+	.B1(WALLACE_CSA_DUMMY_OP_groupi_n_686),
+	.Y(n_37802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1010698  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1404 ),
+	.A2(n_38044),
+	.B1(n_38034),
+	.B2(n_77281),
+	.X(n_37825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g1010744  (
+	.A(n_86308),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_130 ),
+	.Y(n_37871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g1010762  (
+	.A(n_86308),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_107 ),
+	.Y(n_37889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g1010786  (
+	.A(n_86308),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_93 ),
+	.X(n_37912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_4 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g1010787  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_61 ),
+	.B_N(n_86308),
+	.X(n_37913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1010814 (
+	.A(n_28480),
+	.B(n_80121),
+	.Y(n_37938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g1010815 (
+	.A(n_58714),
+	.B(addinc_ADD_UNS_OP_2_n_109),
+	.Y(n_37942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g1010817 (
+	.A(n_58714),
+	.B(addinc_ADD_UNS_OP_2_n_109),
+	.Y(n_37943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g1010818 (
+	.A(n_58714),
+	.B(addinc_ADD_UNS_OP_2_n_109),
+	.Y(n_37944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g1010853 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1311),
+	.B(n_72368),
+	.Y(n_37982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 WALLACE_CSA_DUMMY_OP_groupi_g1010856 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1048),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1045),
+	.Y(n_37979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g1010862 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_26),
+	.B(n_87533),
+	.Y(n_37989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g1010867 (
+	.A(n_23764),
+	.B(n_23687),
+	.Y(n_37993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g1010868 (
+	.A(n_37995),
+	.B(n_50046),
+	.Y(n_37996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 addinc_ADD_UNS_OP_2_g1010869 (
+	.A(n_37993),
+	.B(n_37994),
+	.Y(n_37995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g1010870 (
+	.A(n_23763),
+	.B(n_59145),
+	.Y(n_37994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 WALLACE_CSA_DUMMY_OP_groupi_g1010877 (
+	.A(n_53967),
+	.Y(n_38004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g1010908 (
+	.A(n_64886),
+	.B(n_17802),
+	.Y(n_38034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1010912  (
+	.A(n_38034),
+	.B(n_34715),
+	.Y(n_38038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1010913  (
+	.A(n_38034),
+	.B(n_35479),
+	.Y(n_38039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1010915  (
+	.A(n_53369),
+	.B(n_38034),
+	.Y(n_38041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1010916  (
+	.A(n_38034),
+	.B(n_34726),
+	.Y(n_38042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1010917  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3791 ),
+	.B(n_38034),
+	.COUT(n_38043),
+	.SUM(n_38044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1010919  (
+	.A(n_72426),
+	.B(n_38034),
+	.COUT(n_38046),
+	.SUM(n_38047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1010920  (
+	.A(n_38034),
+	.B(n_34734),
+	.Y(n_38048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_12 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1010921  (
+	.A(n_38034),
+	.Y(n_38049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1010926  (
+	.A(n_79376),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2433 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2428 ),
+	.COUT(n_38054),
+	.SUM(n_38055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1010932  (
+	.A(n_79192),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3126 ),
+	.Y(n_38065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1010933  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3141 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3139 ),
+	.Y(n_38066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1010946 (
+	.A(n_41812),
+	.B(n_59636),
+	.Y(n_38079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1010947 (
+	.A1(n_29354),
+	.A2(n_38084),
+	.B1(n_36096),
+	.X(n_38081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g1010950 (
+	.A_N(n_9600),
+	.B(n_34990),
+	.C(n_38084),
+	.X(n_38083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g1010951 (
+	.A1(n_602),
+	.A2(n_38084),
+	.A3(n_9600),
+	.B1(n_11848),
+	.Y(n_38085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1010953 (
+	.A(n_9905),
+	.B(n_38084),
+	.Y(n_38086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1010954 (
+	.A(n_43376),
+	.B(n_38439),
+	.Y(n_38089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1010961 (
+	.A(n_38092),
+	.B(n_38093),
+	.Y(n_38094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1010962 (
+	.A(n_81698),
+	.B(n_81268),
+	.Y(n_38092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 g1010963 (
+	.A1_N(n_17005),
+	.A2_N(n_25130),
+	.B1(soc_top_u_dccm_rdata1[6]),
+	.B2(n_25186),
+	.Y(n_38093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g1010981  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_648 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_565 ),
+	.B1(n_41318),
+	.Y(n_38114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g1010982  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1115 ),
+	.Y(n_38115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g1010986  (
+	.A1_N(n_31303),
+	.A2_N(n_38114),
+	.B1(n_38115),
+	.B2(n_31303),
+	.Y(n_38117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g1010987  (
+	.A(n_38117),
+	.Y(n_38120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1010990 (
+	.A(n_25262),
+	.B(n_81265),
+	.Y(n_38121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 g1010991 (
+	.A1_N(n_17012),
+	.A2_N(n_25130),
+	.B1(soc_top_u_dccm_rdata1[2]),
+	.B2(n_25186),
+	.Y(n_38122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1011002 (
+	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[29]),
+	.A1(n_47825),
+	.S(n_1494),
+	.X(n_38139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1011030 (
+	.A1(n_10572),
+	.A2(n_62575),
+	.B1(n_73457),
+	.B2(n_9577),
+	.C1(n_11976),
+	.Y(n_38164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g1011035 (
+	.A(n_38170),
+	.Y(n_38172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g1011037 (
+	.A(n_79262),
+	.B(n_56503),
+	.Y(n_38170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g1011040 (
+	.A(n_38170),
+	.B(n_86612),
+	.Y(n_38173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1011057 (
+	.A(n_77391),
+	.B(n_70359),
+	.Y(n_38191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1011064 (
+	.A(n_77387),
+	.B(n_70359),
+	.Y(n_38198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1011096 (
+	.A(n_27055),
+	.Y(n_38229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 addinc_ADD_UNS_OP_2_g1011109 (
+	.A(n_87569),
+	.B(n_38239),
+	.Y(n_38242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g1011110 (
+	.A(n_38239),
+	.B(n_87569),
+	.Y(n_38243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g1011134 (
+	.A(n_38266),
+	.B(n_77622),
+	.Y(n_38268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_g1011135 (
+	.A(n_77123),
+	.Y(n_38266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 WALLACE_CSA_DUMMY_OP_groupi_g1011159 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_807),
+	.B_N(n_38290),
+	.Y(n_38292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_2 WALLACE_CSA_DUMMY_OP_groupi_g1011167 (
+	.A1(n_38324),
+	.A2(n_58219),
+	.B1(n_78855),
+	.X(n_38300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1011180 (
+	.A(n_79259),
+	.B(n_48533),
+	.Y(n_38315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g1011191 (
+	.A(n_88457),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1988),
+	.Y(n_38324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 WALLACE_CSA_DUMMY_OP_groupi_g1011195 (
+	.A(n_38324),
+	.B(n_58219),
+	.C(n_78855),
+	.Y(n_38327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1011201 (
+	.A(n_38334),
+	.B(addinc_ADD_UNS_OP_2_n_2061),
+	.Y(n_38335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g1011202 (
+	.A(n_55662),
+	.B(n_50099),
+	.Y(n_38334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g1011209 (
+	.A(addinc_ADD_UNS_OP_2_n_759),
+	.Y(n_38339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g1011216 (
+	.A(n_89317),
+	.B(n_38347),
+	.Y(n_38349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 WALLACE_CSA_DUMMY_OP_groupi_g1011217 (
+	.A1(n_60537),
+	.A2(n_76267),
+	.B1_N(n_53849),
+	.X(n_38354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g1011227  (
+	.A(n_87794),
+	.B(n_37889),
+	.Y(n_38361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g1011231 (
+	.A(n_38366),
+	.B(n_37949),
+	.Y(n_38367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1011248 (
+	.A(n_59252),
+	.B(n_30924),
+	.Y(n_38382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1011254 (
+	.A(n_58371),
+	.B(n_59252),
+	.Y(n_38387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1011255 (
+	.A(n_59252),
+	.B(n_30909),
+	.Y(n_38388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1011261  (
+	.A(FE_DBTN44_n_64842),
+	.B(n_36245),
+	.Y(n_38395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1011266  (
+	.A(FE_DBTN44_n_64842),
+	.B(n_30804),
+	.Y(n_38399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1011275 (
+	.A(n_59044),
+	.B(n_36814),
+	.Y(n_38408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1011305 (
+	.A(n_30945),
+	.B(n_59252),
+	.Y(n_38439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g1011317  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_742 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(n_38450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g1011318  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_767 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(n_38451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g1011319  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_855 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(n_38453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g1011321  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_768 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(n_38454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g1011323  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_860 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(n_38456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g1011324  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_750 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(n_38457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g1011325  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_761 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(n_38458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1011337  (
+	.A(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_39 ),
+	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_36 ),
+	.C(n_86479),
+	.Y(n_38470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1011338  (
+	.A1(n_37490),
+	.A2(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_45 ),
+	.B1_N(n_38472),
+	.X(n_38474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1011340  (
+	.A(n_1100),
+	.B(n_38470),
+	.Y(n_38472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1011341  (
+	.A(n_37490),
+	.Y(n_1100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1011342  (
+	.A(n_38472),
+	.B(n_87087),
+	.C(n_37531),
+	.D(n_29276),
+	.Y(n_38475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1011343  (
+	.A1(n_38472),
+	.A2(n_29276),
+	.B1(n_38476),
+	.X(n_38477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1011344  (
+	.A(n_38472),
+	.B(n_29276),
+	.Y(n_38476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1011366 (
+	.A(n_78545),
+	.B(n_24877),
+	.C(soc_top_u_dccm_bank_sel[1]),
+	.Y(n_25196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_2 g1011368 (
+	.A1(n_24879),
+	.A2(n_78545),
+	.A3(soc_top_u_dccm_bank_sel[1]),
+	.A4(soc_top_u_dccm_rdata3[8]),
+	.B1(n_25122),
+	.Y(n_38500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g1011369 (
+	.A1(n_63542),
+	.A2(n_63543),
+	.B1(soc_top_u_dccm_rdata3[21]),
+	.C1(soc_top_u_dccm_bank_sel[1]),
+	.D1(n_78545),
+	.X(n_38501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g1011668  (
+	.A(n_70169),
+	.B(n_74839),
+	.C(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_144 ),
+	.Y(n_38773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1011680 (
+	.A(n_38781),
+	.Y(n_38782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1011681 (
+	.A(n_17765),
+	.B_N(soc_top_u_top_u_core_rf_wdata_fwd_wb[24]),
+	.Y(n_38781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1011686 (
+	.A(n_57529),
+	.Y(n_38788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1011696  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2272 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2178 ),
+	.CI(n_80300),
+	.COUT(n_38801),
+	.SUM(n_38798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g5 (
+	.A(n_38803),
+	.B(n_38805),
+	.C(n_38806),
+	.Y(n_38807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1011700 (
+	.A(n_60806),
+	.B(n_38801),
+	.Y(n_38803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1011701 (
+	.A(n_38801),
+	.B(n_62477),
+	.Y(n_38805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1011702 (
+	.A(n_60806),
+	.B(n_62477),
+	.Y(n_38806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g1011707 (
+	.A(n_77588),
+	.Y(n_38819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 g1011717 (
+	.A(n_19598),
+	.Y(n_38823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g1011764 (
+	.A1_N(n_27510),
+	.A2_N(n_36944),
+	.B1(n_27548),
+	.B2(n_58262),
+	.Y(n_38872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1011768 (
+	.A(n_58262),
+	.B(n_75378),
+	.Y(n_38873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1011772 (
+	.A(n_78234),
+	.Y(n_38878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g1011774 (
+	.A(n_61552),
+	.B(n_38881),
+	.Y(n_38882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 addinc_ADD_UNS_OP_2_fopt1011776 (
+	.A(n_62096),
+	.Y(n_38881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1011777 (
+	.A_N(n_80763),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1991),
+	.Y(n_38883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1011778 (
+	.A(n_48254),
+	.B(n_25549),
+	.Y(n_38885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1011782 (
+	.A(n_88138),
+	.B(n_38888),
+	.Y(n_38889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_4 g1011783 (
+	.A(n_17765),
+	.B_N(soc_top_u_top_u_core_rf_wdata_fwd_wb[27]),
+	.X(n_38888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 WALLACE_CSA_DUMMY_OP_groupi_g1011792 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1235),
+	.Y(n_38894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g1011793 (
+	.A(n_56508),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1166),
+	.Y(n_38895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 WALLACE_CSA_DUMMY_OP_groupi_g1011795 (
+	.A1(n_56428),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_1024),
+	.B1(n_77059),
+	.Y(n_38899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1011800 (
+	.A(n_27667),
+	.B(n_78240),
+	.Y(n_38902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1011805 (
+	.A(n_58229),
+	.B(n_27725),
+	.Y(n_38907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1011837 (
+	.A(n_89329),
+	.Y(n_38960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 fopt1011869 (
+	.A(n_59145),
+	.Y(n_38994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1011942 (
+	.A(n_78302),
+	.B(n_70340),
+	.Y(n_39077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g1011978  (
+	.A(n_80135),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_66 ),
+	.Y(n_39110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1011985 (
+	.A(n_36109),
+	.B(n_90647),
+	.Y(n_39117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1012008 (
+	.A(n_48538),
+	.B(n_48506),
+	.Y(n_39141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1012013 (
+	.A(n_59676),
+	.B(n_48510),
+	.Y(n_39146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1012020 (
+	.A0(FE_DBTN68_n_54295),
+	.A1(n_54295),
+	.S(n_39151),
+	.X(n_39152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g1012021  (
+	.A(n_76202),
+	.B(n_84292),
+	.Y(n_39151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1012031  (
+	.A(n_62797),
+	.Y(n_39162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_fopt1012034  (
+	.A(n_39165),
+	.Y(n_39166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g1012078 (
+	.A1(n_70340),
+	.A2(n_30766),
+	.B1(n_15577),
+	.Y(n_39210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g1012102  (
+	.A(n_80135),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_99 ),
+	.Y(n_39233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g1012107 (
+	.A(n_15948),
+	.Y(n_39238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1012111 (
+	.A(n_16404),
+	.B(n_39238),
+	.Y(n_39240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1012112 (
+	.A(n_39243),
+	.B(n_16506),
+	.Y(n_39244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1012155  (
+	.A(FE_DBTN35_n_69877),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [28]),
+	.Y(n_39286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1012397 (
+	.A1(n_17018),
+	.A2(soc_top_u_dccm_bank_sel[0]),
+	.B1(n_17753),
+	.Y(n_39512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1012403 (
+	.A1(n_39936),
+	.A2(n_23606),
+	.B1(n_23605),
+	.Y(n_39515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g1012419 (
+	.A1(n_60029),
+	.A2(n_60030),
+	.B1(soc_top_u_dccm_rdata1[30]),
+	.C1(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.D1(n_78545),
+	.X(n_39532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g1012420 (
+	.A(n_78545),
+	.B(n_47758),
+	.C(soc_top_u_dccm_rdata1[16]),
+	.D(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.Y(n_39533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1012422 (
+	.A(soc_top_u_dccm_bank_sel[0]),
+	.B(n_24877),
+	.C(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.Y(n_39535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_16 g1012479 (
+	.A(n_19562),
+	.Y(n_39587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1012482 (
+	.A(n_81709),
+	.B(n_86041),
+	.Y(n_39595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1012489 (
+	.A(n_13135),
+	.B(n_86768),
+	.Y(n_39598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1012490 (
+	.A(n_13116),
+	.B(n_13115),
+	.Y(n_39599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1012491 (
+	.A0(FE_DBTN68_n_54295),
+	.A1(n_54295),
+	.S(n_31199),
+	.X(n_39600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1012504 (
+	.A(n_88451),
+	.B(n_80445),
+	.Y(n_39610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g1012505 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_210),
+	.B(n_78287),
+	.Y(n_39613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1012512 (
+	.A(n_80707),
+	.B(n_80121),
+	.Y(n_39617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1012532 (
+	.A(soc_top_u_top_u_core_rf_wdata_fwd_wb[10]),
+	.Y(n_39637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1012536 (
+	.A(n_29802),
+	.B(n_17765),
+	.Y(n_39639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1012537 (
+	.A(soc_top_u_top_u_core_rf_wdata_fwd_wb[10]),
+	.B(FE_DBTN104_n_17765),
+	.Y(n_39642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1012546 (
+	.A(n_39654),
+	.Y(n_39655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1012547 (
+	.A(n_39671),
+	.B(n_40433),
+	.C(n_75677),
+	.Y(n_39654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_g1012553 (
+	.A(n_39656),
+	.Y(addinc_ADD_UNS_OP_2_n_135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1012554 (
+	.A(n_28619),
+	.B(n_28618),
+	.Y(n_39656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1012558 (
+	.A(n_39661),
+	.B(n_39662),
+	.Y(n_39663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1012559 (
+	.A(addinc_ADD_UNS_OP_2_n_135),
+	.B(n_54373),
+	.Y(n_39661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1012560 (
+	.A(n_39656),
+	.B(addinc_ADD_UNS_OP_2_n_25),
+	.Y(n_39662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1012563 (
+	.A(n_26493),
+	.Y(n_39666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1012570 (
+	.A(n_61059),
+	.B(n_39671),
+	.Y(n_39672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1012612  (
+	.A(n_39715),
+	.B(n_38034),
+	.COUT(n_39716),
+	.SUM(n_39717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1012613 (
+	.A(n_79166),
+	.B(n_71622),
+	.X(n_39715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1012614  (
+	.A(n_31076),
+	.B(n_39715),
+	.Y(n_39718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1012616  (
+	.A(n_39715),
+	.B(n_31082),
+	.Y(n_39720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1012617  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3692 ),
+	.B(n_39715),
+	.Y(n_39721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1012618  (
+	.A(n_39715),
+	.B(n_78035),
+	.Y(n_39722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1012619  (
+	.A(n_39723),
+	.B(FE_DBTN24_n_77220),
+	.Y(n_39724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1007789_dup (
+	.A(n_79166),
+	.B(n_71622),
+	.X(n_39723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1012620  (
+	.A(n_39723),
+	.B(n_90595),
+	.Y(n_39725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1012621  (
+	.A(n_39723),
+	.B(n_85865),
+	.X(n_39726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1012622  (
+	.A(n_31066),
+	.B(n_39723),
+	.Y(n_39727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1012623  (
+	.A(FE_DBTN18_n_78720),
+	.B(n_39723),
+	.X(n_39728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1012624  (
+	.A0(n_31084),
+	.A1(n_26653),
+	.S(n_39723),
+	.X(n_39729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 addinc_ADD_UNS_OP_2_g1012632 (
+	.A(n_53647),
+	.B(n_23676),
+	.Y(n_39740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g1012635 (
+	.A(n_23676),
+	.B(n_53647),
+	.Y(n_39741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 WALLACE_CSA_DUMMY_OP_groupi_fopt1012636 (
+	.A(n_48308),
+	.Y(n_39743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g23 (
+	.A1(n_63542),
+	.A2(n_63543),
+	.B1(soc_top_u_dccm_rdata2[17]),
+	.Y(n_39748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1012641 (
+	.A_N(n_34728),
+	.B(n_38034),
+	.Y(n_39751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1012678 (
+	.A(n_42216),
+	.Y(n_39804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1012682 (
+	.A(n_23757),
+	.Y(n_39805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 fopt1012723 (
+	.A(n_34740),
+	.Y(n_39847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt1012739 (
+	.A(n_88181),
+	.Y(n_39883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1012747 (
+	.A(n_39894),
+	.Y(n_39895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1012750  (
+	.A(n_39903),
+	.B(FE_DBTN44_n_64842),
+	.Y(n_39904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1012753  (
+	.A(n_36244),
+	.Y(n_39900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1012757  (
+	.A(n_39909),
+	.B(n_64842),
+	.Y(n_39911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1012759  (
+	.A1(n_39907),
+	.A2(n_81343),
+	.B1(n_71766),
+	.Y(n_39909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1012760  (
+	.A(n_36249),
+	.Y(n_39907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1012769 (
+	.A(n_62295),
+	.B(n_15514),
+	.Y(n_39916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g1012771  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_1 ),
+	.B(n_40534),
+	.Y(n_39921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1012772 (
+	.A(n_43995),
+	.B(n_40534),
+	.Y(n_39922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1012780  (
+	.A(n_63073),
+	.B(FE_DBTN44_n_64842),
+	.Y(n_39934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1012783  (
+	.A(n_36247),
+	.Y(n_39930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1012784  (
+	.A(n_81343),
+	.B(n_36248),
+	.Y(n_39931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_16 g1012786 (
+	.A(n_43916),
+	.Y(n_39936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1012789 (
+	.A(n_57068),
+	.B(n_23538),
+	.Y(n_39937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1012790 (
+	.A(n_24215),
+	.B(n_60510),
+	.Y(n_39941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1012792 (
+	.A1(n_60510),
+	.A2(n_24215),
+	.B1(n_60747),
+	.X(n_39942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1012794  (
+	.A(n_34720),
+	.Y(n_39944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_4 g1012797 (
+	.A(n_39944),
+	.B(FE_DBTN53_n_60824),
+	.Y(n_39946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1012805 (
+	.A(n_25252),
+	.B(n_49428),
+	.Y(n_39952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1012807 (
+	.A(n_58129),
+	.B(n_57067),
+	.Y(n_39957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1012810  (
+	.A(n_39961),
+	.B(n_38034),
+	.Y(n_39962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1012814  (
+	.A(n_39961),
+	.B(FE_DBTN53_n_60824),
+	.Y(n_39964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1012815  (
+	.A(n_39961),
+	.B(n_31084),
+	.Y(n_39965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1012816  (
+	.A1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3719 ),
+	.A2_N(n_39961),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3719 ),
+	.B2(n_39961),
+	.Y(n_39966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1012817  (
+	.A1(FE_DBTN18_n_78720),
+	.A2(n_75381),
+	.B1(n_78720),
+	.B2(n_39961),
+	.X(n_39968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1012819  (
+	.A(n_39961),
+	.B(n_31082),
+	.Y(n_39969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1012820  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_131 ),
+	.A2(n_39961),
+	.B1(n_75381),
+	.B2(n_31076),
+	.X(n_39970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1012821  (
+	.A1(n_75381),
+	.A2(n_31066),
+	.B1(n_39961),
+	.B2(n_58891),
+	.X(n_39971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1012822  (
+	.A1(n_78035),
+	.A2(n_39961),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_376 ),
+	.B2(n_75381),
+	.X(n_39972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1012840  (
+	.A(n_85000),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_850 ),
+	.Y(n_39991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1012843  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1080 ),
+	.B(n_85000),
+	.Y(n_39993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1012845  (
+	.A1(n_85000),
+	.A2(n_59505),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3603 ),
+	.B2(n_53333),
+	.X(n_39995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1012855  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1484 ),
+	.A2(n_37601),
+	.B1(n_76304),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_780 ),
+	.X(n_40006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1012857  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1484 ),
+	.A2(n_76304),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_780 ),
+	.B2(n_76302),
+	.X(n_40007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1012858  (
+	.A1(n_37598),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_780 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1484 ),
+	.B2(n_37599),
+	.X(n_40008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1012859  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_780 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3558 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1568 ),
+	.Y(n_40009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1012861  (
+	.A1(n_37598),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1484 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_780 ),
+	.B2(n_85865),
+	.X(n_40011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1012863  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1484 ),
+	.A2(n_76306),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_780 ),
+	.B2(n_37599),
+	.X(n_40013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1012864  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1484 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3571 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_780 ),
+	.B2(n_76306),
+	.X(n_40014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1012865  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_780 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3588 ),
+	.Y(n_40015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1012868  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_842 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_780 ),
+	.Y(n_40018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1012869  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_780 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3576 ),
+	.Y(n_40019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1012870  (
+	.A_N(n_59509),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_780 ),
+	.Y(n_40021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1012873  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1484 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1122 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_780 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1144 ),
+	.Y(n_40023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1012874  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1484 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_919 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_780 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1044 ),
+	.X(n_40024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1012888  (
+	.A1(n_77220),
+	.A2(n_80285),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_926 ),
+	.B2(FE_DBTN25_n_78248),
+	.Y(n_40039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1012905 (
+	.A(n_26200),
+	.Y(n_40052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1012906 (
+	.A(n_42763),
+	.B(n_58322),
+	.Y(n_40056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g1012912 (
+	.A1_N(n_24484),
+	.A2_N(n_24916),
+	.B1(n_17070),
+	.B2(n_29659),
+	.Y(n_40060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1012915 (
+	.A1(n_58418),
+	.A2(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.B1(n_81732),
+	.B2(n_57529),
+	.C1(n_25227),
+	.X(n_40066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g1012919 (
+	.A(n_40070),
+	.Y(n_40072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g1012921 (
+	.A(n_75610),
+	.B(n_37949),
+	.Y(n_40070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g1012923 (
+	.A(n_40070),
+	.B(n_74859),
+	.Y(n_40073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1012977 (
+	.A(n_87775),
+	.B(n_87792),
+	.Y(n_40128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g1012982 (
+	.A(n_90646),
+	.B(n_89587),
+	.Y(n_40131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g1012983 (
+	.A(n_80797),
+	.B(n_40131),
+	.Y(n_40134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 addinc_ADD_UNS_OP_2_g1012985 (
+	.A1(n_89916),
+	.A2(addinc_ADD_UNS_OP_2_n_784),
+	.B1(n_40131),
+	.Y(n_40135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g1012996 (
+	.A1(n_80398),
+	.A2(soc_top_u_dccm_rdata4[28]),
+	.B1(n_90681),
+	.Y(n_40146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1012998 (
+	.A1(n_80398),
+	.A2(soc_top_u_dccm_rdata4[31]),
+	.B1(n_74664),
+	.B2(soc_top_u_dccm_bank_sel[0]),
+	.C1(n_37438),
+	.X(n_40149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g1013003 (
+	.A(n_40155),
+	.B(n_63894),
+	.Y(n_40154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g1013005 (
+	.A(n_40155),
+	.Y(n_40156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1013031  (
+	.A(FE_DBTN78_n_81343),
+	.B(FE_DBTN44_n_64842),
+	.Y(n_40181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1013034  (
+	.A(FE_DBTN78_n_81343),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [10]),
+	.Y(n_40184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1013291 (
+	.A(FE_DBTN79_n_53716),
+	.B(n_26763),
+	.Y(n_40424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1013295 (
+	.A(FE_DBTN79_n_53716),
+	.B(n_61015),
+	.Y(n_40426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1013298 (
+	.A(FE_DBTN79_n_53716),
+	.B(n_26706),
+	.Y(n_40428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1013299 (
+	.A(FE_DBTN79_n_53716),
+	.B(\soc_top_u_top_u_core_fp_operands[2] [22]),
+	.Y(n_40430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1013301 (
+	.A(FE_DBTN79_n_53716),
+	.B(n_26755),
+	.Y(n_40432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1013302 (
+	.A(FE_DBTN79_n_53716),
+	.B(n_26501),
+	.Y(n_40433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1013303 (
+	.A(FE_DBTN79_n_53716),
+	.B(n_26508),
+	.Y(n_40434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1013304 (
+	.A(FE_DBTN79_n_53716),
+	.B(n_26704),
+	.Y(n_40435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g1013307  (
+	.A_N(n_64615),
+	.B(n_58169),
+	.Y(n_40441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g1013310 (
+	.A1_N(n_24945),
+	.A2_N(n_24965),
+	.B1(n_16540),
+	.B2(n_29630),
+	.Y(n_40438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g1013311  (
+	.A(n_58169),
+	.B_N(n_64615),
+	.Y(n_40442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g1013312  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_10 ),
+	.B(n_64615),
+	.Y(n_40443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g1013313  (
+	.A1(n_64615),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_7 ),
+	.B1(n_41959),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_8 ),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_10 ),
+	.C2(FE_DBTN42_n_64880),
+	.Y(n_40444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g1013314  (
+	.A1(n_41959),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [3]),
+	.B1(n_64615),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_2 ),
+	.C1(n_71730),
+	.Y(n_40445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1013316 (
+	.A1(n_10063),
+	.A2(n_64615),
+	.B1(n_10117),
+	.B2(n_29564),
+	.Y(n_40447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1013317 (
+	.A(n_64615),
+	.B(n_58169),
+	.Y(n_40448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1013318 (
+	.A(n_64615),
+	.B(n_81530),
+	.Y(n_40449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1013321 (
+	.A1(n_40449),
+	.A2(n_78034),
+	.B1(n_64615),
+	.X(n_40452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1013322 (
+	.A1(n_81536),
+	.A2(n_40449),
+	.B1(n_64615),
+	.Y(n_40453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1013413 (
+	.A(n_40536),
+	.B(n_40534),
+	.Y(n_40537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1013414 (
+	.A(n_63616),
+	.B(n_86308),
+	.Y(n_40536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1013418  (
+	.A(n_39715),
+	.B(FE_DBTN53_n_60824),
+	.Y(n_40540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1013419  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1728 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1727 ),
+	.C(n_76598),
+	.X(n_40546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1013449 (
+	.A(n_60029),
+	.Y(n_40564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1013450 (
+	.A(n_60030),
+	.Y(n_40565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1013507  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1027 ),
+	.A2(n_80285),
+	.B1(n_39724),
+	.B2(FE_DBTN25_n_78248),
+	.Y(n_40626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1013510  (
+	.A1(n_39724),
+	.A2(n_80285),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1141 ),
+	.B2(FE_DBTN25_n_78248),
+	.Y(n_40629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1013511  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1141 ),
+	.A2(n_80285),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_967 ),
+	.B2(FE_DBTN25_n_78248),
+	.X(n_40630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1013514  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_926 ),
+	.A2(n_80284),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1027 ),
+	.B2(FE_DBTN25_n_78248),
+	.Y(n_40634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1013515  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1135 ),
+	.A2(n_80284),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_830 ),
+	.B2(FE_DBTN25_n_78248),
+	.Y(n_40635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1013517  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1143 ),
+	.A2(n_80284),
+	.B1(n_87730),
+	.B2(FE_DBTN25_n_78248),
+	.Y(n_40637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1013518  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_830 ),
+	.A2(n_80284),
+	.B1(n_80462),
+	.B2(FE_DBTN25_n_78248),
+	.Y(n_40638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1013524 (
+	.A(n_46379),
+	.B(n_58762),
+	.Y(n_40643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1013526 (
+	.A1(n_90599),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1361 ),
+	.B1(n_44403),
+	.Y(n_40645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1013528 (
+	.A(n_40646),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2326 ),
+	.Y(n_40647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1013529 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3416 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2238 ),
+	.Y(n_40646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g1013537 (
+	.A1(n_63542),
+	.A2(n_63543),
+	.B1(soc_top_u_dccm_rdata2[21]),
+	.Y(n_40655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1013540 (
+	.A0(n_30955),
+	.A1(n_30879),
+	.S(n_86613),
+	.X(n_40660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1013576 (
+	.A(n_73859),
+	.Y(n_40688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 fopt1013592 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_780 ),
+	.Y(n_40691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 fopt1013604 (
+	.A(n_75362),
+	.Y(n_40712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 fopt1013614 (
+	.A(n_31232),
+	.Y(n_40732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt1013625 (
+	.A(n_61021),
+	.Y(n_40737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1013679  (
+	.A(n_63084),
+	.B(FE_DBTN44_n_64842),
+	.Y(n_40828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1013681 (
+	.A0(n_30955),
+	.A1(n_30879),
+	.S(n_86613),
+	.Y(n_40825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1013683  (
+	.A1(n_53578),
+	.A2(FE_DBTN44_n_64842),
+	.B1(n_63084),
+	.B2(n_64842),
+	.X(n_40830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1013695  (
+	.A(n_84903),
+	.B(n_40841),
+	.X(n_40843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1013697  (
+	.A(n_59102),
+	.B(n_91432),
+	.Y(n_40841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1013704  (
+	.A1(n_40850),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1683 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1816 ),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1929 ),
+	.Y(n_40851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1013745 (
+	.A1(n_70340),
+	.A2(n_30781),
+	.B1(n_78196),
+	.Y(n_40894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1013773 (
+	.A(n_15601),
+	.Y(n_40919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_2 g1013869 (
+	.A1(n_15494),
+	.A2(n_90558),
+	.B1(n_87802),
+	.C1(n_15638),
+	.Y(n_41015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1013884  (
+	.A1(n_63863),
+	.A2(FE_DBTN60_n_58731),
+	.B1(n_57478),
+	.B2(n_79311),
+	.X(n_41034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1013900 (
+	.A1(n_70340),
+	.A2(n_30765),
+	.B1(n_42610),
+	.Y(n_41047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1013958 (
+	.A(FE_DBTN43_n_90647),
+	.B(n_15473),
+	.Y(n_41105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1013972  (
+	.A(n_54862),
+	.B(n_54681),
+	.Y(n_41122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1013975  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1652 ),
+	.Y(n_41118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1013982 (
+	.A(n_81697),
+	.B(n_72354),
+	.Y(n_41125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1013984 (
+	.A(n_41132),
+	.Y(n_41134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1013986 (
+	.A(n_49446),
+	.B(n_58745),
+	.Y(n_41132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1013989 (
+	.A1(n_18001),
+	.A2(n_41132),
+	.B1(n_23586),
+	.Y(n_41135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1014009 (
+	.A(n_26981),
+	.Y(n_41155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1014012 (
+	.A(n_73859),
+	.B(n_41155),
+	.Y(n_41156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1014024 (
+	.A1(soc_top_u_dccm_rdata1[7]),
+	.A2(soc_top_u_dccm_bank_sel[0]),
+	.B1(n_41170),
+	.X(n_41171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1014052 (
+	.A(n_70340),
+	.B(n_30762),
+	.Y(n_41199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1014055  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2492 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [13]),
+	.Y(n_41202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1014062  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2492 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [30]),
+	.Y(n_41208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1014063  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2492 ),
+	.B(n_30798),
+	.Y(n_41210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_fopt1014064  (
+	.A(n_79741),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2492 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1014066  (
+	.A1_N(FE_DBTN160_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sum_26),
+	.A2_N(n_79746),
+	.B1(n_72106),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2492 ),
+	.Y(n_41212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1014067  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2492 ),
+	.B(n_36243),
+	.Y(n_41213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1014068  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2492 ),
+	.B(n_56252),
+	.Y(n_41214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1014070  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2492 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [38]),
+	.Y(n_41216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g1014172  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_964 ),
+	.B(FE_DBTN87_n_31302),
+	.Y(n_41315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g1014174  (
+	.A(FE_DBTN87_n_31302),
+	.B(FE_DBTN80_n_84985),
+	.Y(n_41317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g1014176  (
+	.A(n_72302),
+	.B(FE_DBTN87_n_31302),
+	.Y(n_41318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g1014177  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_941 ),
+	.B(FE_DBTN87_n_31302),
+	.Y(n_41319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g1014178  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1064 ),
+	.B(FE_DBTN87_n_31302),
+	.Y(n_41320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g1014179  (
+	.A(n_31303),
+	.B(FE_DBTN87_n_31302),
+	.Y(n_41321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1014282 (
+	.A(n_77896),
+	.Y(n_41418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1014283 (
+	.A(n_41418),
+	.B(n_58322),
+	.Y(n_41420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1014284 (
+	.A(n_41418),
+	.B(n_84334),
+	.Y(n_41421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1014491 (
+	.A(n_59697),
+	.B(n_15372),
+	.Y(n_41606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1014494 (
+	.A(n_59460),
+	.B(n_59703),
+	.X(n_41607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g1014537 (
+	.A(addinc_ADD_UNS_OP_2_n_1584),
+	.Y(n_41649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1014546  (
+	.A(n_41659),
+	.B(n_65330),
+	.Y(n_41660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1014547  (
+	.A(n_84339),
+	.Y(n_41659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1014548 (
+	.A(n_26951),
+	.B(n_87742),
+	.Y(n_41663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1014549 (
+	.A_N(n_74938),
+	.B(n_41664),
+	.Y(n_41665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g1014550 (
+	.A(n_62069),
+	.B(n_15304),
+	.Y(n_41664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_2 g1014556 (
+	.A1(n_41671),
+	.A2(n_57097),
+	.B1_N(n_12511),
+	.Y(n_41672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1014557 (
+	.A(n_12293),
+	.B(n_40688),
+	.Y(n_41671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1014559 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [2]),
+	.B(n_73859),
+	.Y(n_41676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1014562 (
+	.A(n_12511),
+	.Y(n_41677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1014580 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_355),
+	.Y(n_41693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g1014617 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_8),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_141),
+	.Y(n_41730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1014627 (
+	.A(FE_DBTN70_n_87553),
+	.B(n_28089),
+	.Y(n_41737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1014677 (
+	.A(n_86613),
+	.B(n_30822),
+	.Y(n_41790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g1014699 (
+	.A(n_59102),
+	.B(\soc_top_u_top_u_core_fp_operands[0] [28]),
+	.C(n_26214),
+	.D(n_26377),
+	.X(n_41812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1014704 (
+	.A(n_59102),
+	.B(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.Y(n_41817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1014705 (
+	.A(n_17688),
+	.B(n_59102),
+	.Y(n_41818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1014706  (
+	.A(n_41821),
+	.B(FE_DBTN44_n_64842),
+	.Y(n_41823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1014708  (
+	.A(n_45543),
+	.B(n_81359),
+	.Y(n_41821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_4 WALLACE_CSA_DUMMY_OP_groupi_g1014730 (
+	.A_N(n_46274),
+	.B(n_85463),
+	.C(n_58963),
+	.Y(n_41843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g1014742 (
+	.A(n_80451),
+	.B(n_58289),
+	.Y(n_41856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1014848 (
+	.A(n_41955),
+	.Y(n_41956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1014849 (
+	.A(n_41953),
+	.B(n_17802),
+	.Y(n_41955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_4 g1014850 (
+	.A1(n_81714),
+	.A2(n_58322),
+	.B1_N(n_25587),
+	.X(n_41953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1014857 (
+	.A(n_40056),
+	.B(n_25590),
+	.Y(n_41959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1014860  (
+	.A1(n_77846),
+	.A2(n_60824),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_456 ),
+	.B2(FE_DBTN53_n_60824),
+	.Y(n_41964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1014861  (
+	.A1(n_60824),
+	.A2(n_34734),
+	.B1(FE_DBTN53_n_60824),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_452 ),
+	.Y(n_41965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1014862  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3790 ),
+	.A2(FE_DBTN53_n_60824),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3791 ),
+	.B2(n_60824),
+	.X(n_41966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1014864  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_463 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_131 ),
+	.B1_N(n_60824),
+	.X(n_41968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1014865  (
+	.A1(n_44401),
+	.A2(FE_DBTN53_n_60824),
+	.B1(n_77228),
+	.B2(n_60824),
+	.X(n_41969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1014867  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_265 ),
+	.A2(FE_DBTN53_n_60824),
+	.B1(n_34715),
+	.B2(n_60824),
+	.X(n_41971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1014868  (
+	.A1(n_60824),
+	.A2(n_34706),
+	.B1(FE_DBTN53_n_60824),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3658 ),
+	.Y(n_41972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g1015135 (
+	.A(n_77119),
+	.B(n_77115),
+	.Y(n_42216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g1015261 (
+	.A(n_69202),
+	.B(n_75405),
+	.Y(n_42332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1015263 (
+	.A(n_42333),
+	.B(n_42335),
+	.Y(n_42336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 WALLACE_CSA_DUMMY_OP_groupi_g1015264 (
+	.A(n_88203),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1939),
+	.X(n_42333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1015265 (
+	.A(n_42332),
+	.B(n_85669),
+	.Y(n_42335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g1015267 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_928),
+	.B(n_42336),
+	.Y(n_42338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1015269  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_640 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1703 ),
+	.CIN(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1879 ),
+	.COUT(n_42339),
+	.SUM(n_42342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1015270  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2346 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2283 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2172 ),
+	.COUT(n_42343),
+	.SUM(n_42340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1015271  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3485 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2277 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2171 ),
+	.COUT(n_42341),
+	.SUM(n_42345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1015281  (
+	.A(n_57494),
+	.Y(n_42354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_8 g1015285 (
+	.A(n_58491),
+	.Y(n_42357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1015291  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1945 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1848 ),
+	.Y(n_42362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1015294 (
+	.A(n_42362),
+	.Y(n_42363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1015296  (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_533 ),
+	.A2_N(n_58367),
+	.B1(FE_DBTN54_n_78756),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1795 ),
+	.Y(n_42366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1015298  (
+	.A(n_42369),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1826 ),
+	.C(n_61625),
+	.Y(n_42370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1015299 (
+	.A(n_42368),
+	.B(n_54681),
+	.Y(n_42369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1015300 (
+	.A(n_42366),
+	.Y(n_42368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1015337 (
+	.A(n_77846),
+	.B(n_38034),
+	.X(n_42405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1015338  (
+	.A(n_38034),
+	.B(n_77846),
+	.X(n_42406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1015339 (
+	.A_N(n_78266),
+	.B(n_76687),
+	.Y(n_42408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_g1015342 (
+	.A(n_78266),
+	.Y(n_42410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1015343 (
+	.A1(n_75800),
+	.A2(n_50119),
+	.B1(n_42411),
+	.X(n_42412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g1015344 (
+	.A1(addinc_ADD_UNS_OP_2_n_914),
+	.A2(n_79726),
+	.B1_N(n_47726),
+	.Y(n_42411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1015350 (
+	.A(n_61059),
+	.B(n_26501),
+	.Y(n_42418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 WALLACE_CSA_DUMMY_OP_groupi_g1015359 (
+	.A(n_86291),
+	.B(n_86290),
+	.COUT(UNCONNECTED498),
+	.SUM(WALLACE_CSA_DUMMY_OP_groupi_n_359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1015415 (
+	.A(n_28323),
+	.Y(n_42565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt1015416 (
+	.A(n_38292),
+	.Y(n_42566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1015442 (
+	.A(n_15510),
+	.B(n_57861),
+	.Y(n_42596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1015447 (
+	.A(n_65372),
+	.B(n_90647),
+	.Y(n_42603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1015448 (
+	.A1(n_90647),
+	.A2(n_43395),
+	.B1(n_47064),
+	.Y(n_42604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1015450 (
+	.A1(n_90647),
+	.A2(n_79711),
+	.B1(n_44977),
+	.Y(n_42606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1015451 (
+	.A1(n_90647),
+	.A2(n_12979),
+	.B1(n_47070),
+	.Y(n_42607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1015452 (
+	.A(n_90647),
+	.B(n_45047),
+	.Y(n_42608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1015454 (
+	.A1(n_90647),
+	.A2(n_12920),
+	.B1(n_47069),
+	.Y(n_42610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1015457 (
+	.A(n_90647),
+	.B_N(n_30771),
+	.Y(n_42613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1015472 (
+	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[30]),
+	.A1(n_43191),
+	.S(n_1494),
+	.X(n_42629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_4 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1015474  (
+	.A(n_37491),
+	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_75 ),
+	.COUT(n_42630),
+	.SUM(n_42631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g1015476 (
+	.A1_N(n_54295),
+	.A2_N(n_37626),
+	.B1(n_54295),
+	.B2(n_37626),
+	.Y(n_42633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g1015477 (
+	.A(n_54295),
+	.B(n_31191),
+	.Y(n_42634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1015483 (
+	.A(n_26413),
+	.B(n_25673),
+	.C(n_36365),
+	.Y(n_42640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_4 g1015485 (
+	.A(n_26940),
+	.B_N(n_42640),
+	.Y(n_42641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1015486 (
+	.A_N(n_56961),
+	.B(n_42641),
+	.Y(n_42644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1015489 (
+	.A(n_60523),
+	.B(n_38823),
+	.Y(n_42649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_4 g1015491 (
+	.A(n_23997),
+	.B(n_19615),
+	.C(n_18055),
+	.X(n_42646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1015493 (
+	.A(n_60523),
+	.B(n_18005),
+	.Y(n_42650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1015500 (
+	.A(n_25060),
+	.B(n_75072),
+	.C(n_24971),
+	.Y(n_42655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1015501 (
+	.A1(n_24005),
+	.A2(n_18001),
+	.B1(n_43916),
+	.Y(n_42656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g1015511  (
+	.A(n_87787),
+	.Y(n_42672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1015521 (
+	.A(n_42608),
+	.B(n_46968),
+	.Y(n_42676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1015524 (
+	.A(n_87793),
+	.B(n_87774),
+	.Y(n_42682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g1015528 (
+	.A1(n_80398),
+	.A2(soc_top_u_dccm_rdata4[25]),
+	.B1(n_81699),
+	.Y(n_42685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1015537  (
+	.A(n_53540),
+	.B(FE_DBTN20_n_79311),
+	.Y(n_42691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1015540  (
+	.A(n_87446),
+	.Y(n_42700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1015543 (
+	.A(n_45305),
+	.B(n_80121),
+	.Y(n_42698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g1015544 (
+	.A(n_39867),
+	.B(n_87446),
+	.Y(n_42701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g1015546 (
+	.A(n_87446),
+	.B(n_39867),
+	.Y(n_42704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g1015548 (
+	.A(n_87446),
+	.B(n_39867),
+	.Y(n_42705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1015559  (
+	.A(n_62702),
+	.B(n_42715),
+	.Y(n_42716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1015561  (
+	.A1(n_62769),
+	.A2(FE_DBTN63_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sll_527_36_n_957),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1701 ),
+	.B2(n_75445),
+	.Y(n_42715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1015562 (
+	.A(n_70340),
+	.B(n_42716),
+	.X(n_42719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1015563 (
+	.A(n_42716),
+	.Y(n_42720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1015569 (
+	.A(n_28537),
+	.B(n_77942),
+	.Y(n_42726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1015574 (
+	.A(n_13137),
+	.B(n_42613),
+	.Y(n_42728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g1015589 (
+	.A(n_79636),
+	.B(n_59923),
+	.Y(n_42745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_4 g1015606 (
+	.A1_N(soc_top_u_top_u_core_rf_wdata_fwd_wb[15]),
+	.A2_N(FE_DBTN104_n_17765),
+	.B1(FE_DBTN104_n_17765),
+	.B2(n_40052),
+	.Y(n_42763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1015607 (
+	.A1_N(n_17070),
+	.A2_N(n_29647),
+	.B1(n_24484),
+	.B2(n_24902),
+	.Y(n_42764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g1015612  (
+	.A1(n_58169),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_8 ),
+	.B1(n_62575),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
+	.C1(n_83980),
+	.C2(n_26800),
+	.Y(n_42770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1015616 (
+	.A(n_62575),
+	.B(n_41959),
+	.X(n_42773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1015618 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_69 ),
+	.A2(n_61234),
+	.B1(n_62575),
+	.Y(n_42775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1015619 (
+	.A(n_62575),
+	.B(n_43096),
+	.Y(n_42776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1015622 (
+	.A(n_81201),
+	.B(n_64150),
+	.Y(n_36818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1015623 (
+	.A(n_59044),
+	.B(n_42781),
+	.Y(n_42782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1015627 (
+	.A(n_55051),
+	.B(n_42781),
+	.Y(n_42784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1015643 (
+	.A(n_87771),
+	.Y(n_42801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1015655 (
+	.A(n_59023),
+	.Y(n_42815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1015676 (
+	.A(n_30771),
+	.B(n_62295),
+	.Y(n_42832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g1015680 (
+	.A_N(n_87782),
+	.B(n_39077),
+	.C(n_87553),
+	.X(n_42838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 WALLACE_CSA_DUMMY_OP_groupi_g1015696 (
+	.A(n_42852),
+	.B(n_78043),
+	.Y(n_42853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g1015697 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_686),
+	.B(n_41733),
+	.Y(n_42852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_4 WALLACE_CSA_DUMMY_OP_groupi_g1015698 (
+	.A1(n_42853),
+	.A2(n_55477),
+	.B1(WALLACE_CSA_DUMMY_OP_groupi_n_651),
+	.Y(n_42856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g1015729 (
+	.A(n_85463),
+	.B(n_44466),
+	.Y(n_42887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g1015730 (
+	.A(n_85463),
+	.B(n_75710),
+	.Y(n_42889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g1015733 (
+	.A(n_85463),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_953),
+	.Y(n_42891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g1015734 (
+	.A(n_85463),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1199),
+	.Y(n_42892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1015941 (
+	.A(n_43087),
+	.B(n_71922),
+	.Y(n_43088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1015942 (
+	.A(n_43083),
+	.B(n_43086),
+	.Y(n_43087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1015943 (
+	.A(FE_DBTN142_soc_top_u_top_u_core_fp_operands_0_28),
+	.B(n_84033),
+	.Y(n_43083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1015945 (
+	.A(\soc_top_u_top_u_core_fp_operands[0] [28]),
+	.B(n_43085),
+	.Y(n_43086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1015947 (
+	.A(n_84033),
+	.Y(n_43085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1015949 (
+	.A(soc_top_u_top_u_core_rf_wdata_fwd_wb[14]),
+	.Y(n_43089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g1015951 (
+	.A1(n_84334),
+	.A2(n_69718),
+	.B1_N(n_25464),
+	.X(n_43096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1015960 (
+	.A(n_26711),
+	.Y(n_43099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1015970 (
+	.A(soc_top_u_top_u_core_rf_wdata_fwd_wb[2]),
+	.Y(n_43108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1015975 (
+	.A(soc_top_u_top_u_core_rf_wdata_fwd_wb[2]),
+	.B(FE_DBTN104_n_17765),
+	.Y(n_43113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1015990 (
+	.A(n_70340),
+	.B(n_43133),
+	.Y(n_43134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1015991  (
+	.A(n_43127),
+	.B(n_43131),
+	.C(n_43132),
+	.Y(n_43133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1015992  (
+	.A(n_79243),
+	.B(n_54681),
+	.Y(n_43127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1015993  (
+	.A(n_43128),
+	.B(n_43129),
+	.C(n_74459),
+	.X(n_43131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1015994  (
+	.A(n_75404),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1448 ),
+	.Y(n_43128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1015995  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1512 ),
+	.B(n_63753),
+	.Y(n_43129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1015997  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1701 ),
+	.B(FE_DBTN63_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sll_527_36_n_957),
+	.Y(n_43132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1016011 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_957 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1680 ),
+	.Y(n_43147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1016016 (
+	.A(n_36476),
+	.B(n_26020),
+	.Y(n_43152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g19 (
+	.A1(n_34774),
+	.A2(n_24495),
+	.B1(soc_top_u_dccm_rdata2[14]),
+	.X(n_43154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1016032 (
+	.A(n_47826),
+	.Y(n_43178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt1 (
+	.A(n_25197),
+	.Y(n_43186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1016036 (
+	.A(n_79281),
+	.B(FE_DBTN12_n_81281),
+	.Y(n_43191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1016045  (
+	.A(n_75404),
+	.B(n_78317),
+	.Y(n_43205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1016056  (
+	.A(n_81343),
+	.B(n_30798),
+	.Y(n_43209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g1016061  (
+	.A(n_38773),
+	.B(n_41015),
+	.Y(n_43215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g1016065  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_65 ),
+	.B(n_84289),
+	.Y(n_43221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1016068 (
+	.A_N(n_75690),
+	.B(n_31146),
+	.Y(n_43226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1016071 (
+	.A(n_518),
+	.B(n_75690),
+	.Y(n_43227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1016072  (
+	.A(FE_DBTN68_n_54295),
+	.B(n_75690),
+	.COUT(n_43229),
+	.SUM(n_43230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1016074  (
+	.A(n_31146),
+	.B(n_75690),
+	.Y(n_43231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g1016080 (
+	.A1(n_60029),
+	.A2(n_60030),
+	.B1(soc_top_u_dccm_rdata2[24]),
+	.C1(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.D1(soc_top_u_dccm_bank_sel[0]),
+	.X(n_43238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1016085 (
+	.A(n_63999),
+	.B(soc_top_u_dccm_bank_sel[0]),
+	.Y(n_43242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g1016087 (
+	.A1_N(soc_top_u_dccm_rdata3[11]),
+	.A2_N(n_43186),
+	.B1(n_17623),
+	.B2(n_43242),
+	.Y(n_43245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1016089 (
+	.A(n_43242),
+	.Y(n_43246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1016108  (
+	.A(n_75878),
+	.B(n_78170),
+	.Y(n_43266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1016113 (
+	.A(n_13228),
+	.B(n_42603),
+	.Y(n_43268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt1016117 (
+	.A(n_87784),
+	.Y(n_43274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1016121 (
+	.A(n_39117),
+	.B(n_15461),
+	.Y(n_43276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1016206 (
+	.A(FE_DBTN46_n_64232),
+	.B(n_30836),
+	.Y(n_43363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1016215 (
+	.A(n_57103),
+	.B(n_30848),
+	.Y(n_43372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1016219 (
+	.A(n_58742),
+	.B(FE_DBTN46_n_64232),
+	.Y(n_43376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1016221 (
+	.A(FE_DBTN46_n_64232),
+	.B(n_56524),
+	.Y(n_43378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1016226  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1030 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3762 ),
+	.B1(n_59090),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1014 ),
+	.Y(n_43384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1016235  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1798 ),
+	.B(FE_DBTN63_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sll_527_36_n_957),
+	.Y(n_43389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1016237  (
+	.A(n_75404),
+	.B(n_76347),
+	.Y(n_43391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1016238 (
+	.A(n_77987),
+	.Y(n_43395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1016340  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_774 ),
+	.B(n_81343),
+	.Y(n_43498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1016347 (
+	.A(n_12988),
+	.B(n_59470),
+	.Y(n_43502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1016359 (
+	.A(n_70340),
+	.B(n_30772),
+	.Y(n_43517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1016380 (
+	.A(n_43535),
+	.B(n_61606),
+	.Y(n_43537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1016381 (
+	.A(n_15468),
+	.B(FE_DBTN28_n_74938),
+	.C(n_87139),
+	.Y(n_43535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1016383 (
+	.A(n_43537),
+	.Y(n_43540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1016384 (
+	.A(n_15498),
+	.B(n_43537),
+	.Y(n_43541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1016385  (
+	.A(n_43546),
+	.B(n_39162),
+	.Y(n_39165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1016389  (
+	.A(n_43546),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_659 ),
+	.Y(n_43547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1016391  (
+	.A(n_43546),
+	.B(n_90623),
+	.Y(n_43548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1016634  (
+	.A(FE_DBTN35_n_69877),
+	.B(n_38089),
+	.Y(n_43775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1016636  (
+	.A(FE_DBTN35_n_69877),
+	.B(n_61535),
+	.Y(n_43776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1016637  (
+	.A(FE_DBTN35_n_69877),
+	.B(n_44385),
+	.Y(n_43777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1016784 (
+	.A(n_78545),
+	.B(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.C(n_75367),
+	.Y(n_43914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g1016788 (
+	.A(n_17744),
+	.B(n_29915),
+	.X(n_43916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1016793 (
+	.A(n_38094),
+	.B(n_57067),
+	.Y(n_43918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_2 g1016794 (
+	.A1(n_18361),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [7]),
+	.B1(n_18359),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [7]),
+	.C1(n_22150),
+	.Y(n_43919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1016800  (
+	.A(n_76611),
+	.Y(n_43933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1016809  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1361 ),
+	.Y(n_43935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1016810  (
+	.A1(n_77846),
+	.A2(n_90594),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_456 ),
+	.B2(n_90595),
+	.X(n_43936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1016815  (
+	.A(n_76612),
+	.Y(n_43941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1016822 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.B(n_25886),
+	.Y(n_43947), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_4 g1016854 (
+	.A(FE_DBTN20_n_79311),
+	.B_N(n_62608),
+	.Y(n_43977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1016869 (
+	.A_N(n_87773),
+	.B(n_42838),
+	.Y(n_43995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1016873 (
+	.A1(n_70340),
+	.A2(n_42370),
+	.B1(n_42607),
+	.Y(n_43997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g1016876  (
+	.A(n_87781),
+	.B(n_87780),
+	.Y(n_44002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1016885 (
+	.A(n_70340),
+	.B(n_30767),
+	.Y(n_44012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g1016903  (
+	.A(n_87776),
+	.B(n_87775),
+	.Y(n_44031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1016905 (
+	.A(n_15609),
+	.Y(n_44029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1016933  (
+	.A(n_44061),
+	.B(FE_DBTN63_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sll_527_36_n_957),
+	.Y(n_44063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1016935  (
+	.A(n_44059),
+	.B(n_44060),
+	.Y(n_44061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1016936  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1450 ),
+	.B(n_90623),
+	.Y(n_44059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1016937  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1451 ),
+	.B(FE_DBTN72_n_90623),
+	.Y(n_44060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1016938  (
+	.A(n_44061),
+	.B(FE_DBTN54_n_78756),
+	.Y(n_44064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1016950  (
+	.A(n_58392),
+	.B(n_79020),
+	.Y(n_44080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1016956  (
+	.A(n_58392),
+	.B(n_78756),
+	.Y(n_44082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1016957  (
+	.A(n_58392),
+	.Y(n_44083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g1017277 (
+	.A(n_57967),
+	.B(n_75710),
+	.Y(n_44380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1017280 (
+	.A(n_55185),
+	.B(n_43378),
+	.Y(n_44385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g1017284 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1983),
+	.B(n_86404),
+	.Y(n_44387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31o_1 g1017288 (
+	.A1(n_44393),
+	.A2(n_16455),
+	.A3(n_36022),
+	.B1(n_16480),
+	.X(n_44394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1017289 (
+	.A(n_44391),
+	.B(n_44392),
+	.Y(n_44393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1017290 (
+	.A(n_16456),
+	.B(n_15959),
+	.Y(n_44391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1017291 (
+	.A(n_16464),
+	.B(n_15960),
+	.Y(n_44392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1017292 (
+	.A(n_16485),
+	.B(n_44393),
+	.Y(n_44395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1017293 (
+	.A(n_44393),
+	.B(n_39238),
+	.Y(n_44396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1017294 (
+	.A(n_44393),
+	.Y(n_44397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1017299 (
+	.A_N(n_50076),
+	.B(n_90597),
+	.Y(n_44403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 g1017303 (
+	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[18]),
+	.A1(n_44408),
+	.S(n_1494),
+	.X(n_44409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1017304 (
+	.A(n_44406),
+	.B(FE_DBTN12_n_81281),
+	.C(n_75385),
+	.Y(n_44408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1017305 (
+	.A1(n_36474),
+	.A2(n_25992),
+	.B1_N(n_18004),
+	.X(n_44406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g1017308 (
+	.A1(n_44408),
+	.A2(n_17765),
+	.B1(n_17970),
+	.Y(n_44411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1017320 (
+	.A(n_80319),
+	.B(n_44420),
+	.Y(n_44422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g1017331  (
+	.A(n_87786),
+	.B(n_87773),
+	.Y(n_44449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1017333 (
+	.A1(n_14191),
+	.A2(n_59460),
+	.B1(n_15529),
+	.Y(n_44447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4bb_2 g1017336 (
+	.A_N(n_87786),
+	.B_N(n_87768),
+	.C(n_93073),
+	.D(FE_DBTN77_n_60716),
+	.X(n_44452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1017340 (
+	.A1(n_70340),
+	.A2(n_44458),
+	.B1(n_45516),
+	.Y(n_44460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1017342  (
+	.A1(n_78796),
+	.A2(n_44456),
+	.B1(FE_DBTN47_n_63753),
+	.B2(n_53299),
+	.C1(n_44457),
+	.Y(n_44458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1017343  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1677 ),
+	.A2(n_78756),
+	.B1(n_87475),
+	.Y(n_44456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1017344  (
+	.A(n_53545),
+	.B(n_54681),
+	.Y(n_44457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1017345 (
+	.A(n_62295),
+	.B(n_44458),
+	.Y(n_44461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g1017346 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_186),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_275),
+	.Y(n_44462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g1017347 (
+	.A(FE_DBTN57_n_78875),
+	.B(n_44965),
+	.Y(n_44463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 WALLACE_CSA_DUMMY_OP_groupi_g1017349 (
+	.A_N(n_44462),
+	.B(n_44463),
+	.Y(n_44464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 WALLACE_CSA_DUMMY_OP_groupi_g1017350 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1148),
+	.B_N(n_44464),
+	.Y(n_44466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 WALLACE_CSA_DUMMY_OP_groupi_g1017353 (
+	.A(n_86885),
+	.B(n_44464),
+	.Y(n_44469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1017358  (
+	.A(n_64842),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [26]),
+	.Y(n_44475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1017360  (
+	.A(n_64842),
+	.B(n_38315),
+	.Y(n_44476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1017361  (
+	.A(n_64842),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [38]),
+	.Y(n_44477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1017362  (
+	.A(n_64842),
+	.B(n_60541),
+	.Y(n_44478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1017363  (
+	.A(n_64842),
+	.B(n_29188),
+	.Y(n_44479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1017431  (
+	.A(n_81343),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [8]),
+	.Y(n_44546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1017437  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_858 ),
+	.B(n_81343),
+	.Y(n_44550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1017438  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_768 ),
+	.B(n_81343),
+	.Y(n_44551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1017440  (
+	.A(n_81343),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [10]),
+	.Y(n_44553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1017441  (
+	.A(n_81343),
+	.B(n_35219),
+	.Y(n_44554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1017443  (
+	.A(n_81343),
+	.B(n_36245),
+	.Y(n_44556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1017444  (
+	.A(n_81343),
+	.B(n_36233),
+	.Y(n_44557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1017815  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1832 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1932 ),
+	.Y(n_44899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1017816  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1831 ),
+	.B(n_78177),
+	.Y(n_44900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1017821 (
+	.A(n_44899),
+	.B(n_79007),
+	.Y(n_44903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1017823 (
+	.A(n_44900),
+	.B(n_79007),
+	.Y(n_44905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1017878 (
+	.A(n_44963),
+	.B(n_60449),
+	.Y(n_44964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1017879 (
+	.A(n_44961),
+	.B(n_44962),
+	.Y(n_44963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g1017880 (
+	.A(n_62774),
+	.Y(n_44961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1017881 (
+	.A(n_53531),
+	.Y(n_44962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g1017882 (
+	.A(n_44967),
+	.B(n_59575),
+	.Y(n_44968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g1017883 (
+	.A(n_44965),
+	.B(FE_DBTN57_n_78875),
+	.Y(n_44967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g1017886 (
+	.A(n_44967),
+	.B(addinc_ADD_UNS_OP_2_n_249),
+	.Y(n_44969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1017891 (
+	.A(n_44978),
+	.B(n_62295),
+	.Y(n_44977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1017894  (
+	.A(n_61124),
+	.B(n_54681),
+	.Y(n_44975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt1017906 (
+	.A(n_90580),
+	.Y(n_44992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1017941  (
+	.A(FE_DBTN78_n_81343),
+	.B(n_36240),
+	.Y(n_45028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1017942  (
+	.A(FE_DBTN78_n_81343),
+	.B(n_35236),
+	.Y(n_45029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1017946  (
+	.A(FE_DBTN78_n_81343),
+	.B(n_36234),
+	.Y(n_45033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g1017950  (
+	.A(n_87771),
+	.B(n_87782),
+	.Y(n_45037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g1017951  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_151 ),
+	.B(n_45038),
+	.Y(n_45040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g1017953  (
+	.A(n_54803),
+	.B(n_45037),
+	.Y(n_45038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g1017954  (
+	.A(n_45038),
+	.B(n_87774),
+	.Y(n_45041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1017955 (
+	.A(n_70340),
+	.B(n_87437),
+	.Y(n_45046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1017958  (
+	.A(n_56906),
+	.B(n_54681),
+	.Y(n_45042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1017960 (
+	.A(n_87437),
+	.Y(n_45047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1017962 (
+	.A(n_16430),
+	.B(n_45050),
+	.Y(n_45051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_2 g1017963 (
+	.A(n_61076),
+	.B_N(n_45049),
+	.Y(n_45050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1017964 (
+	.A(n_61078),
+	.B(n_39243),
+	.Y(n_45049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1017965 (
+	.A(n_45050),
+	.Y(n_45053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1018233 (
+	.A(n_45305),
+	.Y(n_45303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1018235 (
+	.A(n_28266),
+	.B(n_80113),
+	.Y(n_45300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1018241 (
+	.A(n_55015),
+	.B(n_78330),
+	.Y(n_45308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1018393 (
+	.A_N(n_87780),
+	.B(n_42801),
+	.C(n_43274),
+	.Y(n_45447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1018397 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1570 ),
+	.A2(n_58648),
+	.B1(n_78796),
+	.X(n_45451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g1018459 (
+	.A1_N(n_30785),
+	.A2_N(n_62295),
+	.B1(n_12988),
+	.B2(n_90647),
+	.Y(n_45516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g1018469 (
+	.A(n_87785),
+	.B(n_87794),
+	.X(n_45527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1018471 (
+	.A1(n_70340),
+	.A2(n_78193),
+	.B1(n_85291),
+	.Y(n_45525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g1018472  (
+	.A(n_87794),
+	.B(n_87785),
+	.Y(n_45528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g1018473  (
+	.A(n_87785),
+	.Y(n_45530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1018476  (
+	.A(n_60417),
+	.B(FE_DBTN78_n_81343),
+	.Y(n_45534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1018479  (
+	.A(FE_DBTN78_n_81343),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_554 ),
+	.C(n_86793),
+	.Y(n_45535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1018480  (
+	.A_N(FE_DBTN78_n_81343),
+	.B(FE_DBTN20_n_79311),
+	.Y(n_45536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1018487  (
+	.A(FE_DBTN78_n_81343),
+	.B(n_44385),
+	.Y(n_45543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1018776  (
+	.A(n_31021),
+	.Y(n_45811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1018778 (
+	.A(n_45811),
+	.B(n_45812),
+	.Y(n_45813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1018779 (
+	.A(n_76881),
+	.Y(n_45812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1018785 (
+	.A(n_45815),
+	.Y(n_45817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1018805  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2287 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2295 ),
+	.CIN(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2510 ),
+	.COUT(n_45836),
+	.SUM(n_45837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1018806  (
+	.A(n_45840),
+	.B(n_76651),
+	.Y(n_45841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1018807 (
+	.A(n_45838),
+	.B(FE_DBTN128_n_57800),
+	.Y(n_45840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1018808 (
+	.A(n_45837),
+	.Y(n_45838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 fopt1018868 (
+	.A(n_34713),
+	.Y(n_45897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1018869 (
+	.A(n_53582),
+	.Y(n_45911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1018878  (
+	.A(n_64230),
+	.B(n_45919),
+	.Y(n_45921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1018879  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_766 ),
+	.B(n_81343),
+	.Y(n_45919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g1018884 (
+	.A(n_45929),
+	.B(n_59193),
+	.Y(n_45928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1018889 (
+	.A(n_27813),
+	.B(n_81219),
+	.Y(n_45933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1018894 (
+	.A(n_81219),
+	.B(n_89298),
+	.Y(n_45937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1018895 (
+	.A(n_54494),
+	.B(n_81219),
+	.Y(n_45938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 addinc_ADD_UNS_OP_2_g1019173 (
+	.A1(n_57862),
+	.A2(addinc_ADD_UNS_OP_2_n_2050),
+	.B1(n_50035),
+	.Y(n_46199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1019180  (
+	.A(n_87530),
+	.Y(n_46205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g1019183  (
+	.A1(n_83982),
+	.A2(n_87530),
+	.B1(n_61246),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
+	.Y(n_46208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1019184 (
+	.A(n_87530),
+	.B(n_71622),
+	.X(n_46209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1019185 (
+	.A(n_63780),
+	.B(n_87530),
+	.X(n_46210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1019186 (
+	.A1(n_10572),
+	.A2(n_87530),
+	.B1(n_31197),
+	.B2(n_9577),
+	.C1(n_12016),
+	.Y(n_46212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1019188 (
+	.A1(n_16016),
+	.A2(n_87530),
+	.B1(n_29790),
+	.Y(n_46213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1019194 (
+	.A(n_70340),
+	.B(n_30771),
+	.Y(n_46220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1019226 (
+	.A(n_48526),
+	.B(n_64237),
+	.Y(n_46253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 WALLACE_CSA_DUMMY_OP_groupi_g1019232 (
+	.A1(n_79624),
+	.A2(n_46259),
+	.B1_N(n_54173),
+	.X(n_46260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_g1019235 (
+	.A(n_62326),
+	.Y(n_46257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 WALLACE_CSA_DUMMY_OP_groupi_g1019236 (
+	.A(n_54173),
+	.B(n_46259),
+	.X(n_46261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g1019245 (
+	.A(n_86331),
+	.B(n_39895),
+	.Y(n_46270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g1019246 (
+	.A_N(n_57967),
+	.B(n_46272),
+	.Y(n_46273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1019247 (
+	.A(n_46271),
+	.B(n_46270),
+	.Y(n_46272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1019248 (
+	.A(n_57214),
+	.Y(n_46271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g1019249 (
+	.A(n_75710),
+	.B(n_46272),
+	.Y(n_46274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1019258 (
+	.A1(n_59252),
+	.A2(n_58652),
+	.B1(n_79626),
+	.B2(n_86613),
+	.X(n_46287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1019265  (
+	.A(n_81343),
+	.B(n_46287),
+	.Y(n_46290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1019266  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_663 ),
+	.B(n_46287),
+	.Y(n_46291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 WALLACE_CSA_DUMMY_OP_groupi_g1019267 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1923),
+	.B(n_37942),
+	.X(n_46292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g1019268 (
+	.A(n_37943),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_216),
+	.Y(n_46293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g1019269 (
+	.A(n_37536),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_222),
+	.Y(n_46294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 WALLACE_CSA_DUMMY_OP_groupi_g1019270 (
+	.A(n_75672),
+	.B(n_42704),
+	.X(n_46295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 WALLACE_CSA_DUMMY_OP_groupi_g1019271 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_1913),
+	.B(n_46296),
+	.C(WALLACE_CSA_DUMMY_OP_groupi_n_1736),
+	.D(WALLACE_CSA_DUMMY_OP_groupi_n_1931),
+	.X(n_46297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_4 WALLACE_CSA_DUMMY_OP_groupi_g1019272 (
+	.A(n_46292),
+	.B(n_46293),
+	.C(n_46294),
+	.D(n_46295),
+	.X(n_46296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 WALLACE_CSA_DUMMY_OP_groupi_g1019273 (
+	.A1(n_58982),
+	.A2(n_46296),
+	.B1_N(WALLACE_CSA_DUMMY_OP_groupi_n_1727),
+	.X(n_46299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g1019287 (
+	.A(n_59050),
+	.B(n_87511),
+	.Y(n_46313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 WALLACE_CSA_DUMMY_OP_groupi_g1019290 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_1070),
+	.A2(n_58963),
+	.B1_N(n_46319),
+	.Y(n_46317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g1019300 (
+	.A(n_46325),
+	.Y(n_46326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1019302 (
+	.A(n_46327),
+	.B(n_46328),
+	.Y(n_46329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1019303 (
+	.A(n_59252),
+	.B(n_37769),
+	.Y(n_46327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1019304 (
+	.A(n_86613),
+	.B(n_79627),
+	.Y(n_46328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1019308 (
+	.A(n_46333),
+	.B(n_46334),
+	.Y(n_46335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1019309 (
+	.A(FE_DBTN46_n_64232),
+	.B(n_57143),
+	.Y(n_46333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1019310 (
+	.A(n_59252),
+	.B(n_30923),
+	.Y(n_46334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 addinc_ADD_UNS_OP_2_g1019322 (
+	.A(n_90531),
+	.B(n_46349),
+	.Y(n_40155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g1019324 (
+	.A(n_46349),
+	.Y(n_46350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1019331 (
+	.A1(n_75370),
+	.A2(FE_DBTN46_n_64232),
+	.B1(n_46358),
+	.Y(n_46359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1019334 (
+	.A(n_57103),
+	.B(n_30838),
+	.Y(n_46358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g1019346 (
+	.A(n_57862),
+	.Y(n_46372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_2 addinc_ADD_UNS_OP_2_g1019348 (
+	.A1(n_57862),
+	.A2(addinc_ADD_UNS_OP_2_n_159),
+	.B1_N(addinc_ADD_UNS_OP_2_n_352),
+	.Y(n_46373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 addinc_ADD_UNS_OP_2_g1019350 (
+	.A1(n_57862),
+	.A2(addinc_ADD_UNS_OP_2_n_918),
+	.B1(n_40135),
+	.Y(n_46376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1019354 (
+	.A(n_57862),
+	.B(n_77910),
+	.X(n_46379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1019640 (
+	.A(n_14857),
+	.Y(n_46639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1019646 (
+	.A(n_81201),
+	.B(FE_DBTN70_n_87553),
+	.X(n_46644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 g1019648 (
+	.A(n_90564),
+	.Y(n_46646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_4 g1019710 (
+	.A1(n_18362),
+	.A2(n_60510),
+	.B1(n_25065),
+	.C1(n_25825),
+	.Y(n_46707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1019727 (
+	.A(n_46726),
+	.B(n_61163),
+	.Y(n_46727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1019728 (
+	.A(n_46724),
+	.B(n_86624),
+	.C(n_81283),
+	.Y(n_46726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1019729 (
+	.A(n_25936),
+	.B(n_18005),
+	.Y(n_46724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g1019733 (
+	.A(n_17802),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_n_32 ),
+	.Y(n_46730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1019734 (
+	.A(n_77469),
+	.B(n_80816),
+	.Y(n_46732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinvlp_4 fopt1019741 (
+	.A(n_90573),
+	.Y(n_46740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1019880  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1181 ),
+	.B(n_46948),
+	.Y(n_46949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1019894 (
+	.A(n_87779),
+	.B(n_60720),
+	.Y(n_46963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1019898 (
+	.A(FE_DBTN111_n_62295),
+	.B(n_43395),
+	.Y(n_46968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g1019931 (
+	.A(n_88477),
+	.B(n_90516),
+	.Y(n_47000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1019964 (
+	.A(FE_DBTN79_n_53716),
+	.B(FE_DBTN85_n_59637),
+	.C(n_26777),
+	.Y(n_47034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1019968 (
+	.A(FE_DBTN79_n_53716),
+	.B(n_56964),
+	.Y(n_47037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1019974 (
+	.A(n_12511),
+	.B(n_58985),
+	.Y(n_47042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 addinc_ADD_UNS_OP_2_g1019979 (
+	.A1(n_50045),
+	.A2(addinc_ADD_UNS_OP_2_n_781),
+	.B1(addinc_ADD_UNS_OP_2_n_143),
+	.Y(n_47048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1019983  (
+	.A(n_63072),
+	.B(n_47051),
+	.Y(n_47052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1019985  (
+	.A(FE_DBTN78_n_81343),
+	.B(n_36241),
+	.Y(n_47051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g1019988 (
+	.A(FE_DBTN22_n_78025),
+	.B(FE_DBTN67_n_55310),
+	.Y(n_38366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g1019989 (
+	.A(n_79421),
+	.B(n_78536),
+	.Y(n_47057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 addinc_ADD_UNS_OP_2_g1019992 (
+	.A1(n_47060),
+	.A2(addinc_ADD_UNS_OP_2_n_1044),
+	.B1(n_40072),
+	.Y(n_47061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1019994 (
+	.A(n_43133),
+	.B(n_62295),
+	.Y(n_47064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1020000 (
+	.A(n_30767),
+	.B(n_62295),
+	.Y(n_47068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1020001 (
+	.A(n_62295),
+	.B(n_30766),
+	.Y(n_47069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1020002 (
+	.A(n_62295),
+	.B(n_30765),
+	.Y(n_47070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1020154 (
+	.A(n_62539),
+	.B(n_56961),
+	.X(n_47216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1020159 (
+	.A(n_62539),
+	.B(n_12756),
+	.Y(n_47218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1020492 (
+	.A(n_60619),
+	.Y(n_47532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1020556 (
+	.A(n_47591),
+	.B(n_24491),
+	.Y(n_47592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_4 g1020557 (
+	.A1(n_43918),
+	.A2(n_43919),
+	.B1(n_43916),
+	.X(n_47591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1020558 (
+	.A(n_39936),
+	.B(n_47594),
+	.Y(n_47595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1020560 (
+	.A(n_43918),
+	.B(n_43919),
+	.Y(n_47594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1020564  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1887 ),
+	.Y(n_47598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1020649 (
+	.A1(n_81283),
+	.A2(n_54110),
+	.B1(n_25994),
+	.Y(n_47714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1020658  (
+	.A(n_51481),
+	.B(n_55546),
+	.Y(n_47723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 addinc_ADD_UNS_OP_2_g1020660 (
+	.A1(addinc_ADD_UNS_OP_2_n_182),
+	.A2(addinc_ADD_UNS_OP_2_n_798),
+	.B1(n_47725),
+	.Y(n_47726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g1020661 (
+	.A(n_47723),
+	.B(n_78524),
+	.Y(n_47725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g1020662 (
+	.A(n_50543),
+	.B(n_47725),
+	.Y(n_47728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g1020664 (
+	.A(n_47725),
+	.Y(n_47729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1020666 (
+	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[8]),
+	.A1(n_47734),
+	.S(n_1494),
+	.X(n_47735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1020669 (
+	.A1(n_18386),
+	.A2(n_26002),
+	.B1(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.Y(n_47731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1020671 (
+	.A(n_47734),
+	.B(n_17765),
+	.Y(n_47736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1020675 (
+	.A(n_25749),
+	.B(n_63142),
+	.Y(n_47737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_4 g1020676 (
+	.A1(n_35040),
+	.A2(\soc_top_timer_to_xbar[d_data] [1]),
+	.B1(n_17826),
+	.B2(\soc_top_uart_to_xbar[d_data] [1]),
+	.C1(n_19627),
+	.Y(n_47738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1020678 (
+	.A(n_81282),
+	.B(n_81283),
+	.Y(n_47743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1020689  (
+	.A(n_71775),
+	.B(n_47753),
+	.Y(n_47754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1020691  (
+	.A(FE_DBTN78_n_81343),
+	.B(n_36239),
+	.Y(n_47753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1020692  (
+	.A(n_47754),
+	.B(n_64842),
+	.Y(n_47757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g1020693 (
+	.A(n_63542),
+	.B(n_63543),
+	.X(n_47758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1020695 (
+	.A(n_47759),
+	.B(n_47758),
+	.Y(n_47760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1020696 (
+	.A(n_18381),
+	.B(n_72355),
+	.Y(n_47759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1020697 (
+	.A(n_74666),
+	.B(n_47760),
+	.Y(n_47763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g1020699 (
+	.A(n_38366),
+	.B(n_47057),
+	.Y(n_47060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 addinc_ADD_UNS_OP_2_g1020700 (
+	.A(n_64216),
+	.B_N(n_47765),
+	.Y(n_47766), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g1020701 (
+	.A(n_47060),
+	.Y(n_47765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g1020702 (
+	.A(addinc_ADD_UNS_OP_2_n_856),
+	.B(n_47765),
+	.Y(n_47768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g1020704 (
+	.A(n_47765),
+	.B(n_74859),
+	.Y(n_47769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g1020718 (
+	.A(n_47786),
+	.B(n_88143),
+	.Y(n_47787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g1020723 (
+	.A(n_47729),
+	.B(n_47786),
+	.Y(n_47788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g1020729 (
+	.A1(n_58922),
+	.A2(addinc_ADD_UNS_OP_2_n_2041),
+	.B1_N(n_46372),
+	.X(n_47794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g1020731 (
+	.A1(n_58922),
+	.A2(n_75612),
+	.B1_N(addinc_ADD_UNS_OP_2_n_1911),
+	.X(n_47796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g1020732 (
+	.A1(n_58922),
+	.A2(n_75696),
+	.B1_N(n_90526),
+	.X(n_47797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g1020733 (
+	.A1(n_58922),
+	.A2(addinc_ADD_UNS_OP_2_n_944),
+	.B1_N(addinc_ADD_UNS_OP_2_n_1196),
+	.X(n_47798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g1020734 (
+	.A1(n_58922),
+	.A2(addinc_ADD_UNS_OP_2_n_1933),
+	.B1_N(addinc_ADD_UNS_OP_2_n_1244),
+	.X(n_47799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g1020735 (
+	.A1(n_58922),
+	.A2(addinc_ADD_UNS_OP_2_n_1099),
+	.B1_N(n_46376),
+	.X(n_47800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 addinc_ADD_UNS_OP_2_g1020737 (
+	.A_N(addinc_ADD_UNS_OP_2_n_2025),
+	.B(n_58922),
+	.Y(n_47802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g1020742 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_80),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_166),
+	.Y(n_47808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g1020743 (
+	.A(n_38065),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 addinc_ADD_UNS_OP_2_g1020744 (
+	.A(n_38066),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_80), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 WALLACE_CSA_DUMMY_OP_groupi_g1020753 (
+	.A(n_90602),
+	.Y(n_47819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_4 g1020758 (
+	.A1_N(n_17306),
+	.A2_N(n_17765),
+	.B1(n_17765),
+	.B2(n_47825),
+	.Y(n_47826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1020759 (
+	.A(n_69944),
+	.B(FE_DBTN12_n_81281),
+	.C(n_47824),
+	.Y(n_47825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g1020761 (
+	.A(n_61290),
+	.Y(n_47824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1020764 (
+	.A_N(n_26914),
+	.B(n_90543),
+	.Y(n_47832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1021206 (
+	.A(n_48240),
+	.B(n_75371),
+	.Y(n_25886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1021209 (
+	.A(n_39936),
+	.B(n_41135),
+	.Y(n_48240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1021212 (
+	.A(n_25886),
+	.B(n_39587),
+	.Y(n_48245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1021214 (
+	.A(soc_top_u_top_u_core_rf_wdata_fwd_wb[11]),
+	.Y(n_48246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1021217 (
+	.A(n_90553),
+	.B(n_48251),
+	.Y(n_48252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1021219 (
+	.A(soc_top_u_top_u_core_rf_wdata_fwd_wb[11]),
+	.B(FE_DBTN104_n_17765),
+	.Y(n_48251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1021222 (
+	.A_N(n_61252),
+	.B(n_48252),
+	.Y(n_48254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1021223 (
+	.A(n_48252),
+	.B(n_84334),
+	.Y(n_48255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1010440_dup1021281 (
+	.A(n_88100),
+	.B(n_53643),
+	.Y(n_48308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1021284 (
+	.A(n_79357),
+	.B(n_17765),
+	.Y(n_48309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1021285 (
+	.A(soc_top_u_top_u_core_rf_wdata_fwd_wb[25]),
+	.B(FE_DBTN104_n_17765),
+	.Y(n_48310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1021320 (
+	.A(n_86613),
+	.Y(n_48345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g1021377 (
+	.A(FE_DBTN134_n_40154),
+	.B(n_48439),
+	.Y(n_48435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g1021383 (
+	.A(n_81761),
+	.B(n_81760),
+	.Y(n_48439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1021404 (
+	.A(n_81266),
+	.B(n_39532),
+	.Y(n_48458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g1021405 (
+	.A1(n_80398),
+	.A2(soc_top_u_dccm_rdata4[30]),
+	.B1(n_90677),
+	.Y(n_48459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1021412 (
+	.A(n_25676),
+	.Y(n_48469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1021413 (
+	.A(n_25416),
+	.B(n_25132),
+	.Y(n_48470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g1021421 (
+	.A(n_62844),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_89),
+	.Y(n_48479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 addinc_ADD_UNS_OP_2_g1021423 (
+	.A(n_56756),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_89), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g1021424 (
+	.A(n_48479),
+	.B(n_62845),
+	.Y(n_48482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g1021426 (
+	.A(n_48479),
+	.B(n_39804),
+	.Y(n_48483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1021444 (
+	.A(n_79461),
+	.B(n_57107),
+	.Y(n_48501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1021445 (
+	.A(n_79461),
+	.B(n_30850),
+	.Y(n_48502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1021449 (
+	.A(n_56625),
+	.B(n_80265),
+	.Y(n_48506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1021450 (
+	.A(n_56625),
+	.B(n_30829),
+	.Y(n_48507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1021453 (
+	.A(n_56625),
+	.B(n_30825),
+	.Y(n_48510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1021454 (
+	.A(n_56625),
+	.B(n_30830),
+	.Y(n_48511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1021462 (
+	.A(n_59252),
+	.B(n_56182),
+	.Y(n_48521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1021466 (
+	.A(n_59252),
+	.B(n_77065),
+	.Y(n_48523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1021467 (
+	.A(n_59252),
+	.B(n_76618),
+	.Y(n_48524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1021468 (
+	.A(n_59252),
+	.B(n_30910),
+	.Y(n_48526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1021473 (
+	.A(n_59252),
+	.B(n_30919),
+	.Y(n_48530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1021474 (
+	.A(n_59252),
+	.B(n_30934),
+	.Y(n_48531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1021476 (
+	.A(n_59252),
+	.B(n_30932),
+	.Y(n_48533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1021477 (
+	.A(n_59252),
+	.B(n_30922),
+	.Y(n_48534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1021480 (
+	.A(n_59252),
+	.B(n_30915),
+	.Y(n_48537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1021481 (
+	.A(n_59252),
+	.B(n_30902),
+	.Y(n_48538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1021906 (
+	.A(n_48933),
+	.B(n_75372),
+	.Y(n_48934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1021909 (
+	.A(\soc_top_xbar_to_lsu[d_data] [23]),
+	.B(n_81283),
+	.Y(n_48933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1021910 (
+	.A0(n_90502),
+	.A1(n_48934),
+	.S(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[0]),
+	.Y(n_48936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1021918 (
+	.A(soc_top_u_top_u_core_rf_wdata_fwd_wb[25]),
+	.B(FE_DBTN104_n_17765),
+	.Y(n_48943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1021921 (
+	.A(n_79357),
+	.B(n_17765),
+	.Y(n_48944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1021924 (
+	.A(n_48951),
+	.Y(n_48952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1021925 (
+	.A(n_91059),
+	.B(n_75373),
+	.Y(n_48951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1021931 (
+	.A(n_79280),
+	.Y(n_48954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1021933 (
+	.A(n_48956),
+	.B(n_48957),
+	.Y(n_268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1021934 (
+	.A(n_61252),
+	.B(n_48955),
+	.Y(n_48956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g1021935 (
+	.A1_N(n_24945),
+	.A2_N(n_24956),
+	.B1(n_16540),
+	.B2(n_29676),
+	.Y(n_48955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1021936 (
+	.A(n_48954),
+	.B(n_48955),
+	.Y(n_48957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g1021937 (
+	.A(n_268),
+	.Y(n_48960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1021978 (
+	.A(n_81707),
+	.B(n_84334),
+	.Y(n_49003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1021980 (
+	.A(n_17765),
+	.B(n_16751),
+	.Y(n_49001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g1021982 (
+	.A(n_81708),
+	.Y(n_49006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1022037 (
+	.A(n_27740),
+	.B(FE_DBTN74_n_58492),
+	.Y(n_49079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1022040 (
+	.A(FE_DBTN74_n_58492),
+	.B(n_53695),
+	.Y(n_49081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1022042 (
+	.A(FE_DBTN74_n_58492),
+	.B(n_51101),
+	.Y(n_49082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1022044 (
+	.A(FE_DBTN74_n_58492),
+	.B(n_39655),
+	.Y(n_49084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1022045 (
+	.A(FE_DBTN74_n_58492),
+	.B(n_86300),
+	.Y(n_49085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1022046 (
+	.A(FE_DBTN74_n_58492),
+	.B(n_75068),
+	.Y(n_49086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1022048 (
+	.A(FE_DBTN74_n_58492),
+	.B(n_34696),
+	.Y(n_49088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1022049 (
+	.A(n_47532),
+	.B(FE_DBTN74_n_58492),
+	.Y(n_49089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_16 g1022256 (
+	.A(n_46730),
+	.Y(n_39671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1022259 (
+	.A(n_49285),
+	.Y(n_49286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1022260 (
+	.A(n_53719),
+	.B(n_39671),
+	.Y(n_49285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g1022264 (
+	.A(FE_DBTN85_n_59637),
+	.B(n_79719),
+	.C(n_53719),
+	.D(n_39671),
+	.Y(n_49289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1022413 (
+	.A(n_50243),
+	.Y(n_49428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1022422 (
+	.A(n_56691),
+	.Y(n_49432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 WALLACE_CSA_DUMMY_OP_groupi_g1022425 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1162),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1735),
+	.Y(n_49436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1022427 (
+	.A(n_49437),
+	.B(n_49436),
+	.Y(n_49438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g1022431 (
+	.A(n_61932),
+	.B(n_61933),
+	.X(n_49441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1022432 (
+	.A(n_50252),
+	.Y(n_49445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g1022436 (
+	.A1_N(soc_top_u_dccm_rdata4[5]),
+	.A2_N(n_25201),
+	.B1(n_17630),
+	.B2(n_50252),
+	.Y(n_49446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1022497 (
+	.A(n_81696),
+	.B(n_49506),
+	.Y(n_49507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g1022499 (
+	.A1(n_63542),
+	.A2(n_63543),
+	.B1(soc_top_u_dccm_rdata2[22]),
+	.C1(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.D1(soc_top_u_dccm_bank_sel[0]),
+	.X(n_49506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1022503 (
+	.A(n_49511),
+	.B(n_49512),
+	.Y(n_49513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1022504 (
+	.A(n_77462),
+	.B(n_36471),
+	.Y(n_49511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1022505 (
+	.A(n_77457),
+	.B(n_77464),
+	.Y(n_49512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1022513 (
+	.A(FE_DBTN85_n_59637),
+	.B(n_61059),
+	.C(n_61015),
+	.Y(n_49524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1022525 (
+	.A(n_90678),
+	.B(n_59728),
+	.Y(n_49533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1022528 (
+	.A(n_58203),
+	.B(n_57067),
+	.Y(n_49538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1022529 (
+	.A(n_79396),
+	.B(n_43113),
+	.Y(n_49539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1022530 (
+	.A1(n_61252),
+	.A2(n_49540),
+	.B1(n_25556),
+	.Y(n_49541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 fopt1022531 (
+	.A(n_49539),
+	.Y(n_49540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1022534 (
+	.A(n_18004),
+	.Y(n_49544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g1022539 (
+	.A1_N(n_17232),
+	.A2_N(n_19562),
+	.B1(n_38823),
+	.B2(\soc_top_xbar_to_lsu[d_data] [11]),
+	.Y(n_49547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1022542 (
+	.A1(n_23998),
+	.A2(n_49554),
+	.B1(n_25099),
+	.Y(n_49555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1022543 (
+	.A(n_57674),
+	.B(n_49552),
+	.Y(n_49554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g1022544 (
+	.A1(soc_top_u_dccm_bank_sel[0]),
+	.A2(n_35517),
+	.B1(n_17011),
+	.B2(n_43242),
+	.Y(n_49552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1022546 (
+	.A(n_49554),
+	.Y(n_49557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1023036 (
+	.A(n_50013),
+	.B(n_50012),
+	.Y(n_50014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g1023038 (
+	.A(n_27871),
+	.Y(n_50013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1023056 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_26),
+	.B(n_87533),
+	.X(n_50032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g1023058 (
+	.A(n_50033),
+	.B(n_58231),
+	.Y(n_50035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_4 addinc_ADD_UNS_OP_2_g1023059 (
+	.A1(n_40131),
+	.A2(FE_DBTN136_n_89917),
+	.B1(n_79648),
+	.X(n_50033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g1023061 (
+	.A(n_50035),
+	.B(addinc_ADD_UNS_OP_2_n_931),
+	.Y(n_50037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 addinc_ADD_UNS_OP_2_g1023069 (
+	.A(n_50045),
+	.B(n_78876),
+	.Y(n_50046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 addinc_ADD_UNS_OP_2_g1023070 (
+	.A(n_78494),
+	.B(n_62604),
+	.Y(n_50045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g1023072 (
+	.A(n_50045),
+	.Y(n_50048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1023090 (
+	.A(n_55624),
+	.Y(n_50070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 fopt1023093 (
+	.A(n_39946),
+	.Y(n_50076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 addinc_ADD_UNS_OP_2_g1023112 (
+	.A(n_50544),
+	.Y(n_50099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g1023115 (
+	.A(n_50099),
+	.B(FE_DBTN135_n_88477),
+	.Y(n_50101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g1023117 (
+	.A(n_50101),
+	.Y(n_50104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g1023131 (
+	.A(n_90516),
+	.B(addinc_ADD_UNS_OP_2_n_914),
+	.Y(n_50119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1023263 (
+	.A(soc_top_u_dccm_bank_sel[0]),
+	.B(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.C(n_75374),
+	.Y(n_50243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1023273 (
+	.A(n_78545),
+	.B(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.C(n_49441),
+	.Y(n_50252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1023286 (
+	.A_N(n_40655),
+	.B(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.C(soc_top_u_dccm_bank_sel[0]),
+	.Y(n_50265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 WALLACE_CSA_DUMMY_OP_groupi_g1023560 (
+	.A(n_50522),
+	.B(n_50523),
+	.Y(n_48408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_g1023561 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_358),
+	.Y(n_50522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_g1023562 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_231),
+	.Y(n_50523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 WALLACE_CSA_DUMMY_OP_groupi_g1023565 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_660),
+	.B(n_48408),
+	.Y(n_50528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g1023578 (
+	.A(n_50543),
+	.B(n_47786),
+	.Y(n_50544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g1023579 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_95),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_10),
+	.Y(n_50543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 addinc_ADD_UNS_OP_2_g1023580 (
+	.A(n_47723),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_95), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 addinc_ADD_UNS_OP_2_g1023581 (
+	.A(n_78524),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_10), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g1023586 (
+	.A(addinc_ADD_UNS_OP_2_n_732),
+	.B(n_86297),
+	.Y(n_50547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 addinc_ADD_UNS_OP_2_g1023588 (
+	.A1(n_76619),
+	.A2(n_56601),
+	.B1_N(n_80764),
+	.Y(n_50552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g1023592 (
+	.A(n_76619),
+	.B(n_86637),
+	.Y(n_50555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1023596 (
+	.A(n_61059),
+	.B(n_76862),
+	.Y(n_50559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_4 g1023597 (
+	.A1(\soc_top_u_top_u_core_fp_operands[2] [13]),
+	.A2(n_61059),
+	.B1_N(n_46730),
+	.X(n_50560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1023598 (
+	.A(n_61059),
+	.B(n_40066),
+	.Y(n_50561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_4 g1023603 (
+	.A1(n_61059),
+	.A2(n_27516),
+	.B1(n_59637),
+	.B2(n_27641),
+	.Y(n_50567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1023606 (
+	.A(n_61059),
+	.B(n_26493),
+	.Y(n_50569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 addinc_ADD_UNS_OP_2_g1023615 (
+	.A(n_38819),
+	.B(n_90516),
+	.Y(n_50578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g1023616 (
+	.A(n_90516),
+	.B(n_50101),
+	.Y(n_50579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1023622 (
+	.A(n_50585),
+	.B(n_27510),
+	.Y(n_50586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g1024167 (
+	.A(n_80247),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_63),
+	.Y(n_51091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g1024169 (
+	.A(addinc_ADD_UNS_OP_2_n_651),
+	.Y(n_51093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 addinc_ADD_UNS_OP_2_g1024170 (
+	.A(n_79667),
+	.B(n_55429),
+	.Y(n_51095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1024175 (
+	.A(n_59021),
+	.Y(n_51101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 fopt1024189 (
+	.A(addinc_ADD_UNS_OP_2_n_837),
+	.Y(n_51114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1024575  (
+	.A(n_53755),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2604 ),
+	.Y(n_51481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1024577 (
+	.A(n_80119),
+	.B(n_90560),
+	.Y(n_51478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1024580  (
+	.A_N(n_55548),
+	.B(n_53755),
+	.Y(n_51483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1024675  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2086 ),
+	.B(n_76712),
+	.COUT(UNCONNECTED499),
+	.SUM(n_51568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1024679 (
+	.A(n_51568),
+	.Y(n_51570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g119 (
+	.A(n_79319),
+	.B(FE_DBTN72_n_90623),
+	.Y(n_52570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g336 (
+	.A(n_57768),
+	.B(n_53228),
+	.C(n_90623),
+	.Y(n_53229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g341 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_844 ),
+	.B(n_81343),
+	.Y(n_53228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g337 (
+	.A(n_57768),
+	.B(n_53228),
+	.Y(n_53231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g340 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_844 ),
+	.B(n_81343),
+	.Y(n_53238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g163 (
+	.A(n_86308),
+	.B(n_86306),
+	.Y(n_53273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g168 (
+	.A(n_60720),
+	.Y(n_53275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g152 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1501 ),
+	.B(n_79323),
+	.Y(n_53283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g153 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1238 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2236 ),
+	.Y(n_53284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1025752 (
+	.A(n_75812),
+	.Y(n_53287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt159 (
+	.A(n_75376),
+	.Y(n_53295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1025754 (
+	.A(n_74827),
+	.B(n_75376),
+	.Y(n_53299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g74 (
+	.A(n_53320),
+	.Y(n_53321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g75 (
+	.A(n_75377),
+	.B(n_53319),
+	.Y(n_53320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g76 (
+	.A_N(n_78202),
+	.B(n_75378),
+	.C(n_80127),
+	.Y(n_53319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g77 (
+	.A(n_53322),
+	.Y(n_53323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g78 (
+	.A(n_80127),
+	.B(FE_DBTN96_n_57702),
+	.C(n_80113),
+	.Y(n_53322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_2 g228 (
+	.A1(n_53336),
+	.A2(n_53341),
+	.B1_N(n_53353),
+	.Y(n_53354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g243 (
+	.A(n_75699),
+	.B(n_75700),
+	.Y(n_53336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g239 (
+	.A(n_53340),
+	.Y(n_53341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_2 g240 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1087 ),
+	.A2(FE_DBTN25_n_78248),
+	.B1(n_75701),
+	.X(n_53340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g229 (
+	.A(n_53352),
+	.Y(n_53353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g231 (
+	.A1(n_53347),
+	.A2(n_38975),
+	.B1(n_53351),
+	.Y(n_53352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g234 (
+	.A(n_77055),
+	.Y(n_53347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g257 (
+	.A(n_17802),
+	.B(n_64615),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3719 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g233 (
+	.A(n_38975),
+	.B(n_53350),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_801 ),
+	.Y(n_53351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_2 g244 (
+	.A0(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_457 ),
+	.A1(n_34726),
+	.S(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3692 ),
+	.Y(n_53350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g232 (
+	.A(n_53336),
+	.B(n_53341),
+	.Y(n_53355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g226 (
+	.A(n_53341),
+	.B(n_53336),
+	.C(n_53352),
+	.Y(n_53357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g227 (
+	.A(n_53359),
+	.B(n_53352),
+	.Y(n_53360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g241 (
+	.A(n_53336),
+	.Y(n_53359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g224 (
+	.A_N(n_53352),
+	.B(n_53359),
+	.C(n_53341),
+	.Y(n_53361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g223 (
+	.A(n_53340),
+	.B(n_53336),
+	.C(n_53353),
+	.Y(n_53362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g249 (
+	.A(n_53366),
+	.Y(n_53367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g250 (
+	.A(n_38975),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_801 ),
+	.Y(n_53366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g259 (
+	.A(n_53368),
+	.Y(n_53369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g260 (
+	.A(n_71622),
+	.B(n_26564),
+	.Y(n_53368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g55 (
+	.A(n_53385),
+	.Y(n_53386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g56 (
+	.A(n_53960),
+	.B(n_89282),
+	.Y(n_53385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g134 (
+	.A(n_53422),
+	.B(n_53423),
+	.Y(n_53424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g147 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2500 ),
+	.Y(n_53423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g131 (
+	.A(n_53437),
+	.B(n_53438),
+	.Y(n_53439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g133 (
+	.A(n_53422),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2500 ),
+	.Y(n_53437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1025795 (
+	.A(n_87545),
+	.Y(n_53422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g135 (
+	.A(n_87545),
+	.B(n_53423),
+	.Y(n_53438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1025821 (
+	.A(n_75381),
+	.Y(n_39961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g28 (
+	.A(n_84334),
+	.B(n_26228),
+	.Y(n_53485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g88 (
+	.A(n_62454),
+	.B(n_62452),
+	.Y(n_53506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g94 (
+	.A(n_53508),
+	.B(n_53509),
+	.Y(n_53510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g95 (
+	.A(n_62399),
+	.B(n_62423),
+	.Y(n_53508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g97 (
+	.A(n_62398),
+	.B(n_62424),
+	.Y(n_53509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1025847 (
+	.A(FE_DBTN78_n_81343),
+	.B(n_36232),
+	.Y(n_53525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1025848 (
+	.A(n_58639),
+	.B(n_53530),
+	.Y(n_53531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1025852 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1571 ),
+	.B(n_54681),
+	.Y(n_53530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1025858 (
+	.A_N(n_90623),
+	.B(n_63291),
+	.C(n_45535),
+	.Y(n_53536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g32 (
+	.A(n_63291),
+	.B(n_45535),
+	.Y(n_53538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1025859 (
+	.A(n_56187),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1054 ),
+	.Y(n_53540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1025863 (
+	.A(n_53545),
+	.B(n_79007),
+	.Y(n_53547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1025864 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1835 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1937 ),
+	.Y(n_53545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1025866 (
+	.A(FE_DBTN78_n_81343),
+	.B(n_36236),
+	.Y(n_53552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g17 (
+	.A(n_53556),
+	.Y(n_53557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g18 (
+	.A(n_15522),
+	.B(n_53555),
+	.Y(n_53556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1025871 (
+	.A(n_15513),
+	.B(n_15493),
+	.Y(n_53555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1025879 (
+	.A(n_62679),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1754 ),
+	.Y(n_53567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1025882 (
+	.A(n_53570),
+	.Y(n_53571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1025883 (
+	.A1(n_78756),
+	.A2(n_53569),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1222 ),
+	.Y(n_53570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1025885 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1690 ),
+	.Y(n_53569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1025893 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_714 ),
+	.B(n_81351),
+	.Y(n_53578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1025895 (
+	.A(n_53581),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1544 ),
+	.Y(n_53582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1025896 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1495 ),
+	.B(FE_DBTN72_n_90623),
+	.Y(n_53581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1025902 (
+	.A(n_44080),
+	.B(n_53590),
+	.C(n_53591),
+	.Y(n_53592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1025903 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2341 ),
+	.B(n_79007),
+	.Y(n_53590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1025905 (
+	.A(n_79016),
+	.B(FE_DBTN54_n_78756),
+	.C(n_79007),
+	.Y(n_53591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1025906 (
+	.A(n_53595),
+	.B(n_79333),
+	.Y(n_53599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1025907 (
+	.A(n_53594),
+	.B(FE_DBTN72_n_90623),
+	.Y(n_53595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1025908 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1322 ),
+	.B(n_53593),
+	.Y(n_53594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1025909 (
+	.A(n_43977),
+	.Y(n_53593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1025913 (
+	.A(n_53600),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1352 ),
+	.Y(n_53601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1025914 (
+	.A(n_79311),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1256 ),
+	.Y(n_53600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1025915 (
+	.A(n_78314),
+	.B(FE_DBTN20_n_79311),
+	.Y(n_53604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g81 (
+	.A(n_53612),
+	.B(n_37691),
+	.Y(n_53613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1025924 (
+	.A(n_63904),
+	.Y(n_53612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1025930 (
+	.A(n_86607),
+	.B(n_53631),
+	.Y(n_53632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g86 (
+	.A(n_53629),
+	.B(n_44420),
+	.Y(n_53631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g89 (
+	.A(n_58262),
+	.Y(n_53629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1025940 (
+	.A(n_88098),
+	.B(n_75702),
+	.Y(n_53647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g33 (
+	.A(n_53642),
+	.B(n_61599),
+	.Y(n_53643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g34 (
+	.A(n_53641),
+	.Y(n_53642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g35 (
+	.A(n_59372),
+	.B(n_55285),
+	.Y(n_53641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1025944 (
+	.A(n_77897),
+	.B(n_53648),
+	.C(n_87553),
+	.Y(n_53649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1025945 (
+	.A(n_27926),
+	.Y(n_53648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1025951 (
+	.A(n_55283),
+	.B(n_28471),
+	.Y(n_53655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1025954 (
+	.A(n_53661),
+	.B(n_53659),
+	.Y(n_53662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1025955 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2994 ),
+	.Y(n_53659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g1025956 (
+	.A(n_54760),
+	.B(n_28634),
+	.Y(n_53661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g37 (
+	.A(n_87440),
+	.Y(n_53695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1025984 (
+	.A(FE_DBTN73_n_61059),
+	.B(n_26707),
+	.Y(n_53693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1025986 (
+	.A(n_87590),
+	.B(n_53701),
+	.Y(n_53702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1025987 (
+	.A(n_58924),
+	.B(n_75382),
+	.Y(n_53701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g70 (
+	.A(n_27650),
+	.B(FE_DBTN85_n_59637),
+	.Y(n_53704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g67 (
+	.A(n_54546),
+	.B(n_59637),
+	.C(n_54547),
+	.Y(n_53707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1025992 (
+	.A(FE_DBTN79_n_53716),
+	.B(n_26630),
+	.Y(n_53719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1025994 (
+	.A(n_58408),
+	.B(n_58528),
+	.Y(n_53716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1026001 (
+	.A(n_79534),
+	.Y(n_53726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1026007 (
+	.A(n_79527),
+	.B(n_79528),
+	.Y(n_53728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1026009 (
+	.A1(n_38084),
+	.A2(n_71622),
+	.B1_N(n_78035),
+	.Y(n_53731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1026010 (
+	.A(n_38079),
+	.B(n_26800),
+	.Y(n_38084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g1026014 (
+	.A(n_38084),
+	.B(n_71622),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3791 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1026018 (
+	.A(\soc_top_u_top_u_core_fp_operands[0] [28]),
+	.B(n_53737),
+	.Y(n_53738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1026019 (
+	.A(n_40841),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_98 ),
+	.Y(n_53737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1026033 (
+	.A(n_54010),
+	.B(n_51478),
+	.C(n_55548),
+	.Y(n_53753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1026034 (
+	.A(n_54010),
+	.B(n_51478),
+	.Y(n_53755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1026047 (
+	.A(n_59315),
+	.B(n_53728),
+	.C(n_56544),
+	.Y(n_53768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1026050 (
+	.A(n_53728),
+	.B(n_56544),
+	.Y(n_53772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g213 (
+	.A(n_58047),
+	.B(n_87801),
+	.Y(n_53775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g217 (
+	.A(n_62652),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1831),
+	.Y(n_53776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1026058 (
+	.A(n_58784),
+	.Y(n_53787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g192 (
+	.A(n_76249),
+	.B(n_77057),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [15]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g195 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_201 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_140 ),
+	.C(n_62575),
+	.D(n_75384),
+	.Y(n_53793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt1026059 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_200 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_201 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g1026075 (
+	.A_N(n_22722),
+	.B(n_25092),
+	.X(n_53812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt87 (
+	.A(n_69424),
+	.Y(n_53817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g65 (
+	.A(n_79195),
+	.B(n_25132),
+	.Y(n_53829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1026088 (
+	.A(n_27893),
+	.B(n_58491),
+	.Y(n_53836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1026089 (
+	.A1(n_53842),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_248 ),
+	.B1(n_75708),
+	.X(n_53845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1026090 (
+	.A(n_75707),
+	.B_N(n_16053),
+	.Y(n_53842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1026096 (
+	.A(n_76265),
+	.B(n_53848),
+	.Y(n_53849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1026097 (
+	.A(n_53846),
+	.B(n_53847),
+	.Y(n_53848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1026099 (
+	.A(n_61303),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2360 ),
+	.Y(n_53847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1026102 (
+	.A(n_59408),
+	.B(n_54535),
+	.Y(n_53852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1026107 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_293),
+	.Y(n_53856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1026118 (
+	.A(n_89028),
+	.B(n_46646),
+	.Y(n_53869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1026120 (
+	.A(n_44422),
+	.Y(n_53867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g1026124 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2956 ),
+	.B(n_53872),
+	.Y(n_53873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1026127 (
+	.A(addinc_ADD_UNS_OP_2_n_1398),
+	.B(n_53960),
+	.Y(n_53880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1026132 (
+	.A(FE_DBTN58_n_59277),
+	.B(n_51568),
+	.Y(n_53881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_2 g1026137 (
+	.A1(n_53887),
+	.A2(n_53889),
+	.B1_N(n_78488),
+	.Y(n_53890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g72 (
+	.A(n_78132),
+	.B(n_53886),
+	.Y(n_53887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1026138 (
+	.A(n_89297),
+	.Y(n_53886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1026139 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3062 ),
+	.B(n_89297),
+	.Y(n_53889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1026141 (
+	.A(n_78489),
+	.B(n_53893),
+	.Y(n_53894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1026143 (
+	.A(n_53892),
+	.Y(n_53893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1026144 (
+	.A(n_53887),
+	.B(n_53889),
+	.Y(n_53892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1026178 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1309 ),
+	.B(n_53936),
+	.Y(n_53937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1026179 (
+	.A(n_53935),
+	.B(n_57479),
+	.Y(n_53936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1026180 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1146 ),
+	.B(n_44550),
+	.Y(n_53935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g1026183 (
+	.A(n_53935),
+	.Y(n_53942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g105 (
+	.A(n_30781),
+	.B(n_62295),
+	.Y(n_53943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1026194 (
+	.A(n_53959),
+	.B(n_53787),
+	.Y(n_53960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1026195 (
+	.A(n_38173),
+	.B(n_53958),
+	.Y(n_53959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g1026196 (
+	.A(n_53957),
+	.Y(n_53958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1026197 (
+	.A(addinc_ADD_UNS_OP_2_n_1023),
+	.B(addinc_ADD_UNS_OP_2_n_1168),
+	.Y(n_53957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1026200 (
+	.A(n_58304),
+	.B(n_79407),
+	.Y(n_53963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1026202 (
+	.A(n_59222),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1213),
+	.C(WALLACE_CSA_DUMMY_OP_groupi_n_1944),
+	.Y(n_53969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1026204 (
+	.A(n_78149),
+	.B(n_38300),
+	.Y(n_53967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1026206 (
+	.A(n_53973),
+	.B(n_89281),
+	.C(addinc_ADD_UNS_OP_2_n_1351),
+	.Y(n_53974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1026207 (
+	.A(n_53972),
+	.B(FE_DBTN71_n_79000),
+	.Y(n_53973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1026208 (
+	.A(n_57864),
+	.B(FE_DBTN69_n_53970),
+	.Y(n_53972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g59 (
+	.A(n_50037),
+	.B(addinc_ADD_UNS_OP_2_n_1090),
+	.Y(n_53970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1026210 (
+	.A(FE_DBTN71_n_79000),
+	.B(n_53972),
+	.Y(n_53975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1026213 (
+	.A(n_86823),
+	.B(n_54954),
+	.Y(n_29188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1026220 (
+	.A(n_41649),
+	.B(n_58467),
+	.C(n_87553),
+	.Y(n_53983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1026231 (
+	.A(n_87871),
+	.B(addinc_ADD_UNS_OP_2_n_274),
+	.Y(n_53994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1026233 (
+	.A(addinc_ADD_UNS_OP_2_n_274),
+	.B(n_87871),
+	.Y(n_53998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1026242 (
+	.A(n_78330),
+	.B(n_51484),
+	.X(n_54005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g246 (
+	.A(n_54009),
+	.Y(n_54010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1026243 (
+	.A(n_58991),
+	.B(n_78330),
+	.Y(n_54009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g291 (
+	.A(n_28607),
+	.B(n_54011),
+	.C(n_55816),
+	.Y(n_54012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g293 (
+	.A(n_59286),
+	.Y(n_54011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g399 (
+	.A(n_28257),
+	.B(n_80113),
+	.Y(n_54020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1026249 (
+	.A(n_75386),
+	.B(n_54030),
+	.Y(n_54033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1026250 (
+	.A(addinc_ADD_UNS_OP_2_n_109),
+	.B(n_39622),
+	.Y(n_54034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1026251 (
+	.A(n_54041),
+	.B(n_54037),
+	.Y(n_54042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1026252 (
+	.A(n_55023),
+	.B(n_61522),
+	.Y(n_54037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1026255 (
+	.A(n_78162),
+	.B(n_79666),
+	.Y(n_54041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt319 (
+	.A(n_79219),
+	.Y(n_54046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g306 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2663 ),
+	.Y(n_54048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g284 (
+	.A(n_54050),
+	.B(n_54051),
+	.Y(n_54052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g315 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3420 ),
+	.Y(n_54050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g310 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2199 ),
+	.Y(n_54051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g283 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2663 ),
+	.B(n_79219),
+	.Y(n_54065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1026267 (
+	.A(n_78694),
+	.B(n_87442),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3788 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1026274 (
+	.A1_N(n_54048),
+	.A2_N(n_54078),
+	.B1(n_54048),
+	.B2(n_54078),
+	.Y(n_54079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g268 (
+	.A1_N(n_79602),
+	.A2_N(n_54046),
+	.B1(n_79602),
+	.B2(n_54046),
+	.Y(n_54078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g281 (
+	.A(n_54080),
+	.B(n_54081),
+	.Y(n_54082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1026275 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3420 ),
+	.B(n_54051),
+	.Y(n_54080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1026276 (
+	.A(n_54050),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2199 ),
+	.Y(n_54081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g282 (
+	.A(n_79447),
+	.B(n_54086),
+	.Y(n_54087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1026278 (
+	.A(n_80386),
+	.B(n_79598),
+	.Y(n_54086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1026280 (
+	.A(n_59023),
+	.B(n_80121),
+	.Y(n_54093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1026282 (
+	.A(n_58605),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_26), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1026284 (
+	.A1(n_57067),
+	.A2(n_54101),
+	.B1(n_39936),
+	.Y(n_54102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1026285 (
+	.A(n_23572),
+	.Y(n_54101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1026290 (
+	.A(n_25655),
+	.B(n_23505),
+	.Y(n_54105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 g1026291 (
+	.A(n_54109),
+	.Y(n_54110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1026292 (
+	.A(n_74714),
+	.B(n_74713),
+	.Y(n_54109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1026301 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1827 ),
+	.B(n_54123),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1905 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1026302 (
+	.A(n_54121),
+	.B(n_78756),
+	.Y(n_54123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1026303 (
+	.A(n_87443),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1561 ),
+	.Y(n_54121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1026353 (
+	.A(n_62326),
+	.B(addinc_ADD_UNS_OP_2_n_556),
+	.Y(n_54173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1026363 (
+	.A(n_59185),
+	.B(n_80334),
+	.Y(n_54181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1026367 (
+	.A(n_77897),
+	.B(n_53648),
+	.Y(n_54187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g62 (
+	.A(n_63924),
+	.B(n_88190),
+	.Y(n_54207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1026388 (
+	.A(n_53890),
+	.Y(n_54205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g61 (
+	.A(n_55964),
+	.B(n_54209),
+	.Y(n_54210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1026390 (
+	.A(n_63924),
+	.B(n_88190),
+	.Y(n_54209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1026395 (
+	.A_N(n_63924),
+	.B(n_40679),
+	.Y(n_54218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt1026396 (
+	.A(n_88190),
+	.Y(n_40679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1026437 (
+	.A(n_54266),
+	.B(n_54267),
+	.Y(n_54268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1026438 (
+	.A(n_90570),
+	.B(n_45815),
+	.Y(n_54266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1026439 (
+	.A(n_61995),
+	.B(n_45817),
+	.Y(n_54267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1026442 (
+	.A(n_78079),
+	.B(n_77101),
+	.Y(n_54271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g110 (
+	.A1(n_54294),
+	.A2(n_37508),
+	.B1(n_29790),
+	.Y(n_54295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1026452 (
+	.A(n_70318),
+	.Y(n_1098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g113 (
+	.A(n_72564),
+	.B(n_72566),
+	.Y(n_54309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1026456 (
+	.A(n_75719),
+	.B(n_54321),
+	.Y(n_54322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt126 (
+	.A(n_78000),
+	.Y(n_54311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g111 (
+	.A(n_28467),
+	.B(n_53649),
+	.C(n_55285),
+	.Y(n_54318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1026464 (
+	.A(n_87872),
+	.B(n_54311),
+	.Y(n_54321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1026466 (
+	.A(n_78000),
+	.B(n_54318),
+	.Y(n_54323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g334 (
+	.A(addinc_ADD_UNS_OP_2_n_27),
+	.B(n_54353),
+	.Y(n_54354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g363 (
+	.A(n_54325),
+	.B(n_60459),
+	.Y(n_54330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g375 (
+	.A(n_28495),
+	.B(FE_DBTN70_n_87553),
+	.Y(n_54325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1026468 (
+	.A(n_75722),
+	.B(n_54352),
+	.Y(n_54353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g346 (
+	.A(n_86385),
+	.B(n_54343),
+	.Y(n_54344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g389 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2572 ),
+	.Y(n_54332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g353 (
+	.A(n_75721),
+	.B(n_54342),
+	.Y(n_54343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g358 (
+	.A(n_76630),
+	.B(n_54341),
+	.Y(n_54342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g376 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2089 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1978 ),
+	.Y(n_54337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g373 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1978 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2089 ),
+	.Y(n_54338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g360 (
+	.A(n_54337),
+	.B(n_54338),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2315 ),
+	.Y(n_54341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g345 (
+	.A(n_54345),
+	.B(n_77100),
+	.COUT(n_54355),
+	.SUM(n_54348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g1026470 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1978 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2315 ),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2089 ),
+	.X(n_54345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1026471 (
+	.A(n_86385),
+	.B(n_54343),
+	.C(n_54348),
+	.Y(n_54352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g342 (
+	.A1(n_54345),
+	.A2(n_77100),
+	.B1(n_54344),
+	.Y(n_54356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1026474 (
+	.A(addinc_ADD_UNS_OP_2_n_25),
+	.Y(n_54373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g1026475 (
+	.A1(n_54364),
+	.A2(n_54342),
+	.B1(n_87522),
+	.Y(addinc_ADD_UNS_OP_2_n_25), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g370 (
+	.A(n_86385),
+	.Y(n_54364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g352 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2373 ),
+	.B(n_54342),
+	.Y(n_54365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1026476 (
+	.A(n_76633),
+	.Y(n_54385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1026478 (
+	.A(n_80127),
+	.B(n_54376),
+	.Y(n_54377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1026481 (
+	.A(n_54020),
+	.B(n_28367),
+	.Y(n_54376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1026484 (
+	.A(n_28367),
+	.B(n_54020),
+	.C(n_87553),
+	.Y(n_54378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g560 (
+	.A(n_54402),
+	.B(n_54412),
+	.Y(n_54413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g576 (
+	.A(n_54401),
+	.Y(n_54402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g578 (
+	.A(n_76635),
+	.B(n_54400),
+	.Y(n_54401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g593 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2529 ),
+	.B(n_87470),
+	.Y(n_54400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g569 (
+	.A(n_54411),
+	.Y(n_54412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g570 (
+	.A(n_76852),
+	.B(n_54410),
+	.Y(n_54411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g600 (
+	.A(n_54405),
+	.B(n_38801),
+	.Y(n_54406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g572 (
+	.A(n_75728),
+	.B(n_54406),
+	.C(n_60806),
+	.Y(n_54410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g566 (
+	.A(n_54420),
+	.B(n_54422),
+	.C(n_77099),
+	.Y(n_54423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g597 (
+	.A(n_54419),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2575 ),
+	.Y(n_54420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g622 (
+	.A(n_38807),
+	.Y(n_54419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g598 (
+	.A(n_38807),
+	.B(n_54421),
+	.Y(n_54422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g615 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2575 ),
+	.Y(n_54421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g589 (
+	.A(n_54420),
+	.B(n_54422),
+	.Y(n_54424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g563 (
+	.A(n_54438),
+	.B(n_54440),
+	.Y(n_54441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g567 (
+	.A(n_54434),
+	.B(n_54437),
+	.Y(n_54438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g583 (
+	.A(n_54433),
+	.Y(n_54434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g585 (
+	.A(n_54429),
+	.B(n_54432),
+	.Y(n_54433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g594 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3472 ),
+	.B(n_62471),
+	.Y(n_54429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g590 (
+	.A(n_54430),
+	.B(n_54431),
+	.Y(n_54432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g621 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3472 ),
+	.Y(n_54430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g625 (
+	.A(n_62471),
+	.Y(n_54431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g575 (
+	.A(n_54435),
+	.B(n_54436),
+	.Y(n_54437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g581 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2539 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2644 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2584 ),
+	.Y(n_54435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g601 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2644 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2539 ),
+	.Y(n_54436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g568 (
+	.A(n_54439),
+	.B(n_54433),
+	.Y(n_54440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g574 (
+	.A(n_54437),
+	.Y(n_54439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g558 (
+	.A(n_54402),
+	.B(n_54411),
+	.Y(n_54444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g559 (
+	.A(n_54401),
+	.B(n_54412),
+	.Y(n_54445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g547 (
+	.A(n_76634),
+	.B(n_54449),
+	.C(n_54445),
+	.Y(n_54450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g557 (
+	.A(n_76635),
+	.B(n_54411),
+	.C(n_54400),
+	.Y(n_54449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g548 (
+	.A(n_54454),
+	.B(n_54437),
+	.Y(n_54455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g552 (
+	.A(n_60565),
+	.B(n_54434),
+	.Y(n_54454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g551 (
+	.A(n_60564),
+	.B(n_54433),
+	.Y(n_54456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g595 (
+	.A(n_54419),
+	.B(n_54421),
+	.Y(n_54458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g602 (
+	.A(n_38807),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2575 ),
+	.Y(n_54459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g836 (
+	.A(n_54463),
+	.Y(n_54464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g837 (
+	.A(n_59424),
+	.B(n_27144),
+	.Y(n_54463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g824 (
+	.A(n_80770),
+	.B(n_59424),
+	.X(n_54474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g821 (
+	.A(n_54476),
+	.Y(n_54477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g822 (
+	.A(n_80771),
+	.B(n_27144),
+	.Y(n_54476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g827 (
+	.A(n_54464),
+	.B(n_59421),
+	.Y(n_54480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1026498 (
+	.A(n_54492),
+	.B(n_80317),
+	.Y(n_54494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1026499 (
+	.A(n_51101),
+	.B(n_59637),
+	.Y(n_54492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1026518 (
+	.A(n_78220),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_123),
+	.Y(n_54513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1026521 (
+	.A(n_87569),
+	.Y(n_54518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt211 (
+	.A(n_54534),
+	.Y(n_54535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1026526 (
+	.A_N(n_54530),
+	.B(n_54533),
+	.Y(n_54534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g197 (
+	.A(n_54527),
+	.B(n_44387),
+	.C(n_78219),
+	.Y(n_54530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1026528 (
+	.A(n_81239),
+	.B(n_54532),
+	.Y(n_54533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1026529 (
+	.A(n_38239),
+	.B(n_54518),
+	.Y(n_54532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1026531 (
+	.A(n_58828),
+	.B(n_54548),
+	.Y(n_54549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1026536 (
+	.A(n_27250),
+	.B(n_87853),
+	.Y(n_54538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1026538 (
+	.A(n_80773),
+	.Y(n_54539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1026539 (
+	.A(n_54547),
+	.B(n_54546),
+	.C(n_58812),
+	.Y(n_54548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1026541 (
+	.A(n_54545),
+	.Y(n_54546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1026542 (
+	.A(n_27359),
+	.B(n_39671),
+	.Y(n_54545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1026543 (
+	.A(n_61059),
+	.B(n_26706),
+	.Y(n_54547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1026546 (
+	.A(n_27250),
+	.B(n_87853),
+	.Y(n_54550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1026547 (
+	.A(n_54547),
+	.B(n_54546),
+	.Y(n_54554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1026548 (
+	.A(n_54555),
+	.Y(n_54556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1026549 (
+	.A(n_40432),
+	.B(n_39671),
+	.Y(n_54555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 fopt1026552 (
+	.A(n_54557),
+	.Y(n_54558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g255 (
+	.A(n_79068),
+	.B(n_28616),
+	.C(n_42784),
+	.Y(n_54557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g1026553 (
+	.A(n_54559),
+	.Y(n_54560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1026554 (
+	.A(n_54455),
+	.B(n_54456),
+	.Y(n_54559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g256 (
+	.A(n_54564),
+	.B(n_75863),
+	.Y(n_54566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1026556 (
+	.A(n_54562),
+	.B(n_54563),
+	.Y(n_54564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1026557 (
+	.A(n_53510),
+	.Y(n_54562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g242 (
+	.A(n_54578),
+	.Y(n_54579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1026561 (
+	.A(n_54574),
+	.B(n_54577),
+	.Y(n_54578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_4 g1026562 (
+	.A(n_54567),
+	.B(n_54570),
+	.C(n_75734),
+	.D(n_54573),
+	.Y(n_54574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1026563 (
+	.A(n_77073),
+	.B(n_76731),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2473 ),
+	.Y(n_54567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1026564 (
+	.A(n_54568),
+	.B(n_76731),
+	.C(n_54569),
+	.Y(n_54570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1026565 (
+	.A(n_77073),
+	.Y(n_54568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1026566 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2473 ),
+	.Y(n_54569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1026568 (
+	.A_N(n_76731),
+	.B(n_77073),
+	.C(n_54569),
+	.Y(n_54573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1026569 (
+	.A(n_54575),
+	.B(n_54459),
+	.Y(n_54577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1026570 (
+	.A(n_54458),
+	.B(n_77099),
+	.Y(n_54575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1026572 (
+	.A(n_54577),
+	.B(n_54574),
+	.Y(n_54580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1026576 (
+	.A1(n_54574),
+	.A2(n_54566),
+	.B1(n_54577),
+	.Y(n_54586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1026577 (
+	.A(n_54566),
+	.B(n_54574),
+	.Y(n_54587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1026578 (
+	.A(n_54589),
+	.B(n_54590),
+	.Y(n_54591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1026579 (
+	.A(n_77073),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2473 ),
+	.Y(n_54589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1026580 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2473 ),
+	.A2(n_77073),
+	.B1(n_76731),
+	.Y(n_54590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g181 (
+	.A(n_54618),
+	.B(n_54619),
+	.Y(n_54620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g188 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_413 ),
+	.B(n_31081),
+	.Y(n_54618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g189 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_275 ),
+	.B(n_31082),
+	.Y(n_54619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1026631 (
+	.A(n_54672),
+	.B(n_54681),
+	.Y(n_54675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1026632 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1928 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1480 ),
+	.Y(n_54672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1026638 (
+	.A(n_56911),
+	.B(n_54681),
+	.Y(n_54682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 g1026640 (
+	.A(n_63763),
+	.Y(n_54681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1026644 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2313 ),
+	.B(n_75404),
+	.Y(n_54683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1026651 (
+	.A(n_54691),
+	.Y(n_54692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1026652 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_837 ),
+	.A2(FE_DBTN44_n_64842),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1159 ),
+	.Y(n_54691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g144 (
+	.A(n_78963),
+	.B(FE_DBTN63_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sll_527_36_n_957),
+	.Y(n_54695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1026654 (
+	.A(n_86406),
+	.Y(n_54698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1026659 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1547),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1851),
+	.Y(n_54700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g69 (
+	.A(n_54701),
+	.B(n_54702),
+	.X(n_54703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1026660 (
+	.A(n_31042),
+	.B(n_23730),
+	.Y(n_54701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1026661 (
+	.A(n_31043),
+	.B(n_53321),
+	.X(n_54702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g1026693 (
+	.A(n_54759),
+	.Y(n_54760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1026694 (
+	.A(n_61543),
+	.B(n_54758),
+	.Y(n_54759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1026696 (
+	.A(n_45300),
+	.B(n_59293),
+	.Y(n_45305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1026700 (
+	.A(n_42781),
+	.B(n_54757),
+	.Y(n_54758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g1026701 (
+	.A(n_54754),
+	.Y(n_42781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1026702 (
+	.A(n_36814),
+	.B(FE_DBTN70_n_87553),
+	.Y(n_54754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1026706 (
+	.A(FE_DBTN74_n_58492),
+	.B(n_36749),
+	.Y(n_36944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1026751 (
+	.A(n_87782),
+	.B(n_87774),
+	.Y(n_54802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1026752 (
+	.A(n_87784),
+	.B(n_87787),
+	.Y(n_54803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1026801 (
+	.A1(FE_DBTN54_n_78756),
+	.A2(n_41118),
+	.B1(n_55909),
+	.Y(n_54862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g208 (
+	.A1(n_78796),
+	.A2(n_39165),
+	.B1(n_58663),
+	.Y(n_54865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g209 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1221 ),
+	.B(n_79007),
+	.Y(n_54867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1026845 (
+	.A(n_71622),
+	.B(n_62575),
+	.Y(n_54921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 g1026846 (
+	.A(n_54921),
+	.Y(n_54922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1026854 (
+	.A(n_54922),
+	.B(n_85863),
+	.Y(n_54928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1026858 (
+	.A(n_41955),
+	.B(n_85865),
+	.Y(n_54935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1026860 (
+	.A(n_85863),
+	.B(n_41956),
+	.Y(n_54937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g1026864 (
+	.A(n_53983),
+	.Y(n_54938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1026877 (
+	.A(n_30837),
+	.B(n_86613),
+	.Y(n_54954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1026920 (
+	.A(n_55132),
+	.Y(n_55001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1026927 (
+	.A(n_35459),
+	.B(FE_DBTN70_n_87553),
+	.Y(n_55011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1026928 (
+	.A(n_45300),
+	.B(n_75390),
+	.Y(n_55015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1026934 (
+	.A(n_76877),
+	.Y(n_55023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1026937 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_10),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_95),
+	.Y(n_55019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1026940 (
+	.A(n_85670),
+	.B(n_76877),
+	.Y(n_55026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1026946 (
+	.A(n_55254),
+	.B(n_80113),
+	.Y(n_55029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1026947 (
+	.A(n_55030),
+	.B(n_55031),
+	.Y(n_55032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1026948 (
+	.A(n_36818),
+	.B(n_87553),
+	.Y(n_55030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1026949 (
+	.A(n_80113),
+	.B(n_45933),
+	.Y(n_55031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1026953 (
+	.A(n_80113),
+	.B(n_45933),
+	.Y(n_55036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1026954 (
+	.A(n_55039),
+	.B(n_55029),
+	.Y(n_55040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1026955 (
+	.A(n_55036),
+	.B_N(n_87553),
+	.Y(n_55039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1026958 (
+	.A(n_88482),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_685),
+	.X(n_55041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1026959 (
+	.A(n_49436),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1398),
+	.Y(n_55043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1026963 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1180),
+	.B(n_55041),
+	.X(n_55045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g150 (
+	.A(n_58044),
+	.B(n_55050),
+	.Y(n_55051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1026966 (
+	.A(n_42357),
+	.B(n_36749),
+	.C(FE_DBTN85_n_59637),
+	.Y(n_55050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1026970 (
+	.A(n_55051),
+	.B(FE_DBTN96_n_57702),
+	.Y(n_55056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1026975 (
+	.A(n_48524),
+	.B(n_64241),
+	.Y(n_55070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1026980 (
+	.A(n_55067),
+	.B(n_44380),
+	.Y(n_55068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1026981 (
+	.A(n_85463),
+	.Y(n_55067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1026984 (
+	.A(n_55072),
+	.Y(n_55073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1026985 (
+	.A(n_78218),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_197),
+	.C(n_55071),
+	.Y(n_55072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1026986 (
+	.A(n_77963),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_40),
+	.Y(n_55071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1026994 (
+	.A1(n_81239),
+	.A2(n_54532),
+	.B1(n_55073),
+	.Y(n_55083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1026997 (
+	.A(n_76637),
+	.B(n_55089),
+	.Y(n_55090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027000 (
+	.A(n_41733),
+	.B(n_78043),
+	.Y(n_55086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027001 (
+	.A(n_37800),
+	.B(n_55088),
+	.Y(n_55089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1027002 (
+	.A(n_55086),
+	.B_N(n_37802),
+	.Y(n_55088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027007 (
+	.A(n_76638),
+	.B(n_55099),
+	.Y(n_55100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1027009 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3469 ),
+	.Y(n_55093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1027010 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3471 ),
+	.Y(n_55094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1027011 (
+	.A(n_75393),
+	.Y(n_55097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027013 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3469 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3471 ),
+	.Y(n_55099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027017 (
+	.A(n_55100),
+	.B(n_78908),
+	.Y(n_55106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027018 (
+	.A(n_55934),
+	.B(n_55933),
+	.Y(n_55115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027021 (
+	.A(n_55108),
+	.B(n_55109),
+	.Y(n_55110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027022 (
+	.A(n_55097),
+	.B(n_55094),
+	.Y(n_55108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027023 (
+	.A(n_75393),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3471 ),
+	.Y(n_55109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1027030 (
+	.A(n_55119),
+	.B(FE_DBTN70_n_87553),
+	.Y(n_55123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027031 (
+	.A(n_28469),
+	.B(n_28109),
+	.Y(n_55119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1027035 (
+	.A(n_28469),
+	.B(n_28109),
+	.C(n_87553),
+	.Y(n_55125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1027041 (
+	.A(n_41649),
+	.B(n_58467),
+	.C(n_87553),
+	.Y(n_55132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1027047 (
+	.A_N(n_79533),
+	.B(n_53772),
+	.Y(n_55135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027048 (
+	.A(n_55137),
+	.B(addinc_ADD_UNS_OP_2_n_2001),
+	.Y(n_55139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1027049 (
+	.A_N(addinc_ADD_UNS_OP_2_n_1184),
+	.B(n_58924),
+	.Y(n_55137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1027052 (
+	.A(n_55137),
+	.B(addinc_ADD_UNS_OP_2_n_2001),
+	.C(n_55135),
+	.Y(n_55141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1027053 (
+	.A1_N(n_55135),
+	.A2_N(addinc_ADD_UNS_OP_2_n_1452),
+	.B1(n_55135),
+	.B2(addinc_ADD_UNS_OP_2_n_1452),
+	.Y(n_55144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1027073 (
+	.A(n_58761),
+	.B(n_55165),
+	.Y(n_55166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027075 (
+	.A(n_55164),
+	.B(n_46199),
+	.Y(n_55165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027076 (
+	.A(n_58922),
+	.B(addinc_ADD_UNS_OP_2_n_1098),
+	.Y(n_55164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g1027083 (
+	.A_N(n_57893),
+	.B(n_46317),
+	.X(n_55171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027087 (
+	.A(n_58796),
+	.B(n_59252),
+	.Y(n_55185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g1027089 (
+	.A(addinc_ADD_UNS_OP_2_n_1426),
+	.B(n_55179),
+	.X(n_55180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027090 (
+	.A(n_55821),
+	.B(addinc_ADD_UNS_OP_2_n_698),
+	.Y(n_55179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1027092 (
+	.A_N(addinc_ADD_UNS_OP_2_n_698),
+	.B(addinc_ADD_UNS_OP_2_n_1426),
+	.C(n_55821),
+	.Y(n_55181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027095 (
+	.A(addinc_ADD_UNS_OP_2_n_1539),
+	.B(n_55821),
+	.Y(n_55186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027096 (
+	.A(addinc_ADD_UNS_OP_2_n_1535),
+	.B(n_55821),
+	.Y(n_55187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1027099 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_1434),
+	.A2(n_55188),
+	.B1(n_55190),
+	.X(n_55191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1027100 (
+	.A(n_75687),
+	.Y(n_55188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1027101 (
+	.A(n_55189),
+	.Y(n_55190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027102 (
+	.A(n_55478),
+	.B(n_55477),
+	.Y(n_55189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027103 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1434),
+	.B(n_55192),
+	.Y(n_55193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1027104 (
+	.A(n_55189),
+	.B(n_75687),
+	.Y(n_55192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027109 (
+	.A(n_55187),
+	.B(addinc_ADD_UNS_OP_2_n_1610),
+	.Y(n_55198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027113 (
+	.A(FE_DBTN44_n_64842),
+	.B(n_79464),
+	.Y(n_55205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027116 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2492 ),
+	.B(n_79464),
+	.Y(n_55207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027117 (
+	.A(n_64842),
+	.B(n_79464),
+	.Y(n_55208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027145 (
+	.A(n_87756),
+	.B(n_62324),
+	.Y(n_55237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027149 (
+	.A(n_75472),
+	.B(FE_DBTN18_n_78720),
+	.Y(n_55241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027153 (
+	.A(n_59252),
+	.B(n_55248),
+	.Y(n_55249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1027154 (
+	.A(n_55246),
+	.B(n_88196),
+	.X(n_55248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1027155 (
+	.A1(addinc_ADD_UNS_OP_2_n_1335),
+	.A2(addinc_ADD_UNS_OP_2_n_1095),
+	.B1_N(addinc_ADD_UNS_OP_2_n_1315),
+	.Y(n_55246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_4 g1027158 (
+	.A(n_27834),
+	.B(n_27845),
+	.C(n_84233),
+	.D(n_49089),
+	.Y(n_55250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027159 (
+	.A(n_55253),
+	.B(n_28105),
+	.Y(n_55254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027160 (
+	.A(n_55250),
+	.B(n_64150),
+	.Y(n_55253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g480 (
+	.A(n_55262),
+	.B(n_45836),
+	.Y(n_55263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g489 (
+	.A(n_58930),
+	.B(n_89301),
+	.C(n_55285),
+	.Y(n_55262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g513 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2738 ),
+	.Y(n_55265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g479 (
+	.A(n_55268),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2496 ),
+	.Y(n_55269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g482 (
+	.A(n_58930),
+	.B(n_89301),
+	.C(n_55267),
+	.Y(n_55268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g491 (
+	.A(n_45836),
+	.B(n_55804),
+	.Y(n_55267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g485 (
+	.A(n_75675),
+	.B(n_39617),
+	.C(n_55285),
+	.Y(n_39622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g494 (
+	.A(n_55040),
+	.B(n_55285),
+	.X(n_55283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g496 (
+	.A(n_27744),
+	.B(n_55285),
+	.Y(n_55286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1027164 (
+	.A(n_58522),
+	.B(n_90519),
+	.Y(n_55288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027170 (
+	.A(FE_DBTN78_n_81343),
+	.B(n_56548),
+	.Y(n_55296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027171 (
+	.A(n_64842),
+	.B(n_56548),
+	.Y(n_55297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1027175 (
+	.A(n_79698),
+	.Y(n_55299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1027177 (
+	.A(addinc_ADD_UNS_OP_2_n_578),
+	.B(addinc_ADD_UNS_OP_2_n_1938),
+	.Y(n_55300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1027184 (
+	.A(n_56753),
+	.B(n_63908),
+	.Y(n_55310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027193 (
+	.A(n_55310),
+	.B(n_78025),
+	.Y(n_37949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027195 (
+	.A(n_78025),
+	.B(n_55310),
+	.Y(n_55323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027210 (
+	.A(n_27865),
+	.B(n_87553),
+	.Y(n_55337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1027212 (
+	.A(n_46325),
+	.B(n_55346),
+	.Y(n_55347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g1027213 (
+	.A(n_56785),
+	.Y(n_55346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027220 (
+	.A(n_55346),
+	.B(n_38960),
+	.Y(n_55349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1027224 (
+	.A1(n_81208),
+	.A2(n_46326),
+	.B1(n_81898),
+	.Y(n_55355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027226 (
+	.A(n_81898),
+	.B(n_78855),
+	.Y(n_55356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027227 (
+	.A(n_38960),
+	.B(n_78855),
+	.Y(n_55357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027228 (
+	.A(n_58289),
+	.B(n_58002),
+	.Y(n_55361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027238 (
+	.A(n_38290),
+	.B(n_58061),
+	.Y(n_55365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027239 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1449),
+	.B(n_55367),
+	.Y(n_55368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1027240 (
+	.A(n_55365),
+	.B_N(WALLACE_CSA_DUMMY_OP_groupi_n_863),
+	.Y(n_55367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1027241 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_863),
+	.B(n_55365),
+	.Y(n_55369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027244 (
+	.A(n_58717),
+	.B(n_56948),
+	.Y(n_55372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1027245 (
+	.A(n_74865),
+	.B(n_38229),
+	.Y(n_55373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1027247 (
+	.A1(n_55373),
+	.A2(n_12593),
+	.B1(n_58717),
+	.Y(n_55377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1027249 (
+	.A(n_55387),
+	.Y(n_55388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1027250 (
+	.A(n_55384),
+	.B(n_55386),
+	.Y(n_55387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027251 (
+	.A(n_55381),
+	.B(n_55383),
+	.Y(n_55384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027252 (
+	.A(n_55379),
+	.B(n_55794),
+	.Y(n_55381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 fopt1027253 (
+	.A(n_55788),
+	.Y(n_55379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027256 (
+	.A(n_55788),
+	.B(n_55382),
+	.Y(n_55383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027258 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_166),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_80),
+	.Y(n_55386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027260 (
+	.A(n_55386),
+	.B(n_55384),
+	.Y(n_55389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1027265 (
+	.A(n_55394),
+	.B(n_55395),
+	.Y(n_55396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1027266 (
+	.A(n_15288),
+	.B(n_90647),
+	.Y(n_55394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027267 (
+	.A(n_87439),
+	.B(n_15133),
+	.Y(n_55395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g26 (
+	.A(addinc_ADD_UNS_OP_2_n_1928),
+	.B(n_86401),
+	.Y(n_55424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027295 (
+	.A(n_55424),
+	.B(addinc_ADD_UNS_OP_2_n_900),
+	.Y(n_55429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1027298 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_1431),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_1722),
+	.B1(n_75442),
+	.X(n_55431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1027300 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1431),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1722),
+	.C(n_75442),
+	.Y(n_55432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027325 (
+	.A(n_57464),
+	.B(n_57103),
+	.Y(n_55470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g1027334 (
+	.A(n_55471),
+	.Y(n_55472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027335 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_172),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_283),
+	.Y(n_55471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1027336 (
+	.A(n_75398),
+	.Y(n_55475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027339 (
+	.A(n_75398),
+	.B(n_55471),
+	.Y(n_55478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g21 (
+	.A(n_55480),
+	.B(n_82013),
+	.Y(n_50585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1027341 (
+	.A(n_57100),
+	.B(n_64150),
+	.Y(n_55480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1027342 (
+	.A(n_55484),
+	.B(n_55488),
+	.C(n_55489),
+	.Y(n_55490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_2 g1027343 (
+	.A1(n_59688),
+	.A2(FE_DBTN114_n_3234),
+	.B1(n_9392),
+	.X(n_55484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1027346 (
+	.A(n_55485),
+	.B(FE_DBTN114_n_3234),
+	.C(n_75399),
+	.Y(n_55488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1027347 (
+	.A(n_4408),
+	.Y(n_55485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1027350 (
+	.A_N(n_59688),
+	.B(n_4408),
+	.Y(n_55489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1027351 (
+	.A0(n_29761),
+	.A1(n_29777),
+	.S(n_59688),
+	.Y(n_55491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1027356 (
+	.A(n_55496),
+	.B(n_91007),
+	.C(n_53873),
+	.Y(n_55497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1027357 (
+	.A(n_55495),
+	.Y(n_55496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027358 (
+	.A(n_55952),
+	.B(n_55939),
+	.Y(n_55495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027359 (
+	.A(n_79641),
+	.B(n_55497),
+	.Y(n_55500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1027364 (
+	.A(addinc_ADD_UNS_OP_2_n_167),
+	.B(n_55497),
+	.Y(n_55504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027366 (
+	.A(FE_DBTN66_n_79636),
+	.B(n_79637),
+	.Y(n_55507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027368 (
+	.A(n_59167),
+	.B(n_55513),
+	.Y(n_55514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027370 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3390 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2849 ),
+	.Y(n_55509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027371 (
+	.A(n_59166),
+	.B(n_55512),
+	.Y(n_55513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1027373 (
+	.A(n_55509),
+	.Y(n_55512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1027377 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2816 ),
+	.Y(n_55516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027381 (
+	.A(n_87018),
+	.B(n_28516),
+	.Y(n_55520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1027382 (
+	.A(n_55521),
+	.B(n_28576),
+	.C(n_87553),
+	.Y(n_55522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027383 (
+	.A(n_27510),
+	.B(n_28522),
+	.Y(n_55521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g1027384 (
+	.A_N(n_55520),
+	.B(n_55521),
+	.C(n_28576),
+	.X(n_55525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1027385 (
+	.A1_N(n_56591),
+	.A2_N(n_87841),
+	.B1(n_56591),
+	.B2(n_87841),
+	.Y(n_55529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1027395 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2375 ),
+	.B(n_55532),
+	.Y(n_55533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1027396 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2483 ),
+	.Y(n_55532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1027397 (
+	.A(n_55532),
+	.B_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2375 ),
+	.Y(n_55534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1027399 (
+	.A_N(n_75400),
+	.B(n_55539),
+	.Y(n_55540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027401 (
+	.A(n_57852),
+	.B(n_75400),
+	.Y(n_55541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027402 (
+	.A(n_87441),
+	.B(n_75750),
+	.Y(n_55546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1027405 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2375 ),
+	.B(n_55532),
+	.Y(n_55544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1027406 (
+	.A1_N(n_75750),
+	.A2_N(n_51484),
+	.B1(n_75750),
+	.B2(n_51484),
+	.Y(n_55548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1027407 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2604 ),
+	.Y(n_51484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027412 (
+	.A(n_77829),
+	.B(n_56745),
+	.Y(n_55551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027415 (
+	.A(n_55552),
+	.B(FE_DBTN70_n_87553),
+	.Y(n_55554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027417 (
+	.A(n_59336),
+	.B(n_55552),
+	.Y(n_55558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g379 (
+	.A(n_78008),
+	.B(n_46646),
+	.Y(n_55592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027435 (
+	.A(n_55587),
+	.B(n_57702),
+	.Y(n_55588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g403 (
+	.A(n_58815),
+	.B(n_27847),
+	.C(n_59125),
+	.Y(n_55587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g423 (
+	.A(n_27906),
+	.B(n_77912),
+	.Y(n_55589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1027436 (
+	.A(n_78008),
+	.Y(n_55595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g393 (
+	.A(n_55601),
+	.B(n_27717),
+	.Y(n_55602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g424 (
+	.A(n_77912),
+	.B(n_27906),
+	.Y(n_55601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g394 (
+	.A(n_55601),
+	.B(n_57702),
+	.Y(n_55603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027442 (
+	.A(n_27812),
+	.B(n_55587),
+	.Y(n_55612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g387 (
+	.A(FE_DBTN70_n_87553),
+	.B(n_55587),
+	.Y(n_55613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g388 (
+	.A(n_53323),
+	.B(n_55587),
+	.Y(n_55614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027449 (
+	.A(n_28364),
+	.B(n_55727),
+	.Y(n_55624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1027461 (
+	.A(n_59044),
+	.B(n_55051),
+	.Y(n_55637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027465 (
+	.A(n_50567),
+	.B(n_42357),
+	.Y(n_55634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027468 (
+	.A(FE_DBTN74_n_58492),
+	.B(n_74341),
+	.Y(n_55645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1027470 (
+	.A(n_55641),
+	.B(n_55640),
+	.C(n_39671),
+	.Y(n_55643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1027471 (
+	.A(n_27343),
+	.Y(n_55640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027472 (
+	.A(n_61059),
+	.B(n_26708),
+	.Y(n_55641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027474 (
+	.A(FE_DBTN91_n_27677),
+	.B(n_74341),
+	.Y(n_55646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027483 (
+	.A(n_80456),
+	.B(n_58287),
+	.Y(n_55662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1027486 (
+	.A(n_55659),
+	.Y(n_55660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027487 (
+	.A(n_78245),
+	.B(n_62004),
+	.Y(n_55659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027506 (
+	.A(n_55691),
+	.B(n_55694),
+	.Y(n_55695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027507 (
+	.A(n_54700),
+	.B(n_55690),
+	.Y(n_55691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1027508 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1852),
+	.B(n_54703),
+	.X(n_55690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1027509 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_1852),
+	.A2(n_55693),
+	.B1_N(WALLACE_CSA_DUMMY_OP_groupi_n_857),
+	.Y(n_55694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1027510 (
+	.A(n_55692),
+	.Y(n_55693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027511 (
+	.A(n_54702),
+	.B(n_54701),
+	.Y(n_55692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1027512 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_903),
+	.B(n_76642),
+	.Y(n_55698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1027515 (
+	.A_N(n_55693),
+	.B(n_54703),
+	.Y(n_55699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027517 (
+	.A(n_86829),
+	.B(n_55704),
+	.Y(n_55705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027520 (
+	.A(n_56768),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_803),
+	.Y(n_55700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027521 (
+	.A(n_55703),
+	.B(n_55700),
+	.Y(n_55704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027522 (
+	.A(n_42892),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1310),
+	.Y(n_55703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027523 (
+	.A(n_55709),
+	.B(n_79461),
+	.Y(n_55710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g1027524 (
+	.A1_N(n_55707),
+	.A2_N(n_55708),
+	.B1(n_55707),
+	.B2(n_55708),
+	.Y(n_55709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027525 (
+	.A(n_46272),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1816),
+	.Y(n_55707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027526 (
+	.A(n_42889),
+	.B(n_57967),
+	.Y(n_55708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1027531 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1070),
+	.Y(n_55713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g1027533 (
+	.A(n_55717),
+	.B(n_55718),
+	.Y(n_55719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1027534 (
+	.A(n_78211),
+	.B(n_44420),
+	.Y(n_55717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1027535 (
+	.A(n_54494),
+	.B(n_75067),
+	.Y(n_55718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1027540 (
+	.A(n_79408),
+	.B(n_58302),
+	.C(n_55726),
+	.Y(n_55727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1027543 (
+	.A_N(n_58271),
+	.B(FE_DBTN70_n_87553),
+	.Y(n_55726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1027550 (
+	.A(n_75625),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2588 ),
+	.Y(n_55732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027553 (
+	.A(n_55738),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_228),
+	.Y(n_55739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1027554 (
+	.A(n_75625),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2588 ),
+	.C(n_75735),
+	.Y(n_55738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027558 (
+	.A(n_55732),
+	.B(n_75735),
+	.Y(n_55746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027561 (
+	.A(n_54558),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3129 ),
+	.Y(n_55747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1027570 (
+	.A(n_55125),
+	.B(n_55123),
+	.Y(n_38239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027571 (
+	.A(n_39663),
+	.B(n_55761),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt1027572 (
+	.A(n_55760),
+	.Y(n_55761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1027573 (
+	.A(n_55123),
+	.B(n_55125),
+	.C(n_54518),
+	.Y(n_55760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1027588 (
+	.A(n_58775),
+	.Y(n_55774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027593 (
+	.A(n_90524),
+	.B(n_55789),
+	.Y(n_55790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1027598 (
+	.A(n_55880),
+	.Y(n_55781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1027599 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3318 ),
+	.Y(n_55782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027601 (
+	.A(n_55788),
+	.B(n_55382),
+	.Y(n_55789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g1027602 (
+	.A1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3318 ),
+	.A2_N(n_55880),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3318 ),
+	.B2(n_55880),
+	.Y(n_55382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027603 (
+	.A(n_87842),
+	.B(n_87843),
+	.Y(n_55788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt120 (
+	.A(n_55382),
+	.Y(n_55794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1027606 (
+	.A(n_55382),
+	.B(n_55788),
+	.Y(n_55796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1027614 (
+	.A(n_55804),
+	.B(n_55811),
+	.Y(n_55812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g129 (
+	.A(n_55808),
+	.B(n_58990),
+	.Y(n_55811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt149 (
+	.A(n_80116),
+	.Y(n_55808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g130 (
+	.A(n_61598),
+	.Y(n_55816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1027620 (
+	.A(n_86168),
+	.B(n_80116),
+	.X(n_55814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1027622 (
+	.A(n_80116),
+	.B(n_87553),
+	.X(n_55817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1027624 (
+	.A(n_75800),
+	.B(n_50579),
+	.Y(n_55821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027627 (
+	.A(n_55822),
+	.B(addinc_ADD_UNS_OP_2_n_697),
+	.Y(n_55824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1027628 (
+	.A(addinc_ADD_UNS_OP_2_n_1436),
+	.Y(n_55822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027630 (
+	.A(addinc_ADD_UNS_OP_2_n_1436),
+	.B(addinc_ADD_UNS_OP_2_n_698),
+	.Y(n_55826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g1027632 (
+	.A(n_55821),
+	.Y(n_55827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027659 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1716 ),
+	.B(n_55867),
+	.Y(n_55868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027660 (
+	.A(n_60638),
+	.B(n_75404),
+	.Y(n_55867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1027663 (
+	.A(n_40830),
+	.Y(n_55864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027665 (
+	.A(n_41034),
+	.B(n_75404),
+	.Y(n_55869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027667 (
+	.A(n_55871),
+	.B(n_79311),
+	.Y(n_55872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g1027668 (
+	.A(n_84548),
+	.B(n_84549),
+	.C(n_84550),
+	.D(n_87825),
+	.Y(n_55871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1027673 (
+	.A(n_55878),
+	.B(n_55879),
+	.Y(n_55880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1027674 (
+	.A(n_55877),
+	.Y(n_55878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027675 (
+	.A(n_59333),
+	.B(n_28274),
+	.Y(n_55877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027676 (
+	.A(n_28275),
+	.B(n_55558),
+	.Y(n_55879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027681 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2492 ),
+	.B(n_79580),
+	.Y(n_55882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g444 (
+	.A(n_79665),
+	.B(n_79666),
+	.Y(n_55897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027694 (
+	.A(n_62677),
+	.B(FE_DBTN54_n_78756),
+	.Y(n_55909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027695 (
+	.A(n_53582),
+	.B(n_86636),
+	.Y(n_55910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g311 (
+	.A(FE_DBTN35_n_69877),
+	.B(n_38315),
+	.Y(n_55911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g309 (
+	.A(n_79488),
+	.B(n_55912),
+	.Y(n_55913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027696 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2492 ),
+	.B(n_60613),
+	.Y(n_55912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027698 (
+	.A(n_75757),
+	.B(n_46948),
+	.Y(n_55918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1027701 (
+	.A(n_59288),
+	.B(n_36363),
+	.Y(n_55919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1027702 (
+	.A_N(n_55922),
+	.B(n_55928),
+	.Y(n_55929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027703 (
+	.A(n_55920),
+	.B(n_55921),
+	.Y(n_55922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1027704 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2592 ),
+	.A2(n_78603),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3400 ),
+	.Y(n_55920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027705 (
+	.A(n_78603),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2592 ),
+	.Y(n_55921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1027706 (
+	.A(n_55927),
+	.Y(n_55928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027707 (
+	.A(n_55923),
+	.B(n_55926),
+	.Y(n_55927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027708 (
+	.A(n_55110),
+	.B(n_55093),
+	.Y(n_55923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027709 (
+	.A(n_55924),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3469 ),
+	.Y(n_55926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1027710 (
+	.A(n_55110),
+	.Y(n_55924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027713 (
+	.A(n_55928),
+	.B(n_55922),
+	.Y(n_55933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1027714 (
+	.A_N(n_55922),
+	.B(n_55927),
+	.Y(n_55934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g230 (
+	.A(n_55935),
+	.B(n_55919),
+	.Y(n_55936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1027716 (
+	.A(n_55115),
+	.Y(n_55935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027717 (
+	.A(n_55919),
+	.B(n_55935),
+	.Y(n_55939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1027721 (
+	.A(n_86830),
+	.B(n_75760),
+	.Y(n_55950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1027730 (
+	.A(n_53661),
+	.B(n_55950),
+	.Y(n_55952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g1027731 (
+	.A(n_55950),
+	.Y(n_55953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027734 (
+	.A(n_55954),
+	.B(n_63904),
+	.Y(n_55956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027735 (
+	.A(n_39840),
+	.B(n_37691),
+	.Y(n_55954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1027740 (
+	.A(n_79571),
+	.B(n_79572),
+	.Y(n_55961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027741 (
+	.A(n_54215),
+	.B(n_64212),
+	.Y(n_55963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g1027742 (
+	.A(n_58701),
+	.B(n_58700),
+	.C(n_75692),
+	.D(n_55956),
+	.Y(n_55964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027797 (
+	.A(n_56032),
+	.B(FE_DBTN20_n_79311),
+	.Y(n_56033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027798 (
+	.A(n_56029),
+	.B(n_56031),
+	.Y(n_56032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027799 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_847 ),
+	.B(FE_DBTN78_n_81343),
+	.Y(n_56029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027800 (
+	.A(n_56030),
+	.B(n_81343),
+	.Y(n_56031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027801 (
+	.A(n_73134),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_541 ),
+	.Y(n_56030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027802 (
+	.A(n_56032),
+	.B(n_79311),
+	.Y(n_56034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027842 (
+	.A(n_90623),
+	.B(n_56084),
+	.Y(n_56085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027843 (
+	.A(n_56082),
+	.B(n_56083),
+	.Y(n_56084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027844 (
+	.A(n_62748),
+	.B(n_46948),
+	.Y(n_56082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027845 (
+	.A(n_75757),
+	.B(n_79311),
+	.Y(n_56083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027846 (
+	.A(n_56084),
+	.B(FE_DBTN72_n_90623),
+	.Y(n_56086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027860 (
+	.A(n_70340),
+	.B(n_30768),
+	.Y(n_56099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1027861 (
+	.A(n_37407),
+	.Y(n_56100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027863 (
+	.A(n_62295),
+	.B(n_30769),
+	.Y(n_56102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1027864 (
+	.A(n_56108),
+	.Y(n_56109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027865 (
+	.A(n_56105),
+	.B(n_56107),
+	.Y(n_56108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1027866 (
+	.A(n_45447),
+	.Y(n_56105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1027867 (
+	.A(n_56106),
+	.Y(n_56107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g1027868 (
+	.A(n_56099),
+	.B(n_56100),
+	.C(n_90662),
+	.D(n_56102),
+	.Y(n_56106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027872 (
+	.A(n_56114),
+	.B(n_56115),
+	.Y(n_56116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027873 (
+	.A(n_56113),
+	.B(n_56107),
+	.Y(n_56114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1027874 (
+	.A(n_69271),
+	.Y(n_56113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027875 (
+	.A(n_69271),
+	.B(n_56106),
+	.Y(n_56115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1027888 (
+	.A(n_56126),
+	.Y(n_56127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027889 (
+	.A(n_87783),
+	.B(n_9605),
+	.Y(n_56126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_2 g1027890 (
+	.A1(n_72030),
+	.A2(n_34921),
+	.B1(n_11644),
+	.C1(n_56126),
+	.X(n_56131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1027920 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2769 ),
+	.Y(n_56159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1027924 (
+	.A(n_62534),
+	.B(n_62535),
+	.Y(n_56166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027926 (
+	.A(n_57097),
+	.B(n_60269),
+	.Y(n_56168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027928 (
+	.A(n_57096),
+	.B(n_56169),
+	.Y(n_56170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1027929 (
+	.A(n_60269),
+	.Y(n_56169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027932 (
+	.A(addinc_ADD_UNS_OP_2_n_1608),
+	.B(n_56181),
+	.Y(n_56182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1027933 (
+	.A1(n_56174),
+	.A2(n_75763),
+	.B1(n_58312),
+	.X(n_56181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1027934 (
+	.A(addinc_ADD_UNS_OP_2_n_1137),
+	.B(n_56492),
+	.Y(n_56174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1027938 (
+	.A1_N(n_88454),
+	.A2_N(addinc_ADD_UNS_OP_2_n_1437),
+	.B1(n_88454),
+	.B2(addinc_ADD_UNS_OP_2_n_1437),
+	.Y(n_56183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027939 (
+	.A(FE_DBTN78_n_81343),
+	.B(n_56186),
+	.Y(n_56187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027940 (
+	.A(n_73144),
+	.B(n_56185),
+	.Y(n_56186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027942 (
+	.A(FE_DBTN44_n_64842),
+	.B(n_63902),
+	.Y(n_56185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027957 (
+	.A(n_62732),
+	.B(n_54681),
+	.Y(n_56202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1027977 (
+	.A(n_86680),
+	.B(n_78172),
+	.Y(n_56226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1027998 (
+	.A(n_73660),
+	.B(n_78756),
+	.Y(n_56243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1028002 (
+	.A(n_38387),
+	.B(n_79254),
+	.Y(n_56252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028012 (
+	.A(n_56262),
+	.B(n_90625),
+	.Y(n_56263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028013 (
+	.A(n_56260),
+	.B(n_56261),
+	.Y(n_56262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028014 (
+	.A(n_56257),
+	.B(FE_DBTN20_n_79311),
+	.Y(n_56260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1028015 (
+	.A(n_63009),
+	.B(n_74823),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_931 ),
+	.Y(n_56257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028018 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1218 ),
+	.B(n_57479),
+	.Y(n_56261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028019 (
+	.A(n_56262),
+	.B(FE_DBTN72_n_90623),
+	.Y(n_56264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028020 (
+	.A(n_56257),
+	.B(n_79311),
+	.Y(n_56265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028021 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1185 ),
+	.B(n_79311),
+	.Y(n_56266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028023 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1186 ),
+	.B(n_79311),
+	.Y(n_56268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028031 (
+	.A(n_56279),
+	.B(FE_DBTN1_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sll_527_36_n_535),
+	.Y(n_56280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1028032 (
+	.A(n_63004),
+	.B(n_63060),
+	.C(n_56278),
+	.Y(n_56279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028035 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_663 ),
+	.B(n_36250),
+	.Y(n_56278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1028036 (
+	.A(n_78169),
+	.B(n_57702),
+	.Y(n_56284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028040 (
+	.A(n_78169),
+	.B(n_57163),
+	.Y(n_56285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1028109 (
+	.A(n_56367),
+	.Y(n_56368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028110 (
+	.A(n_56359),
+	.B(n_56366),
+	.Y(n_56367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028111 (
+	.A(n_50030),
+	.B(n_58605),
+	.Y(n_56359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028112 (
+	.A(n_87533),
+	.B(n_76845),
+	.Y(n_56366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1028119 (
+	.A(n_87533),
+	.Y(n_50030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1028154 (
+	.A(n_79618),
+	.B(n_56406),
+	.Y(n_56407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1028156 (
+	.A(n_56405),
+	.Y(n_56406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028157 (
+	.A(n_53768),
+	.B(n_53769),
+	.Y(n_56405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028160 (
+	.A(n_58229),
+	.B(FE_DBTN59_n_58812),
+	.Y(n_56422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_4 g60 (
+	.A1(n_56411),
+	.A2(n_56412),
+	.B1_N(FE_DBTN85_n_59637),
+	.Y(n_56415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1028162 (
+	.A(n_79721),
+	.Y(n_56411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1028163 (
+	.A(n_40424),
+	.Y(n_56412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028173 (
+	.A(n_40424),
+	.B(n_79721),
+	.Y(n_36749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1028178 (
+	.A(n_56428),
+	.Y(n_56429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1028179 (
+	.A(n_38894),
+	.B(n_38895),
+	.Y(n_56428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g1028225 (
+	.A(n_58924),
+	.Y(n_56492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_4 g1028230 (
+	.A_N(n_88101),
+	.B(n_56488),
+	.C(FE_DBTN134_n_40154),
+	.Y(n_56490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1028231 (
+	.A(n_38334),
+	.B(n_79727),
+	.C(addinc_ADD_UNS_OP_2_n_2061),
+	.Y(n_56488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1028235 (
+	.A(n_56502),
+	.Y(n_56503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1028236 (
+	.A_N(n_56495),
+	.B(n_58476),
+	.Y(n_56502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1028237 (
+	.A1(addinc_ADD_UNS_OP_2_n_268),
+	.A2(addinc_ADD_UNS_OP_2_n_230),
+	.B1(n_42701),
+	.Y(n_56495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 fopt1028241 (
+	.A(n_56359),
+	.Y(n_56497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028243 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_26),
+	.B(n_87533),
+	.Y(n_56499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1028249 (
+	.A(n_56505),
+	.Y(n_56506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028250 (
+	.A(n_85463),
+	.B(n_58594),
+	.Y(n_56505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt1028251 (
+	.A(n_56508),
+	.Y(n_56511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1028254 (
+	.A(n_49438),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1242),
+	.Y(n_56508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1028257 (
+	.A1(n_56517),
+	.A2(n_63912),
+	.B1(n_56523),
+	.Y(n_56524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1028258 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1826),
+	.B(n_86778),
+	.X(n_56517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1028263 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1201),
+	.B_N(n_78183),
+	.Y(n_56519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1028264 (
+	.A(n_63909),
+	.B(n_63910),
+	.C(n_56517),
+	.Y(n_56523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028284 (
+	.A(n_79530),
+	.B(n_79529),
+	.Y(n_56544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1028285 (
+	.A1(n_64232),
+	.A2(n_56546),
+	.B1(n_56547),
+	.Y(n_56548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1028287 (
+	.A(n_88200),
+	.B(n_42332),
+	.Y(n_56546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028288 (
+	.A(n_55288),
+	.B(n_64232),
+	.Y(n_56547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1028292 (
+	.A_N(n_39740),
+	.B(n_39741),
+	.Y(n_56551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028293 (
+	.A(n_56553),
+	.B(n_87590),
+	.Y(n_56558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028294 (
+	.A(n_58923),
+	.B(n_75382),
+	.Y(n_56553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g117 (
+	.A1(n_50035),
+	.A2(addinc_ADD_UNS_OP_2_n_920),
+	.B1(addinc_ADD_UNS_OP_2_n_1034),
+	.Y(n_56555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1028307 (
+	.A(n_58660),
+	.B(n_45841),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2700 ),
+	.Y(n_56572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028310 (
+	.A(n_55265),
+	.B(n_55262),
+	.Y(n_56570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028311 (
+	.A(n_56573),
+	.B(n_56574),
+	.Y(n_56575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1028312 (
+	.A(n_45841),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2700 ),
+	.Y(n_56573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1028313 (
+	.A(n_58660),
+	.Y(n_56574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1028315 (
+	.A(n_58757),
+	.B(n_58935),
+	.Y(n_54030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1028324 (
+	.A(n_58935),
+	.Y(addinc_ADD_UNS_OP_2_n_611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1028325 (
+	.A(n_58757),
+	.Y(n_56588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1028327 (
+	.A(n_75764),
+	.Y(n_47674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028329 (
+	.A(n_56591),
+	.B(n_56592),
+	.Y(n_56594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1028330 (
+	.A(n_75697),
+	.B(n_75440),
+	.Y(n_56591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1028332 (
+	.A(n_87834),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_334),
+	.C(WALLACE_CSA_DUMMY_OP_groupi_n_238),
+	.Y(n_56592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028337 (
+	.A(n_31042),
+	.B(addinc_ADD_UNS_OP_2_n_3),
+	.Y(n_56598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028338 (
+	.A(n_31041),
+	.B(n_80763),
+	.Y(n_56601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g1028344 (
+	.A(n_56606),
+	.B(n_56607),
+	.Y(n_56608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1028345 (
+	.A(n_64735),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2769 ),
+	.Y(n_56606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1028346 (
+	.A(n_56159),
+	.B(n_64734),
+	.Y(n_56607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028355 (
+	.A(n_76645),
+	.B(n_86828),
+	.Y(n_56622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028358 (
+	.A(n_75816),
+	.B(n_75817),
+	.Y(n_56619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028362 (
+	.A(n_55431),
+	.B(n_55432),
+	.Y(n_56623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1028363 (
+	.A(n_56624),
+	.Y(n_56625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028364 (
+	.A(n_54938),
+	.B(n_78818),
+	.Y(n_56624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028390 (
+	.A(n_64035),
+	.B(FE_DBTN70_n_87553),
+	.Y(n_56653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028394 (
+	.A(n_56659),
+	.B(n_56660),
+	.Y(n_56661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028395 (
+	.A(n_56505),
+	.B(n_56511),
+	.Y(n_56659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028396 (
+	.A(n_44464),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_733),
+	.Y(n_56660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1028397 (
+	.A(n_56505),
+	.B(n_56511),
+	.C(n_56662),
+	.Y(n_56663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1028398 (
+	.A(n_56660),
+	.Y(n_56662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028402 (
+	.A(n_41843),
+	.B(n_55171),
+	.Y(n_56666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028411 (
+	.A(n_56673),
+	.B(n_56674),
+	.Y(n_56675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1028412 (
+	.A1(n_60920),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2590 ),
+	.B1(n_87718),
+	.Y(n_56673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028413 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2590 ),
+	.B(n_60920),
+	.Y(n_56674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028415 (
+	.A(n_56686),
+	.B(n_76856),
+	.Y(n_56691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1028416 (
+	.A(n_74989),
+	.B(n_86835),
+	.C(n_87718),
+	.Y(n_56686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g1028429 (
+	.A(n_56693),
+	.Y(n_56694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028430 (
+	.A(n_54586),
+	.B(n_54587),
+	.Y(n_56693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1028433 (
+	.A(n_58518),
+	.B(n_76863),
+	.Y(n_56698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028436 (
+	.A(n_55368),
+	.B(n_55369),
+	.Y(n_56701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028438 (
+	.A(n_54938),
+	.B(n_78818),
+	.Y(n_56702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028442 (
+	.A(n_79671),
+	.B(n_79670),
+	.Y(n_56722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1028466 (
+	.A1_N(n_59161),
+	.A2_N(n_76690),
+	.B1(n_59161),
+	.B2(n_76690),
+	.Y(n_56735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028474 (
+	.A(n_78008),
+	.B(n_56745),
+	.Y(n_56746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g1028476 (
+	.A(n_56744),
+	.Y(n_56745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028477 (
+	.A(n_80113),
+	.B(n_64035),
+	.Y(n_56744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028478 (
+	.A(n_63907),
+	.B(n_45822),
+	.Y(n_56753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1028480 (
+	.A(n_56749),
+	.B(n_56751),
+	.Y(n_45822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028481 (
+	.A(n_58996),
+	.B(n_56746),
+	.Y(n_56749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1028482 (
+	.A(n_56750),
+	.Y(n_56751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028483 (
+	.A(n_58997),
+	.B(n_55592),
+	.Y(n_56750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 g1028484 (
+	.A(n_45822),
+	.Y(n_39840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1028487 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2959 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2958 ),
+	.Y(n_56756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1028492 (
+	.A_N(n_56762),
+	.B(n_75704),
+	.C(n_56767),
+	.Y(n_56768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1028493 (
+	.A(n_89587),
+	.B(n_90645),
+	.Y(n_56762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1028496 (
+	.A(n_80449),
+	.Y(n_56767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1028507 (
+	.A(n_77936),
+	.Y(n_56772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028509 (
+	.A(n_77936),
+	.B(n_63903),
+	.Y(n_56777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1028511 (
+	.A(n_63541),
+	.B(n_78855),
+	.Y(n_56785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028557 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_989 ),
+	.B(n_79311),
+	.Y(n_56829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g79 (
+	.A(n_56835),
+	.B(n_80113),
+	.Y(n_56840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028559 (
+	.A(n_56833),
+	.B(n_64155),
+	.Y(n_56835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028560 (
+	.A(n_78211),
+	.B(n_64150),
+	.Y(n_56833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028574 (
+	.A(n_71768),
+	.B(n_56854),
+	.Y(n_56855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028576 (
+	.A(FE_DBTN78_n_81343),
+	.B(n_36238),
+	.Y(n_56854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028595 (
+	.A(n_56876),
+	.B(n_79007),
+	.Y(n_56879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028596 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1836 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1934 ),
+	.Y(n_56876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028599 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1573 ),
+	.B(n_54681),
+	.Y(n_56880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028600 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2070 ),
+	.B(n_79268),
+	.Y(n_56882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1028601 (
+	.A(n_79051),
+	.B(n_56885),
+	.Y(n_56886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028603 (
+	.A(n_44903),
+	.B(n_44905),
+	.Y(n_56885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028613 (
+	.A(n_56904),
+	.B(n_56905),
+	.Y(n_56906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028614 (
+	.A(n_56899),
+	.B(n_78756),
+	.Y(n_56904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1028615 (
+	.A(n_56897),
+	.B(n_56898),
+	.Y(n_56899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028616 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1425 ),
+	.B(n_90623),
+	.Y(n_56897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028617 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1444 ),
+	.B(FE_DBTN72_n_90623),
+	.Y(n_56898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028619 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1686 ),
+	.B(FE_DBTN54_n_78756),
+	.Y(n_56905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028622 (
+	.A(n_56914),
+	.B(n_56915),
+	.Y(n_56916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028623 (
+	.A(n_56911),
+	.B(n_79007),
+	.Y(n_56914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028624 (
+	.A(n_61623),
+	.B(n_56910),
+	.Y(n_56911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028626 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1795 ),
+	.B(n_78756),
+	.Y(n_56910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028629 (
+	.A(n_75238),
+	.B(n_54681),
+	.Y(n_56915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028637 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_868 ),
+	.B(n_64842),
+	.Y(n_56922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1028640 (
+	.A(FE_DBTN20_n_79311),
+	.B(n_62797),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_801 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028651 (
+	.A(n_90623),
+	.B(n_78756),
+	.Y(n_56936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028657 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [5]),
+	.B(n_80419),
+	.Y(n_56948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1028664 (
+	.A(n_56951),
+	.Y(n_56952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1028665 (
+	.A(FE_DBTN99_n_25132),
+	.B(n_25413),
+	.Y(n_56951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1028668 (
+	.A(addinc_ADD_UNS_OP_2_n_1901),
+	.B(n_89319),
+	.Y(n_56956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1028672 (
+	.A(n_56962),
+	.Y(n_56963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1028673 (
+	.A(n_60275),
+	.B(n_60279),
+	.C(n_56961),
+	.Y(n_56962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_4 g1028674 (
+	.A1(n_81310),
+	.A2(n_81254),
+	.B1_N(n_80773),
+	.X(n_56961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1028675 (
+	.A(n_56961),
+	.Y(n_56964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31o_2 g1028681 (
+	.A1(n_79358),
+	.A2(n_47826),
+	.A3(n_56971),
+	.B1(n_56972),
+	.X(n_56973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1028682 (
+	.A(n_56970),
+	.B_N(n_17884),
+	.Y(n_56971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1028683 (
+	.A_N(n_25507),
+	.B(n_57612),
+	.C(n_25508),
+	.Y(n_56970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1028684 (
+	.A(n_56970),
+	.B_N(n_72421),
+	.Y(n_56972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1028685 (
+	.A1(n_61161),
+	.A2(n_39590),
+	.B1_N(n_56977),
+	.Y(n_56978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1028687 (
+	.A(n_56975),
+	.Y(n_39590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028688 (
+	.A(n_18009),
+	.B(n_81283),
+	.Y(n_56975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1028689 (
+	.A1(n_39587),
+	.A2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[20]),
+	.B1(n_18365),
+	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[28]),
+	.Y(n_56977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1028696 (
+	.A(n_26377),
+	.B(n_17688),
+	.Y(n_56985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1028698 (
+	.A(n_73857),
+	.B(n_56991),
+	.Y(n_56992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1028700 (
+	.A(n_80816),
+	.B(n_56990),
+	.C(n_36471),
+	.Y(n_56991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1028705 (
+	.A(n_80816),
+	.B(n_36471),
+	.Y(n_56993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1028714 (
+	.A(n_91432),
+	.B(n_17688),
+	.Y(n_57001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g1028720 (
+	.A(n_40060),
+	.B(n_72423),
+	.C(n_72421),
+	.X(n_57008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1028728 (
+	.A(n_41170),
+	.B(n_57017),
+	.C(n_57021),
+	.Y(n_57022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1028729 (
+	.A(soc_top_u_dccm_bank_sel[1]),
+	.B(n_25035),
+	.Y(n_57017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028730 (
+	.A(n_57018),
+	.B(soc_top_u_dccm_bank_sel[0]),
+	.Y(n_41170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1028731 (
+	.A(soc_top_u_dccm_rdata2[7]),
+	.Y(n_57018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1028732 (
+	.A_N(soc_top_u_dccm_rdata1[7]),
+	.B(n_78545),
+	.Y(n_57021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g1028746 (
+	.A(n_17311),
+	.B(n_17765),
+	.X(n_57033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g1028758 (
+	.A(n_57051),
+	.Y(n_57052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028759 (
+	.A(n_57049),
+	.B(n_57050),
+	.Y(n_57051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1028760 (
+	.A(n_57048),
+	.Y(n_57049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1028761 (
+	.A(n_57047),
+	.B(n_41421),
+	.C(n_48255),
+	.Y(n_57048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g63 (
+	.A(n_25460),
+	.B(n_25461),
+	.X(n_57047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g64 (
+	.A(n_26564),
+	.B(n_87530),
+	.Y(n_57050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028775 (
+	.A(n_57066),
+	.B(n_57067),
+	.Y(n_57068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028776 (
+	.A(n_48458),
+	.B(n_48459),
+	.Y(n_57066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_8 g1028777 (
+	.A(n_34963),
+	.B(n_35999),
+	.Y(n_57067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028789 (
+	.A(FE_DBTN104_n_17765),
+	.B(n_16689),
+	.Y(n_57080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1028791 (
+	.A(n_17765),
+	.B_N(n_17396),
+	.Y(n_57082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1028796 (
+	.A(n_57091),
+	.Y(n_57092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1028797 (
+	.A(n_35004),
+	.B(n_70056),
+	.C(FE_DBTN62_n_69924),
+	.Y(n_57091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028800 (
+	.A(n_35004),
+	.B(n_70056),
+	.Y(n_57093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1028801 (
+	.A(n_57096),
+	.Y(n_57097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028802 (
+	.A(n_57094),
+	.B(n_57095),
+	.Y(n_57096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028803 (
+	.A(n_12428),
+	.B(n_35194),
+	.Y(n_57094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028804 (
+	.A(n_36659),
+	.B(n_81755),
+	.Y(n_57095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1028805 (
+	.A(n_57098),
+	.B(n_57099),
+	.Y(n_57100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028806 (
+	.A(n_54494),
+	.B(n_58812),
+	.Y(n_57098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028807 (
+	.A(n_78242),
+	.B(FE_DBTN59_n_58812),
+	.Y(n_57099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028808 (
+	.A(n_57101),
+	.B(n_57103),
+	.Y(n_57104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028809 (
+	.A(n_55191),
+	.B(n_55193),
+	.Y(n_57101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g1028810 (
+	.A(n_57102),
+	.Y(n_57103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028811 (
+	.A(n_54938),
+	.B(n_78818),
+	.Y(n_57102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1028812 (
+	.A(n_75439),
+	.B(n_79524),
+	.Y(n_57107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1028825 (
+	.A(n_27284),
+	.B(FE_DBTN113_n_35471),
+	.Y(n_57117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028826 (
+	.A(n_54538),
+	.B(n_63929),
+	.Y(n_57118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1028830 (
+	.A(n_57123),
+	.B(n_57127),
+	.Y(n_57128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1028831 (
+	.A(n_78247),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2605 ),
+	.Y(n_57123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028845 (
+	.A(n_76650),
+	.B(n_75774),
+	.Y(n_57143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028848 (
+	.A(n_38327),
+	.B(n_38300),
+	.Y(n_57139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1028863 (
+	.A(n_57157),
+	.B(n_64150),
+	.Y(n_57159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028864 (
+	.A(n_57156),
+	.B(n_57155),
+	.Y(n_57157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1028865 (
+	.A(n_27971),
+	.Y(n_57155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1028866 (
+	.A(n_27912),
+	.Y(n_57156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1028868 (
+	.A(n_57161),
+	.B(n_57163),
+	.Y(n_57164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1028869 (
+	.A(n_53836),
+	.B(n_77977),
+	.Y(n_57161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 g1028870 (
+	.A(n_64152),
+	.Y(n_57163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028872 (
+	.A(n_57167),
+	.B(n_57169),
+	.Y(n_57170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028873 (
+	.A(n_57165),
+	.B(n_57166),
+	.Y(n_57167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028874 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1373),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1305),
+	.Y(n_57165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028875 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1831),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1830),
+	.Y(n_57166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1028876 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1373),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1305),
+	.C(n_57168),
+	.Y(n_57169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1028877 (
+	.A(n_57166),
+	.Y(n_57168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028888 (
+	.A(n_75749),
+	.B(n_57184),
+	.Y(n_57185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028890 (
+	.A(n_59166),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2816 ),
+	.Y(n_57184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028895 (
+	.A(n_57191),
+	.B(n_86837),
+	.Y(n_57194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028896 (
+	.A(n_57189),
+	.B(n_57190),
+	.Y(n_57191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028897 (
+	.A(n_75718),
+	.B(n_56429),
+	.Y(n_57189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028898 (
+	.A(n_87508),
+	.B(n_78183),
+	.Y(n_57190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1028907 (
+	.A(n_87872),
+	.B(n_54311),
+	.Y(n_57202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1028909 (
+	.A(n_54318),
+	.B(n_78000),
+	.Y(n_42572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1028916 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2696 ),
+	.B(n_87585),
+	.Y(n_57207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028917 (
+	.A(n_30988),
+	.B(n_87456),
+	.Y(n_57208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028918 (
+	.A(n_57212),
+	.B(n_57213),
+	.Y(n_57214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028919 (
+	.A(n_63944),
+	.B(n_23767),
+	.Y(n_57212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028920 (
+	.A(n_23690),
+	.B(addinc_ADD_UNS_OP_2_n_2054),
+	.Y(n_57213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028935 (
+	.A(n_57224),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2288 ),
+	.Y(n_57225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1028936 (
+	.A(n_42341),
+	.Y(n_57224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028937 (
+	.A(n_42341),
+	.B(n_57226),
+	.Y(n_57227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1028938 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2288 ),
+	.Y(n_57226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1028939 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2511 ),
+	.B(n_57225),
+	.C(n_57227),
+	.Y(n_57230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028944 (
+	.A(n_57224),
+	.B(n_57226),
+	.Y(n_57236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028946 (
+	.A(n_59099),
+	.B(n_75776),
+	.Y(n_57252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028948 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_678 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_572 ),
+	.Y(n_57240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028962 (
+	.A(n_63526),
+	.B(n_58607),
+	.Y(n_57259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1028967 (
+	.A(n_57260),
+	.B(n_57261),
+	.Y(n_57262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1028968 (
+	.A(n_54210),
+	.Y(n_57260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1028969 (
+	.A(n_54207),
+	.Y(n_57261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1028971 (
+	.A(n_63526),
+	.Y(n_57265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g1028973 (
+	.A1_N(n_87497),
+	.A2_N(n_57284),
+	.B1(n_87497),
+	.B2(n_57284),
+	.Y(n_57285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028976 (
+	.A(n_57267),
+	.B(n_78703),
+	.Y(n_57269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1028978 (
+	.A(n_57283),
+	.Y(n_57284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028979 (
+	.A(n_57281),
+	.B(n_57282),
+	.Y(n_57283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028980 (
+	.A(n_57279),
+	.B(n_57280),
+	.Y(n_57281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028981 (
+	.A(n_57276),
+	.B(n_57278),
+	.Y(n_57279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1028982 (
+	.A(n_57275),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2665 ),
+	.Y(n_57276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1028983 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2704 ),
+	.Y(n_57275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028984 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2704 ),
+	.B(n_57277),
+	.Y(n_57278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1028985 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2665 ),
+	.Y(n_57277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g180 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2783 ),
+	.Y(n_57280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1028986 (
+	.A(n_57278),
+	.B(n_57276),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2783 ),
+	.Y(n_57282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028989 (
+	.A(n_57275),
+	.B(n_57277),
+	.Y(n_57286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1028990 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2704 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2665 ),
+	.Y(n_57289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt201 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2778 ),
+	.Y(n_57267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1028999 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2784 ),
+	.B(n_74991),
+	.C(n_78701),
+	.Y(n_57300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1029003 (
+	.A(n_76655),
+	.Y(n_57307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1029006 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2578 ),
+	.Y(n_57302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1029007 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2415 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2577 ),
+	.Y(n_57303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1029019 (
+	.A(n_75779),
+	.B(n_75780),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2578 ),
+	.Y(n_57323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g300 (
+	.A1(n_57367),
+	.A2(n_57377),
+	.B1(n_57385),
+	.Y(n_57386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029059 (
+	.A(n_87453),
+	.B(n_57366),
+	.Y(n_57367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1029061 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2214 ),
+	.Y(n_57360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029062 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2214 ),
+	.B(n_57365),
+	.Y(n_57366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1029064 (
+	.A(n_75420),
+	.Y(n_57365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029065 (
+	.A(n_87510),
+	.B(n_57376),
+	.Y(n_57377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029066 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1840 ),
+	.B(n_59030),
+	.Y(n_57369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1029068 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1840 ),
+	.Y(n_57370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1029070 (
+	.A(n_57369),
+	.B(n_59032),
+	.C(n_76701),
+	.Y(n_57376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029071 (
+	.A(n_57383),
+	.B(n_57384),
+	.Y(n_57385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g314 (
+	.A(n_75421),
+	.B(n_57382),
+	.Y(n_57383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g332 (
+	.A(n_57380),
+	.B(n_57381),
+	.Y(n_57382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1029073 (
+	.A(n_76702),
+	.Y(n_57380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1029074 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2063 ),
+	.Y(n_57381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029075 (
+	.A(n_76702),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2063 ),
+	.Y(n_57384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029076 (
+	.A(n_57377),
+	.B(n_57367),
+	.Y(n_57387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g304 (
+	.A1(n_57383),
+	.A2(n_57384),
+	.B1(n_57367),
+	.X(n_57389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_2 g302 (
+	.A1(n_57381),
+	.A2(n_57380),
+	.B1(n_57367),
+	.C1(n_57383),
+	.Y(n_57390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g297 (
+	.A(n_57392),
+	.B(n_57393),
+	.C(n_57377),
+	.Y(n_57394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g304_0 (
+	.A1(n_57384),
+	.A2(n_57383),
+	.B1(n_57367),
+	.X(n_57392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g303 (
+	.A(n_57383),
+	.B(n_57367),
+	.C(n_57384),
+	.Y(n_57393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029079 (
+	.A(n_87597),
+	.B(n_57404),
+	.Y(n_57405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g328 (
+	.A(n_75420),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2214 ),
+	.Y(n_57398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1029083 (
+	.A(n_74981),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1798 ),
+	.C(n_57403),
+	.Y(n_57404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g329 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2214 ),
+	.B(n_75420),
+	.Y(n_57403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1029085 (
+	.A(n_75421),
+	.B(n_57407),
+	.C(n_57408),
+	.Y(n_57409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g333 (
+	.A(n_76702),
+	.B(n_57381),
+	.Y(n_57407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g325 (
+	.A(n_57380),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2063 ),
+	.Y(n_57408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1029088 (
+	.A(n_57413),
+	.B(n_76701),
+	.Y(n_57414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g331 (
+	.A(n_76701),
+	.B(n_57413),
+	.Y(n_57415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 g1029124 (
+	.A1(n_78485),
+	.A2(n_46644),
+	.B1(n_46646),
+	.B2(n_77829),
+	.Y(n_57456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1029127 (
+	.A(n_61106),
+	.Y(n_57460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029129 (
+	.A(n_87455),
+	.B(n_76665),
+	.Y(n_57464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029133 (
+	.A(n_57465),
+	.B(n_57466),
+	.Y(n_57467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029134 (
+	.A(n_42891),
+	.B(n_55713),
+	.Y(n_57465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029135 (
+	.A(n_46319),
+	.B(n_58963),
+	.Y(n_57466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1029145 (
+	.A(n_57478),
+	.B(n_46948),
+	.Y(n_57482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1029146 (
+	.A(n_40828),
+	.B(n_60534),
+	.Y(n_57478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g1029148 (
+	.A(n_57479),
+	.Y(n_46948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029149 (
+	.A(n_12801),
+	.B(n_58728),
+	.Y(n_57479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029153 (
+	.A(n_80268),
+	.B(n_87736),
+	.Y(n_57500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029158 (
+	.A(n_57490),
+	.B(n_76860),
+	.Y(n_57494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1029159 (
+	.A(n_75783),
+	.B(n_75784),
+	.C(n_42343),
+	.Y(n_57490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1029185 (
+	.A(n_57518),
+	.B(n_57524),
+	.Y(n_57525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1029186 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_lzc_zeroes ),
+	.Y(n_57518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029187 (
+	.A(n_57519),
+	.B(n_79425),
+	.Y(n_57524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1029190 (
+	.A(n_27087),
+	.B(n_61414),
+	.C(n_80416),
+	.Y(n_57519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1029193 (
+	.A(n_74889),
+	.B(n_57527),
+	.Y(n_57528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029195 (
+	.A(n_63854),
+	.B(n_79311),
+	.Y(n_57527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1029203 (
+	.A1(n_26536),
+	.A2(n_59102),
+	.B1(n_17688),
+	.X(n_57536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029211 (
+	.A(n_57565),
+	.B(n_75787),
+	.Y(n_57568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029212 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2678 ),
+	.B(n_87585),
+	.Y(n_57565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1029230 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2395 ),
+	.Y(n_57559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1029238 (
+	.A_N(n_57559),
+	.B(n_75788),
+	.C(n_57576),
+	.Y(n_57577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029242 (
+	.A(n_57561),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2525 ),
+	.Y(n_57576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1029243 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2485 ),
+	.Y(n_57561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029245 (
+	.A(n_57578),
+	.B(n_57559),
+	.Y(n_57579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029246 (
+	.A(n_75788),
+	.B(n_57576),
+	.Y(n_57578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1029250 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2524 ),
+	.B(n_57547),
+	.Y(n_57583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1029251 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2492 ),
+	.Y(n_57547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1029279 (
+	.A1(n_17070),
+	.A2(n_29667),
+	.B1(n_25109),
+	.Y(n_57612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1029281 (
+	.A(n_47826),
+	.B(n_49006),
+	.C(n_57614),
+	.Y(n_57615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1029282 (
+	.A(n_25533),
+	.B(n_25535),
+	.X(n_57614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1029283 (
+	.A(n_25533),
+	.B(n_25535),
+	.C(n_61252),
+	.Y(n_57616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1029285 (
+	.A1(n_61252),
+	.A2(n_47826),
+	.B1(n_25533),
+	.Y(n_56980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1029291 (
+	.A(n_57625),
+	.B(n_76661),
+	.Y(n_57628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029292 (
+	.A(n_57695),
+	.B(n_39936),
+	.Y(n_57625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029305 (
+	.A(n_39946),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3602 ),
+	.Y(n_57636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029306 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1902 ),
+	.B(n_87458),
+	.Y(n_57639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1029308 (
+	.A(n_87458),
+	.Y(n_57643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_4 g1029311 (
+	.A1(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.A2(n_57647),
+	.B1(n_75789),
+	.C1(n_57650),
+	.Y(n_57651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 g1029313 (
+	.A1(n_77591),
+	.A2(n_60757),
+	.B1(n_25886),
+	.B2(n_18005),
+	.Y(n_57647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029316 (
+	.A(n_26117),
+	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.Y(n_57650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1029317 (
+	.A(n_57656),
+	.Y(n_57657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1029318 (
+	.A1_N(n_57652),
+	.A2_N(n_57529),
+	.B1(n_24486),
+	.B2(n_57655),
+	.Y(n_57656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1029319 (
+	.A(n_48944),
+	.B(n_48943),
+	.Y(n_57652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g1029320 (
+	.A_N(n_24011),
+	.B(n_16610),
+	.X(n_57529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1029322 (
+	.A(n_24615),
+	.B(n_24617),
+	.Y(n_57655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029334 (
+	.A(n_74444),
+	.B(n_57673),
+	.Y(n_57674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1029336 (
+	.A(n_57669),
+	.B(soc_top_u_dccm_bank_sel[0]),
+	.Y(n_57670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1029337 (
+	.A(soc_top_u_dccm_rdata1[13]),
+	.Y(n_57669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1029338 (
+	.A(soc_top_u_dccm_bank_sel[0]),
+	.B(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.C(n_57672),
+	.Y(n_57673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1029339 (
+	.A(n_24879),
+	.B(soc_top_u_dccm_rdata2[13]),
+	.X(n_57672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1029340 (
+	.A(soc_top_u_dccm_bank_sel[0]),
+	.B(n_24879),
+	.C(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.Y(n_57675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029342 (
+	.A(n_69645),
+	.B(n_70318),
+	.Y(n_57676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029357 (
+	.A(n_57693),
+	.B(n_57694),
+	.Y(n_57695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029358 (
+	.A(n_78778),
+	.B(n_57067),
+	.Y(n_57693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1029360 (
+	.A1(n_18361),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [23]),
+	.B1(n_18359),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [23]),
+	.C1(n_22154),
+	.Y(n_57694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1029370 (
+	.A(n_27352),
+	.B(n_63929),
+	.Y(n_57702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029372 (
+	.A(n_57702),
+	.B(n_57706),
+	.Y(n_57707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1029373 (
+	.A(n_27907),
+	.B(n_63937),
+	.Y(n_57706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029380 (
+	.A(n_57715),
+	.B(n_57716),
+	.Y(n_57717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029381 (
+	.A(n_79525),
+	.B(n_57714),
+	.Y(n_57715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1029382 (
+	.A(n_87837),
+	.Y(n_57714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1029384 (
+	.A(n_58534),
+	.Y(n_57716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029398 (
+	.A(n_59368),
+	.B(n_55285),
+	.Y(n_57737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1029426 (
+	.A(n_65390),
+	.B(n_57763),
+	.C(FE_DBTN78_n_81343),
+	.Y(n_57768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029428 (
+	.A(n_64842),
+	.B(FE_DBTN45_n_64238),
+	.Y(n_57763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1029433 (
+	.A(n_57769),
+	.B(n_60467),
+	.Y(n_57771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1029434 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1773 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1772 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1814 ),
+	.Y(n_57769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1029437 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1780),
+	.B(n_59162),
+	.Y(n_57772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029442 (
+	.A(n_57194),
+	.B(n_57103),
+	.Y(n_57777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029443 (
+	.A(n_57781),
+	.B(n_57783),
+	.Y(n_57784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029444 (
+	.A(n_87840),
+	.B(n_75436),
+	.Y(n_57781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029447 (
+	.A(n_56594),
+	.B(n_87838),
+	.Y(n_57783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029450 (
+	.A(n_41821),
+	.B(n_64842),
+	.Y(n_57785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029454 (
+	.A(n_90623),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1496 ),
+	.Y(n_57789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029461 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [3]),
+	.B(n_75455),
+	.Y(n_57797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1029464 (
+	.A(n_55812),
+	.B(n_80122),
+	.Y(n_57800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1029465 (
+	.A(n_75792),
+	.Y(n_57803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1029472 (
+	.A(n_59554),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_85 ),
+	.Y(n_57807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1029479 (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_82 ),
+	.Y(n_57821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029488 (
+	.A(n_78495),
+	.B(n_87497),
+	.Y(n_57824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029489 (
+	.A(n_57826),
+	.B(FE_DBTN63_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sll_527_36_n_957),
+	.Y(n_57829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029490 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1643 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1599 ),
+	.Y(n_57826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1029497 (
+	.A(n_57835),
+	.Y(n_57836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_2 g1029498 (
+	.A1(n_40850),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1786 ),
+	.B1(n_78796),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1896 ),
+	.Y(n_57835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029500 (
+	.A(n_81343),
+	.B(n_57840),
+	.Y(n_57841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1029504 (
+	.A0(n_30965),
+	.A1(n_30889),
+	.S(n_86613),
+	.X(n_57840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029508 (
+	.A(n_59252),
+	.B(n_30933),
+	.Y(n_57844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1029516 (
+	.A(n_57852),
+	.Y(n_55539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029517 (
+	.A(n_57579),
+	.B(n_57577),
+	.Y(n_57852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1029524 (
+	.A(n_62285),
+	.B(n_62294),
+	.Y(n_57861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1029525 (
+	.A(n_57862),
+	.B(n_57863),
+	.Y(n_57864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1029526 (
+	.A(n_88725),
+	.B(addinc_ADD_UNS_OP_2_n_1089),
+	.Y(n_57862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1029527 (
+	.A(addinc_ADD_UNS_OP_2_n_930),
+	.B(n_89920),
+	.Y(n_57863), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1029543 (
+	.A(n_55472),
+	.B(n_55475),
+	.Y(n_55477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1029546 (
+	.A(n_79426),
+	.Y(n_57886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1029550 (
+	.A1_N(n_57887),
+	.A2_N(n_57889),
+	.B1(n_57887),
+	.B2(n_57889),
+	.Y(n_57890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1029551 (
+	.A_N(n_55023),
+	.B(n_42760),
+	.Y(n_57887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029552 (
+	.A(n_57888),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1940),
+	.Y(n_57889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029553 (
+	.A(n_42336),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_566),
+	.Y(n_57888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029554 (
+	.A(n_57892),
+	.B(n_57893),
+	.Y(n_57894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029555 (
+	.A(n_41843),
+	.B(n_46317),
+	.Y(n_57892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029557 (
+	.A(n_55388),
+	.B(n_55389),
+	.Y(n_57893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029561 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1944),
+	.B(n_57262),
+	.Y(n_57896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029564 (
+	.A(n_28269),
+	.B(n_64035),
+	.Y(n_57899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029565 (
+	.A(n_27803),
+	.B(n_55587),
+	.Y(n_57900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029572 (
+	.A(n_57911),
+	.B(n_57912),
+	.Y(n_57913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029573 (
+	.A(n_62528),
+	.B(n_57910),
+	.Y(n_57911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029574 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2903 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2821 ),
+	.Y(n_57910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1029575 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2903 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2819 ),
+	.Y(n_57912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029578 (
+	.A(n_57170),
+	.B(n_86613),
+	.Y(n_57915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029581 (
+	.A(n_57919),
+	.B(n_63872),
+	.Y(n_57920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1029582 (
+	.A(n_57918),
+	.Y(n_57919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029583 (
+	.A(n_34980),
+	.B(n_87553),
+	.Y(n_57918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1029591 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2391 ),
+	.A2(n_57929),
+	.B1(n_57931),
+	.X(n_57932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1029592 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2150 ),
+	.B(n_57928),
+	.Y(n_57929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1029593 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2298 ),
+	.Y(n_57928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1029594 (
+	.A(n_57928),
+	.B(n_57930),
+	.Y(n_57931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1029595 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2150 ),
+	.Y(n_57930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029624 (
+	.A(n_57964),
+	.B(n_57966),
+	.Y(n_57967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029625 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_268),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_189),
+	.Y(n_57964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1029626 (
+	.A(n_57965),
+	.Y(n_57966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029627 (
+	.A(n_88190),
+	.B(n_88191),
+	.Y(n_57965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029652 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1736 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1606 ),
+	.Y(n_57992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029657 (
+	.A(n_56623),
+	.B(n_56625),
+	.Y(n_57998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1029662 (
+	.A(n_57128),
+	.B(n_59133),
+	.Y(n_58002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029670 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_30),
+	.B(n_54318),
+	.Y(n_58014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1029673 (
+	.A(n_58017),
+	.Y(n_58018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029674 (
+	.A(n_75795),
+	.B(addinc_ADD_UNS_OP_2_n_518),
+	.Y(n_58017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029677 (
+	.A(n_58014),
+	.B(addinc_ADD_UNS_OP_2_n_518),
+	.Y(n_58019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029678 (
+	.A(n_89032),
+	.B(n_58020),
+	.Y(n_58021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g1029679 (
+	.A1(FE_DBTN70_n_87553),
+	.A2(n_28257),
+	.B1_N(n_58271),
+	.Y(n_58020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029680 (
+	.A(n_61673),
+	.B(n_58026),
+	.Y(n_45929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029681 (
+	.A(n_58024),
+	.B(n_58025),
+	.Y(n_58026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029682 (
+	.A(FE_DBTN64_n_59187),
+	.B(n_58023),
+	.Y(n_58024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029685 (
+	.A(n_59187),
+	.B(n_56608),
+	.Y(n_58025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029687 (
+	.A(n_58029),
+	.B(n_70359),
+	.Y(n_58030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g1029688 (
+	.A1_N(n_87785),
+	.A2_N(n_37913),
+	.B1(n_87785),
+	.B2(n_37913),
+	.Y(n_58029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029689 (
+	.A(n_58035),
+	.B(n_70359),
+	.Y(n_58036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029690 (
+	.A(n_70230),
+	.B(n_58033),
+	.Y(n_58035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029691 (
+	.A(n_58031),
+	.B(n_87775),
+	.Y(n_58033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1029692 (
+	.A(n_80135),
+	.Y(n_58031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029697 (
+	.A(n_26698),
+	.B(n_82242),
+	.Y(n_58039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1029698 (
+	.A(n_60584),
+	.B(n_58491),
+	.Y(n_58044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029704 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1429),
+	.B(n_58046),
+	.Y(n_58047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_2 g1029705 (
+	.A1(n_62652),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_1831),
+	.B1_N(WALLACE_CSA_DUMMY_OP_groupi_n_1830),
+	.Y(n_58046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029711 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2492 ),
+	.B(n_87831),
+	.Y(n_58054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029713 (
+	.A(n_58607),
+	.B(n_78028),
+	.Y(n_58061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029718 (
+	.A(FE_DBTN67_n_55310),
+	.B(n_78025),
+	.Y(n_58059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029719 (
+	.A(n_78534),
+	.B(n_58062),
+	.Y(n_38290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1029720 (
+	.A(n_78028),
+	.Y(n_58062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1029732 (
+	.A(n_43916),
+	.B_N(n_65222),
+	.Y(n_58073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g518 (
+	.A(n_58076),
+	.B_N(n_39515),
+	.Y(n_58077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g520 (
+	.A(n_81275),
+	.B(n_24964),
+	.Y(n_58076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g517 (
+	.A(n_22730),
+	.B(n_58076),
+	.X(n_58083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_4 g506 (
+	.A_N(n_58088),
+	.B(n_25766),
+	.C(n_25783),
+	.Y(n_58089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g508 (
+	.A1(n_39515),
+	.A2(n_79175),
+	.A3(n_57022),
+	.B1(n_17947),
+	.Y(n_58088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029735 (
+	.A(n_79172),
+	.B(n_57022),
+	.Y(n_58092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1029736 (
+	.A1(n_79172),
+	.A2(n_19598),
+	.B1(n_58094),
+	.Y(n_58095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 g519 (
+	.A1_N(n_57022),
+	.A2_N(n_19598),
+	.B1(soc_top_u_top_u_core_load_store_unit_i_rdata_q[23]),
+	.B2(n_39587),
+	.Y(n_58094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029744 (
+	.A(n_86618),
+	.B(n_75460),
+	.Y(n_58101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1029748 (
+	.A(n_49544),
+	.B_N(n_48469),
+	.Y(n_58104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029768 (
+	.A(n_58126),
+	.B(n_43947),
+	.Y(n_58127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029769 (
+	.A(n_60523),
+	.B(n_81283),
+	.Y(n_58126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029770 (
+	.A(n_39952),
+	.B(n_58128),
+	.Y(n_58129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1029771 (
+	.A(n_63310),
+	.B(n_25254),
+	.Y(n_58128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029800 (
+	.A(n_58161),
+	.B(n_42726),
+	.Y(n_58162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1029801 (
+	.A(n_58160),
+	.Y(n_58161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g1029802 (
+	.A1_N(n_58159),
+	.A2_N(n_86821),
+	.B1(n_58159),
+	.B2(n_86821),
+	.Y(n_58160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1029803 (
+	.A(n_87542),
+	.Y(n_58159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029804 (
+	.A(FE_DBTN131_n_42726),
+	.B(n_58160),
+	.Y(n_58163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1029805 (
+	.A(n_26991),
+	.B(n_26954),
+	.C(n_80348),
+	.Y(n_58164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt1029811 (
+	.A(n_86618),
+	.Y(n_58169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1029818 (
+	.A1(n_60523),
+	.A2(n_39590),
+	.B1(n_20200),
+	.B2(n_25886),
+	.Y(n_58177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1029819 (
+	.A(n_87004),
+	.B(n_26109),
+	.C(n_58177),
+	.Y(n_58179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029822 (
+	.A(n_58184),
+	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.Y(n_58186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1029823 (
+	.A1(n_79236),
+	.A2(n_58183),
+	.B1(n_24221),
+	.Y(n_58184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029825 (
+	.A(n_79134),
+	.B(n_42655),
+	.Y(n_58183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029830 (
+	.A(n_38121),
+	.B(n_38122),
+	.Y(n_58189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029835 (
+	.A(n_58192),
+	.B(FE_DBTN62_n_69924),
+	.Y(n_58195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1029836 (
+	.A(n_57093),
+	.Y(n_58192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029839 (
+	.A(n_57093),
+	.B(n_69924),
+	.Y(n_58196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029843 (
+	.A(n_78782),
+	.B(n_49533),
+	.Y(n_58203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029855 (
+	.A(n_78926),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1988),
+	.Y(n_58216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029859 (
+	.A(n_88458),
+	.B(n_78926),
+	.Y(n_58219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 g1029860 (
+	.A1(n_75099),
+	.A2(n_59252),
+	.B1(n_15936),
+	.Y(n_58220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1029864 (
+	.A(n_58224),
+	.Y(n_58225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1029865 (
+	.A(n_38873),
+	.B(n_28142),
+	.C(n_82012),
+	.Y(n_58224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029866 (
+	.A(n_58224),
+	.B(n_80127),
+	.Y(n_58226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1029868 (
+	.A(n_58228),
+	.B(n_56415),
+	.Y(n_58229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1029869 (
+	.A(n_54556),
+	.B(n_27341),
+	.C(FE_DBTN85_n_59637),
+	.Y(n_58228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1029870 (
+	.A(addinc_ADD_UNS_OP_2_n_783),
+	.B(n_89592),
+	.Y(n_58231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1029875 (
+	.A(n_58235),
+	.B(n_53975),
+	.Y(n_58236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029876 (
+	.A(addinc_ADD_UNS_OP_2_n_1351),
+	.B(n_31048),
+	.Y(n_58235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1029877 (
+	.A(n_58238),
+	.Y(n_58239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1029878 (
+	.A(addinc_ADD_UNS_OP_2_n_1351),
+	.B(addinc_ADD_UNS_OP_2_n_1276),
+	.C(n_31048),
+	.Y(n_58238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1029880 (
+	.A(n_58235),
+	.Y(n_58240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1029881 (
+	.A(FE_DBTN61_n_58241),
+	.B(n_27630),
+	.C(n_80113),
+	.Y(n_58243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029883 (
+	.A(n_58491),
+	.B(n_57702),
+	.Y(n_58241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029884 (
+	.A(n_79039),
+	.B(FE_DBTN61_n_58241),
+	.Y(n_58245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029886 (
+	.A(n_50567),
+	.B(FE_DBTN61_n_58241),
+	.Y(n_58246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g1029895 (
+	.A1(n_75748),
+	.A2(addinc_ADD_UNS_OP_2_n_621),
+	.B1_N(addinc_ADD_UNS_OP_2_n_804),
+	.X(n_58255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1029901 (
+	.A(n_78231),
+	.B(n_56422),
+	.Y(n_58262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029903 (
+	.A(n_58264),
+	.B(n_58265),
+	.Y(n_58266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1029904 (
+	.A(n_75432),
+	.Y(n_58264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1029906 (
+	.A(addinc_ADD_UNS_OP_2_n_594),
+	.B(n_55827),
+	.Y(n_58265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029907 (
+	.A(n_75432),
+	.B(n_58267),
+	.Y(n_58268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1029908 (
+	.A(n_55827),
+	.B_N(addinc_ADD_UNS_OP_2_n_594),
+	.Y(n_58267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1029911 (
+	.A(n_55552),
+	.Y(n_58271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029912 (
+	.A(n_87553),
+	.B(n_27511),
+	.Y(n_55552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029923 (
+	.A(n_14566),
+	.B(n_55373),
+	.Y(n_58282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029924 (
+	.A(n_58282),
+	.B(n_13112),
+	.Y(n_58285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029925 (
+	.A(n_58286),
+	.B(n_58002),
+	.Y(n_58287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1029926 (
+	.A(n_51483),
+	.B(n_53753),
+	.Y(n_58286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029929 (
+	.A(n_80451),
+	.B(n_58286),
+	.Y(n_58290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 fopt1029933 (
+	.A(n_59113),
+	.Y(addinc_ADD_UNS_OP_2_n_66), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029940 (
+	.A(n_58301),
+	.B(n_55552),
+	.Y(n_58302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1029941 (
+	.A(n_80715),
+	.B(n_57159),
+	.Y(n_58301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029942 (
+	.A(n_58301),
+	.B(n_27591),
+	.Y(n_58303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1029943 (
+	.A(n_58301),
+	.B(n_80113),
+	.Y(n_58304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029944 (
+	.A(n_46644),
+	.B(n_58301),
+	.Y(n_58305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029946 (
+	.A(n_58306),
+	.B(n_87553),
+	.Y(n_58308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1029947 (
+	.A(n_55587),
+	.B(n_57163),
+	.Y(n_58306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1029950 (
+	.A(n_58311),
+	.B(n_55821),
+	.C(n_88454),
+	.Y(n_58312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1029951 (
+	.A1(addinc_ADD_UNS_OP_2_n_1137),
+	.A2(n_56492),
+	.B1(n_46373),
+	.Y(n_58311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1029953 (
+	.A(n_79613),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1813),
+	.X(n_58314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1029982 (
+	.A(n_26926),
+	.B(n_58346),
+	.C(n_26921),
+	.Y(n_58347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1029983 (
+	.A(n_58345),
+	.Y(n_58346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g1029984 (
+	.A(n_61470),
+	.B(n_71622),
+	.C(n_70606),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_38 ),
+	.Y(n_58345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029985 (
+	.A(n_58348),
+	.B(n_58349),
+	.Y(n_58350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1029986 (
+	.A(n_76670),
+	.B(n_58095),
+	.Y(n_58348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g1029987 (
+	.A(n_58104),
+	.B(n_90497),
+	.C(n_81283),
+	.D(n_48470),
+	.Y(n_58349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1029997 (
+	.A(n_58360),
+	.B(n_70359),
+	.Y(n_58361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g1029998 (
+	.A1_N(n_87772),
+	.A2_N(n_80139),
+	.B1(n_87772),
+	.B2(n_80139),
+	.Y(n_58360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030002 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1316 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1279 ),
+	.Y(n_58364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1030003 (
+	.A(n_58364),
+	.B(n_79007),
+	.C(n_39162),
+	.Y(n_58366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1030004 (
+	.A(n_58364),
+	.Y(n_58367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030005 (
+	.A(n_90623),
+	.B(n_58364),
+	.Y(n_58368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030006 (
+	.A(n_77980),
+	.B(addinc_ADD_UNS_OP_2_n_1620),
+	.Y(n_58371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030011 (
+	.A(n_58376),
+	.B(n_63029),
+	.Y(n_58378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030012 (
+	.A(n_63030),
+	.B(n_87768),
+	.Y(n_58376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1030018 (
+	.A(n_79632),
+	.B(n_61442),
+	.C(WALLACE_CSA_DUMMY_OP_groupi_n_293),
+	.Y(n_58382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030020 (
+	.A(n_79632),
+	.B(n_61442),
+	.Y(n_58383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1030022 (
+	.A(n_58801),
+	.B(n_88153),
+	.Y(n_58384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1030024 (
+	.A(n_58386),
+	.B(n_42566),
+	.Y(n_58387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1030025 (
+	.A(n_58384),
+	.Y(n_58386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030026 (
+	.A(n_59290),
+	.B(n_58384),
+	.Y(n_58390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030028 (
+	.A(n_52570),
+	.B(n_58391),
+	.Y(n_58392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030029 (
+	.A(n_62675),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2236 ),
+	.Y(n_58391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1030031 (
+	.A(n_88101),
+	.B(n_40154),
+	.Y(n_58393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030033 (
+	.A(FE_DBTN134_n_40154),
+	.B(n_58396),
+	.Y(n_58397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1030035 (
+	.A(n_88101),
+	.Y(n_58396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030037 (
+	.A(n_47052),
+	.B(FE_DBTN44_n_64842),
+	.Y(n_58399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030038 (
+	.A(n_75802),
+	.B(n_55827),
+	.Y(n_58405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030043 (
+	.A(FE_DBTN17_n_78901),
+	.B(n_61054),
+	.Y(n_58408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1030045 (
+	.A(n_88491),
+	.Y(n_58406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1030046 (
+	.A(n_61054),
+	.Y(n_58409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g1030050 (
+	.A(n_43925),
+	.Y(n_43930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1030051 (
+	.A_N(n_12958),
+	.B(n_71622),
+	.Y(n_43925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1030054 (
+	.A(n_12958),
+	.Y(n_58418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1030059 (
+	.A(n_87465),
+	.Y(n_58426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1030088 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_976 ),
+	.Y(n_58448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1030091 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_955 ),
+	.Y(n_58451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030093 (
+	.A(n_58458),
+	.B(n_86844),
+	.Y(n_58463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g108 (
+	.A(n_58457),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1643 ),
+	.Y(n_58458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1030094 (
+	.A(n_76676),
+	.B(n_60934),
+	.Y(n_58457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030100 (
+	.A(n_58466),
+	.B(n_75380),
+	.Y(n_58467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030101 (
+	.A(n_79002),
+	.B(n_53386),
+	.Y(n_58466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030103 (
+	.A(n_58393),
+	.B(addinc_ADD_UNS_OP_2_n_1335),
+	.Y(n_58468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030104 (
+	.A(n_59121),
+	.B(n_84234),
+	.Y(n_58471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1030106 (
+	.A1(addinc_ADD_UNS_OP_2_n_200),
+	.A2(n_58472),
+	.B1_N(addinc_ADD_UNS_OP_2_n_268),
+	.Y(n_58474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1030108 (
+	.A1(n_56497),
+	.A2(addinc_ADD_UNS_OP_2_n_351),
+	.B1(n_56499),
+	.Y(n_58472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1030109 (
+	.A1(n_56407),
+	.A2(n_56368),
+	.B1(n_58472),
+	.X(n_58475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030110 (
+	.A(n_58472),
+	.B(n_78467),
+	.Y(n_58476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030123 (
+	.A(FE_DBTN83_n_57117),
+	.B(n_58491),
+	.Y(n_58492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030124 (
+	.A(n_63929),
+	.B(n_54550),
+	.Y(n_58491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g1030128 (
+	.A(n_58495),
+	.Y(n_58496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030129 (
+	.A(n_63929),
+	.B(n_54550),
+	.Y(n_58495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030133 (
+	.A(n_58499),
+	.B(n_58502),
+	.Y(n_58503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030134 (
+	.A(n_28269),
+	.B(n_27408),
+	.Y(n_58499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1030135 (
+	.A(FE_DBTN70_n_87553),
+	.B(n_58501),
+	.Y(n_58502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1030137 (
+	.A(n_55612),
+	.Y(n_58501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030138 (
+	.A(n_58499),
+	.B(n_55612),
+	.Y(n_58504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1030151 (
+	.A(n_62451),
+	.B(n_53506),
+	.C(n_77482),
+	.Y(n_58518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1030155 (
+	.A_N(n_55660),
+	.B(n_88476),
+	.Y(n_58522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1030156 (
+	.A(n_90516),
+	.B_N(n_88476),
+	.Y(n_58523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g39_0 (
+	.A(n_87863),
+	.B(n_76678),
+	.C(n_58527),
+	.Y(n_58528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1030159 (
+	.A(n_59419),
+	.Y(n_58525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030160 (
+	.A(n_75555),
+	.B(n_59419),
+	.Y(n_58527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1030165 (
+	.A(n_42745),
+	.B(n_55507),
+	.C(WALLACE_CSA_DUMMY_OP_groupi_n_307),
+	.Y(n_58531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030166 (
+	.A(n_58531),
+	.B(n_56592),
+	.Y(n_58534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1030167 (
+	.A1(n_58537),
+	.A2(n_75807),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1420 ),
+	.Y(n_58541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030168 (
+	.A(n_37614),
+	.B(n_58536),
+	.Y(n_58537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030174 (
+	.A(n_58543),
+	.B(n_76679),
+	.Y(n_58548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030175 (
+	.A(n_58542),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1420 ),
+	.Y(n_58543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030176 (
+	.A(n_58537),
+	.B(n_75807),
+	.Y(n_58542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 fopt1030222 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1148),
+	.Y(n_58594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030223 (
+	.A(n_85464),
+	.B(n_49437),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030225 (
+	.A(n_75215),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_953),
+	.Y(n_58590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1030226 (
+	.A(n_87881),
+	.Y(n_49437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030234 (
+	.A(n_54093),
+	.B(n_75810),
+	.Y(n_58605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1030238 (
+	.A(n_78534),
+	.Y(n_58607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030242 (
+	.A(n_76612),
+	.B(n_58612),
+	.Y(n_58613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1030243 (
+	.A1(n_80472),
+	.A2(n_60838),
+	.B1(n_58611),
+	.Y(n_58612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1030244 (
+	.A_N(n_41965),
+	.B(n_40542),
+	.Y(n_58611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1030246 (
+	.A1_N(n_58612),
+	.A2_N(n_43941),
+	.B1(n_58612),
+	.B2(n_43941),
+	.Y(n_58614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1030247 (
+	.A_N(n_58612),
+	.B(n_43941),
+	.Y(n_58615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030263 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1641 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1597 ),
+	.Y(n_58629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030265 (
+	.A(n_58629),
+	.B(FE_DBTN54_n_78756),
+	.Y(n_58633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030266 (
+	.A(n_64842),
+	.B(n_58634),
+	.Y(n_58635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030267 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_742 ),
+	.B(n_81354),
+	.Y(n_58634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030269 (
+	.A(n_58637),
+	.B(n_54681),
+	.Y(n_58638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030270 (
+	.A(n_60628),
+	.B(n_79332),
+	.Y(n_58637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030271 (
+	.A(n_58637),
+	.B(n_79007),
+	.Y(n_58639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030279 (
+	.A(n_58647),
+	.B(n_39162),
+	.Y(n_58648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030280 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1313 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1012 ),
+	.Y(n_58647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030282 (
+	.A(n_58647),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_659 ),
+	.Y(n_58650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1030283 (
+	.A(n_54015),
+	.B(n_80324),
+	.Y(n_58652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1030284 (
+	.A(n_75737),
+	.B(n_55011),
+	.X(n_54015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030285 (
+	.A(n_80324),
+	.B(n_79621),
+	.Y(n_58654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030288 (
+	.A(n_57998),
+	.B(n_58656),
+	.Y(n_58657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030289 (
+	.A(n_30943),
+	.B(n_59252),
+	.Y(n_58656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030290 (
+	.A(n_75744),
+	.B(n_56570),
+	.Y(n_58660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g1030295 (
+	.A(n_55525),
+	.B(n_80320),
+	.C(n_80321),
+	.X(n_58663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030296 (
+	.A(n_87467),
+	.B(n_75445),
+	.Y(n_58669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1030309 (
+	.A_N(soc_top_u_top_u_core_rf_wdata_fwd_wb[20]),
+	.B(FE_DBTN104_n_17765),
+	.Y(n_58675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_2 g1030313 (
+	.A1(FE_DBTN104_n_17765),
+	.A2(n_29812),
+	.B1(n_58675),
+	.C1(n_58322),
+	.Y(n_58680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1030315 (
+	.A1(n_29812),
+	.A2(FE_DBTN104_n_17765),
+	.B1(n_58675),
+	.X(n_58683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030326 (
+	.A(n_58699),
+	.B(n_63921),
+	.Y(n_58700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1030327 (
+	.A(n_58698),
+	.Y(n_58699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030328 (
+	.A(n_58696),
+	.B(n_58697),
+	.Y(n_58698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030329 (
+	.A(n_63903),
+	.B(n_56772),
+	.Y(n_58696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030332 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3108 ),
+	.B(n_77936),
+	.Y(n_58697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030333 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_278 ),
+	.B(n_58698),
+	.Y(n_58701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030335 (
+	.A(n_61941),
+	.B(n_25312),
+	.Y(n_58702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1030338 (
+	.A(n_25780),
+	.Y(n_58705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g103 (
+	.A(n_25780),
+	.B_N(n_61252),
+	.Y(n_58706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1030343 (
+	.A(n_58714),
+	.Y(n_58715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1030344 (
+	.A(n_78329),
+	.B(n_37938),
+	.C(n_55285),
+	.Y(n_58714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g1030345 (
+	.A(n_58716),
+	.B(n_12233),
+	.Y(n_58717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g1030346 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [5]),
+	.Y(n_58716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030347 (
+	.A(n_75812),
+	.B(n_58722),
+	.Y(n_58723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030351 (
+	.A(n_58721),
+	.B(n_58716),
+	.Y(n_58722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1030352 (
+	.A(n_75601),
+	.Y(n_58721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g1030364 (
+	.A(n_78302),
+	.Y(n_58735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030368 (
+	.A(n_53775),
+	.B(n_58741),
+	.Y(n_58742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1030369 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1429),
+	.B(n_53776),
+	.C(n_75706),
+	.Y(n_58741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030371 (
+	.A(n_62835),
+	.B(n_74437),
+	.Y(n_58745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1030373 (
+	.A(n_49441),
+	.B(soc_top_u_dccm_rdata2[5]),
+	.X(n_58743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030382 (
+	.A(n_58754),
+	.B(addinc_ADD_UNS_OP_2_n_2041),
+	.Y(n_58755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1030383 (
+	.A(addinc_ADD_UNS_OP_2_n_930),
+	.B(n_89920),
+	.Y(n_58754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030384 (
+	.A(n_58014),
+	.B(n_58756),
+	.Y(n_58757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030385 (
+	.A(n_39622),
+	.B(addinc_ADD_UNS_OP_2_n_109),
+	.Y(n_58756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030386 (
+	.A(n_38882),
+	.B(n_58759),
+	.Y(n_58760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1030387 (
+	.A(n_58758),
+	.Y(n_58759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030388 (
+	.A(n_23756),
+	.B(n_58582),
+	.Y(n_58758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_1 g1030389 (
+	.A(n_58759),
+	.B_N(addinc_ADD_UNS_OP_2_n_184),
+	.X(n_58761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g1030390 (
+	.A1(addinc_ADD_UNS_OP_2_n_186),
+	.A2(addinc_ADD_UNS_OP_2_n_2098),
+	.B1_N(n_58759),
+	.Y(n_58762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030391 (
+	.A(n_58763),
+	.B(n_55827),
+	.Y(n_58764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1030392 (
+	.A(addinc_ADD_UNS_OP_2_n_593),
+	.B(addinc_ADD_UNS_OP_2_n_1425),
+	.Y(n_58763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g1030407 (
+	.A(addinc_ADD_UNS_OP_2_n_928),
+	.B(n_75715),
+	.X(n_58780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1030410 (
+	.A(addinc_ADD_UNS_OP_2_n_1970),
+	.B(n_75715),
+	.X(addinc_ADD_UNS_OP_2_n_1629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1030412 (
+	.A(addinc_ADD_UNS_OP_2_n_923),
+	.B(n_86637),
+	.C(n_75715),
+	.Y(n_58784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030417 (
+	.A(n_74724),
+	.B(n_58789),
+	.Y(n_58790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030418 (
+	.A(n_59252),
+	.B(n_30935),
+	.Y(n_58789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1030423 (
+	.A(n_55180),
+	.B(n_58795),
+	.C(n_55181),
+	.Y(n_58796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1030424 (
+	.A(n_55824),
+	.B(n_55826),
+	.C(n_55827),
+	.Y(n_58795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030428 (
+	.A(n_78089),
+	.B(n_76865),
+	.Y(n_58800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030429 (
+	.A(n_58382),
+	.B(n_76865),
+	.Y(n_58801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030434 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_231),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_358),
+	.Y(n_58805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030440 (
+	.A(n_54538),
+	.B(n_63929),
+	.Y(n_58812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030441 (
+	.A(n_27813),
+	.B(n_58812),
+	.Y(n_58815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030443 (
+	.A(n_56964),
+	.B(n_58812),
+	.Y(n_58816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030445 (
+	.A(n_27628),
+	.B(FE_DBTN59_n_58812),
+	.Y(n_58820), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1030446 (
+	.A(n_54554),
+	.Y(n_27628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030449 (
+	.A(FE_DBTN95_n_27635),
+	.B(n_58491),
+	.Y(n_58821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030452 (
+	.A(FE_DBTN59_n_58812),
+	.B(n_57117),
+	.Y(n_58826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g87 (
+	.A(n_79716),
+	.B(n_40432),
+	.C(n_58827),
+	.Y(n_58828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g1030454 (
+	.A(n_54538),
+	.B(n_63929),
+	.C(n_39671),
+	.X(n_58827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030466 (
+	.A(n_56575),
+	.B(n_56572),
+	.Y(n_58838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1030472 (
+	.A(n_40060),
+	.B(n_58852),
+	.X(n_12958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1030473 (
+	.A1(n_58847),
+	.A2(n_58850),
+	.B1(n_84334),
+	.Y(n_58852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1030474 (
+	.A(n_16678),
+	.B(n_17765),
+	.Y(n_58847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1030475 (
+	.A(n_81730),
+	.Y(n_58850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1030478 (
+	.A(n_72423),
+	.B(n_40060),
+	.X(n_58854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1030482 (
+	.A(n_61252),
+	.B_N(n_17765),
+	.Y(n_58860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1030483 (
+	.A1(n_81731),
+	.A2(n_61252),
+	.B1(n_25543),
+	.Y(n_58861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030507 (
+	.A(n_17802),
+	.B(n_58890),
+	.Y(n_58891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030508 (
+	.A(n_58889),
+	.B(n_25555),
+	.Y(n_58890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030509 (
+	.A(n_75820),
+	.B(n_58322),
+	.Y(n_58889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_4 g1030514 (
+	.A(n_26597),
+	.B(n_58893),
+	.C(n_40056),
+	.D(n_34741),
+	.Y(n_58894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 fopt1030515 (
+	.A(n_58890),
+	.Y(n_58893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030516 (
+	.A(n_25473),
+	.B(n_58896),
+	.Y(n_58897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030517 (
+	.A(n_84334),
+	.B(n_75820),
+	.Y(n_58896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1030519 (
+	.A1(n_57529),
+	.A2(n_75820),
+	.B1(n_25080),
+	.B2(n_24487),
+	.X(n_58898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030537 (
+	.A(n_58919),
+	.B(n_53960),
+	.Y(n_58920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1030538 (
+	.A(n_63893),
+	.B(FE_DBTN65_n_58755),
+	.C(FE_DBTN71_n_79000),
+	.Y(n_58919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030540 (
+	.A(n_58468),
+	.B(n_58921),
+	.Y(n_58922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1030541 (
+	.A(n_63893),
+	.Y(n_58921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030542 (
+	.A(n_58397),
+	.B(n_58921),
+	.Y(n_58923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030543 (
+	.A(n_58921),
+	.B(n_56490),
+	.Y(n_58924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1030544 (
+	.A(FE_DBTN19_n_78517),
+	.B(n_78516),
+	.C(n_55539),
+	.Y(n_58928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030546 (
+	.A(n_80178),
+	.B(n_89672),
+	.Y(n_58926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030549 (
+	.A(n_58926),
+	.B(n_80121),
+	.Y(n_58930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030550 (
+	.A(n_37944),
+	.B(n_58932),
+	.Y(n_58933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1030551 (
+	.A(n_58931),
+	.B(n_53655),
+	.C(n_37938),
+	.Y(n_58932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1030552 (
+	.A(n_78329),
+	.B(n_55285),
+	.X(n_58931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030553 (
+	.A(addinc_ADD_UNS_OP_2_n_477),
+	.B(n_58932),
+	.Y(n_58934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030554 (
+	.A(n_75764),
+	.B(n_58932),
+	.Y(n_58935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1030579 (
+	.A(n_58962),
+	.Y(n_58963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1030580 (
+	.A(n_46315),
+	.B(n_58961),
+	.Y(n_58962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1030581 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_299),
+	.Y(n_46315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030582 (
+	.A(n_58960),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_265),
+	.Y(n_58961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030583 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_80),
+	.B(n_38065),
+	.Y(n_58960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030584 (
+	.A(n_58961),
+	.B(n_46315),
+	.Y(n_46319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1030594 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1727),
+	.B(n_58974),
+	.C(n_58975),
+	.Y(n_58976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030595 (
+	.A(n_76599),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1736),
+	.Y(n_58974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1030596 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1248),
+	.B_N(WALLACE_CSA_DUMMY_OP_groupi_n_1241),
+	.Y(n_58975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030597 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1727),
+	.B(n_75694),
+	.Y(n_58978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g356 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1759),
+	.B(n_58981),
+	.Y(n_58982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g1030600 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_1736),
+	.A2(n_76599),
+	.B1_N(WALLACE_CSA_DUMMY_OP_groupi_n_1241),
+	.Y(n_58981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030601 (
+	.A(n_80163),
+	.B(n_57797),
+	.Y(n_58985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030606 (
+	.A(n_80115),
+	.B(n_87553),
+	.Y(n_58990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030609 (
+	.A(n_55817),
+	.B(n_80115),
+	.Y(n_58991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030611 (
+	.A(n_80237),
+	.B(n_55552),
+	.Y(n_58996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030615 (
+	.A(n_46644),
+	.B(n_80238),
+	.Y(n_58997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1030616 (
+	.A(n_80238),
+	.Y(n_58998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1030619 (
+	.A(n_58999),
+	.B(n_89031),
+	.C(n_87864),
+	.Y(addinc_ADD_UNS_OP_2_n_2073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1030620 (
+	.A(n_89034),
+	.Y(n_58999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 fopt1030622 (
+	.A(addinc_ADD_UNS_OP_2_n_2073),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030623 (
+	.A(n_25327),
+	.B(n_60474),
+	.Y(n_59011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1030627 (
+	.A(n_63543),
+	.Y(n_59006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1030628 (
+	.A(n_63542),
+	.Y(n_59007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030633 (
+	.A(n_59014),
+	.B(FE_DBTN96_n_57702),
+	.Y(n_59015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030634 (
+	.A(n_28028),
+	.B(n_27908),
+	.Y(n_59014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030637 (
+	.A(n_59020),
+	.B(n_27301),
+	.Y(n_59021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1030638 (
+	.A(n_46730),
+	.B(n_59019),
+	.Y(n_59020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1030639 (
+	.A(n_40066),
+	.B(n_61059),
+	.Y(n_59019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030641 (
+	.A(n_59022),
+	.B(n_58306),
+	.Y(n_59023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030642 (
+	.A(n_28143),
+	.B(n_80113),
+	.Y(n_59022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030646 (
+	.A(n_57370),
+	.B(n_57413),
+	.Y(n_59032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1030648 (
+	.A(n_57413),
+	.Y(n_59030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_2 g1030654 (
+	.A1(n_80113),
+	.A2(n_54757),
+	.A3(FE_DBTN96_n_57702),
+	.B1(FE_DBTN70_n_87553),
+	.Y(n_59038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1030655 (
+	.A(n_36944),
+	.Y(n_54757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1030660 (
+	.A(n_84232),
+	.B(n_55634),
+	.C(n_49086),
+	.Y(n_59044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030663 (
+	.A(n_59045),
+	.B(n_59431),
+	.Y(n_59046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g41_1030664 (
+	.A(n_55634),
+	.B(n_84232),
+	.C(n_49086),
+	.Y(n_59045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1030666 (
+	.A(n_55634),
+	.B(n_84232),
+	.C(n_49086),
+	.Y(n_59047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1030667 (
+	.A(n_62848),
+	.B(n_62843),
+	.C(n_59049),
+	.Y(n_59050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030668 (
+	.A(FE_DBTN27_n_77115),
+	.B(n_90528),
+	.Y(n_59049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030669 (
+	.A(n_59051),
+	.B(n_59050),
+	.Y(n_59052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1030670 (
+	.A(n_60358),
+	.Y(n_59051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g49 (
+	.A(n_38268),
+	.B(n_59050),
+	.Y(n_59053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030676 (
+	.A(n_59061),
+	.B(n_75606),
+	.Y(n_59062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030677 (
+	.A(n_86405),
+	.B(n_59060),
+	.Y(n_59061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030680 (
+	.A(n_23676),
+	.B(n_48308),
+	.Y(n_59060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030688 (
+	.A(n_78222),
+	.B(n_46646),
+	.Y(n_59077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030691 (
+	.A(n_50012),
+	.B(n_50013),
+	.Y(n_59073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g1030692 (
+	.A(n_27881),
+	.Y(n_50012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030697 (
+	.A(n_80415),
+	.B(n_23589),
+	.Y(n_59083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030702 (
+	.A(n_59085),
+	.B(n_90600),
+	.Y(n_53333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030704 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_275 ),
+	.B(n_90595),
+	.Y(n_59085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1030708 (
+	.A(n_59089),
+	.Y(n_59090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g67_0 (
+	.A(n_59085),
+	.B(n_90600),
+	.Y(n_59089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030711 (
+	.A(n_76652),
+	.B(n_59089),
+	.Y(n_59094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030715 (
+	.A(n_57240),
+	.B(n_59089),
+	.Y(n_59099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030716 (
+	.A(n_59100),
+	.B(n_57612),
+	.Y(n_59102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030717 (
+	.A(n_57652),
+	.B(n_84334),
+	.Y(n_59100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_2 g1030719 (
+	.A(n_59103),
+	.B(n_75566),
+	.C(n_77860),
+	.X(n_59105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1030720 (
+	.A(n_17023),
+	.B(n_81267),
+	.Y(n_59103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030722 (
+	.A(n_59107),
+	.B(n_59109),
+	.Y(n_59110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1030723 (
+	.A1(n_75566),
+	.A2(n_77860),
+	.B1(n_57067),
+	.Y(n_59107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1030725 (
+	.A1(n_59108),
+	.A2(n_81267),
+	.B1(n_23511),
+	.X(n_59109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g254 (
+	.A_N(n_17023),
+	.B(n_57067),
+	.Y(n_59108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030726 (
+	.A(n_28566),
+	.B(n_59112),
+	.Y(n_59113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030727 (
+	.A(n_28405),
+	.B(FE_DBTN70_n_87553),
+	.Y(n_59112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030732 (
+	.A(FE_DBTN74_n_58492),
+	.B(n_59120),
+	.Y(n_59121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1030733 (
+	.A(n_59119),
+	.Y(n_59120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1030734 (
+	.A(n_59117),
+	.B(n_50569),
+	.C(n_39671),
+	.Y(n_59119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1030735 (
+	.A(n_27347),
+	.Y(n_59117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030739 (
+	.A(FE_DBTN92_n_27674),
+	.B(n_59120),
+	.Y(n_59124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030740 (
+	.A(n_59120),
+	.B(n_27667),
+	.Y(n_59125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g105_1 (
+	.A(n_59128),
+	.B(n_28360),
+	.C(n_78243),
+	.Y(n_59129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g106 (
+	.A(n_59127),
+	.B(n_86168),
+	.Y(n_59128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1030744 (
+	.A(n_59126),
+	.Y(n_59127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030745 (
+	.A(n_78233),
+	.B(n_87553),
+	.Y(n_59126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030746 (
+	.A(n_59129),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2606 ),
+	.Y(n_59133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030758 (
+	.A(n_88114),
+	.B(n_78271),
+	.Y(n_59145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030759 (
+	.A(n_78041),
+	.B(n_41730),
+	.Y(n_41733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030761 (
+	.A(n_59145),
+	.B(n_23763),
+	.Y(n_59146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030772 (
+	.A(n_58383),
+	.B(n_53856),
+	.Y(n_59157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030773 (
+	.A(n_58382),
+	.B(n_59157),
+	.Y(n_59161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1030775 (
+	.A(n_59932),
+	.B(n_59157),
+	.X(n_59162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1030776 (
+	.A(n_28638),
+	.B(n_59164),
+	.C(n_55516),
+	.Y(n_59165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030777 (
+	.A(n_59163),
+	.B(n_75448),
+	.Y(n_59164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030778 (
+	.A(n_28478),
+	.B(n_28051),
+	.Y(n_59163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030779 (
+	.A(n_28638),
+	.B(n_59164),
+	.Y(n_59166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1030780 (
+	.A(n_28638),
+	.B(n_55509),
+	.C(n_59164),
+	.Y(n_59167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030781 (
+	.A(n_59163),
+	.B(n_27548),
+	.Y(n_59168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030787 (
+	.A(n_61669),
+	.B(FE_DBTN5_n_88141),
+	.Y(n_59178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030791 (
+	.A(n_30988),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2737 ),
+	.Y(n_59174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030794 (
+	.A(n_59183),
+	.B(n_44420),
+	.Y(n_59184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030795 (
+	.A(n_59182),
+	.B(n_27915),
+	.Y(n_59183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1030796 (
+	.A(n_59181),
+	.Y(n_59182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030797 (
+	.A(n_27835),
+	.B(n_49079),
+	.Y(n_59181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030798 (
+	.A(n_59183),
+	.B(n_57702),
+	.Y(n_59185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030799 (
+	.A(n_59183),
+	.B(n_27717),
+	.Y(n_59186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030800 (
+	.A(n_57207),
+	.B(n_57208),
+	.Y(n_59187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030801 (
+	.A(n_41693),
+	.B(n_59192),
+	.Y(n_59193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1030802 (
+	.A(n_57207),
+	.B(n_57208),
+	.C(n_58023),
+	.Y(n_59192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 fopt1030806 (
+	.A(n_56608),
+	.Y(n_58023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1030807 (
+	.A(n_59196),
+	.Y(n_59197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030808 (
+	.A(n_59194),
+	.B(n_59195),
+	.Y(n_59196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030809 (
+	.A(n_59187),
+	.B(n_56608),
+	.Y(n_59194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1030810 (
+	.A(n_57207),
+	.B(n_57208),
+	.C(n_58023),
+	.Y(n_59195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 fopt1030812 (
+	.A(n_59195),
+	.Y(n_59198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030815 (
+	.A(n_90570),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2601 ),
+	.Y(n_59200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030818 (
+	.A(n_57899),
+	.B(n_57900),
+	.Y(n_59203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030833 (
+	.A(n_53967),
+	.B(n_59221),
+	.Y(n_59222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_8 g1030834 (
+	.A(n_63529),
+	.B(n_79609),
+	.Y(n_59221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030839 (
+	.A(n_54376),
+	.B(n_78330),
+	.Y(n_59224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030843 (
+	.A(n_53963),
+	.B(n_90560),
+	.Y(n_59227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1030844 (
+	.A(FE_DBTN55_n_78653),
+	.B(n_78652),
+	.C(n_60105),
+	.Y(n_59231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030851 (
+	.A(n_61560),
+	.B(n_49289),
+	.Y(n_59238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030853 (
+	.A(n_59238),
+	.B(FE_DBTN59_n_58812),
+	.Y(n_59240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030857 (
+	.A(n_60537),
+	.B(n_75410),
+	.Y(n_59245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030865 (
+	.A(n_55001),
+	.B(n_78818),
+	.Y(n_59252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030879 (
+	.A(n_80270),
+	.B(n_57494),
+	.Y(n_59268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030881 (
+	.A(n_80272),
+	.B(n_87553),
+	.Y(n_59266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030887 (
+	.A(n_53881),
+	.B(n_59275),
+	.Y(n_53846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030888 (
+	.A(n_59274),
+	.B(n_51570),
+	.Y(n_59275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030889 (
+	.A(n_28532),
+	.B(n_78652),
+	.Y(n_59274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030891 (
+	.A(n_28532),
+	.B(n_78652),
+	.Y(n_59277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1030894 (
+	.A(n_39867),
+	.Y(n_59284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_4 g1030895 (
+	.A1(FE_DBTN70_n_87553),
+	.A2(n_78225),
+	.B1(n_55285),
+	.C1(n_59282),
+	.X(n_39867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030897 (
+	.A(n_78225),
+	.B(n_80121),
+	.Y(n_59282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1030898 (
+	.A(n_42815),
+	.B(n_28580),
+	.C(n_59285),
+	.Y(n_59286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1030899 (
+	.A(n_78225),
+	.Y(n_59285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030900 (
+	.A(n_59287),
+	.B(n_76640),
+	.Y(n_59288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030901 (
+	.A(n_78225),
+	.B(n_64035),
+	.Y(n_59287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030902 (
+	.A(n_71925),
+	.B(n_57460),
+	.Y(n_59290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030904 (
+	.A(n_59292),
+	.B(n_57163),
+	.Y(n_59293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1030905 (
+	.A(n_84227),
+	.B(n_38902),
+	.C(n_59291),
+	.Y(n_59292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030906 (
+	.A(FE_DBTN91_n_27677),
+	.B(n_51101),
+	.Y(n_59291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030907 (
+	.A(n_27812),
+	.B(n_59292),
+	.Y(n_59296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030909 (
+	.A(n_59292),
+	.B(n_53323),
+	.Y(n_59297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030910 (
+	.A(n_27803),
+	.B(n_59292),
+	.Y(n_59298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1030911 (
+	.A(FE_DBTN70_n_87553),
+	.B(n_59292),
+	.Y(n_59299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1030917 (
+	.A(n_59304),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_332),
+	.C(WALLACE_CSA_DUMMY_OP_groupi_n_245),
+	.Y(n_54527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030918 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_13),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_15),
+	.Y(n_59304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1030919 (
+	.A(n_54527),
+	.B(n_76867),
+	.X(n_59310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030923 (
+	.A(n_54527),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_797),
+	.Y(n_59311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030924 (
+	.A(n_59314),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_18),
+	.Y(n_59315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 fopt1030925 (
+	.A(n_76845),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_18), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1030926 (
+	.A(n_79530),
+	.Y(n_59314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030928 (
+	.A(n_59314),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_18),
+	.Y(n_59316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030931 (
+	.A(n_76845),
+	.B(n_79530),
+	.Y(n_53769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt1030934 (
+	.A(n_59316),
+	.Y(n_59322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 g1030937 (
+	.A1(FE_DBTN85_n_59637),
+	.A2(n_27614),
+	.B1(n_27831),
+	.Y(n_59323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1030938 (
+	.A(n_59330),
+	.Y(n_59331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030939 (
+	.A(n_59326),
+	.B(n_80353),
+	.Y(n_59330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt1030940 (
+	.A(n_28257),
+	.Y(n_59326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030942 (
+	.A(n_80335),
+	.B(n_59327),
+	.Y(n_59328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030943 (
+	.A(n_59047),
+	.B(FE_DBTN96_n_57702),
+	.Y(n_59327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030944 (
+	.A(n_59328),
+	.B(n_27591),
+	.Y(n_59332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030945 (
+	.A(n_59328),
+	.B(n_46644),
+	.Y(n_59333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030946 (
+	.A(n_59328),
+	.B(n_80113),
+	.Y(n_59334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1030948 (
+	.A(n_80335),
+	.B(n_59327),
+	.C(n_87553),
+	.Y(n_59336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1030953 (
+	.A_N(n_75444),
+	.B(n_59346),
+	.Y(n_59347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g206 (
+	.A(n_59721),
+	.B(n_38500),
+	.Y(n_59341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1030955 (
+	.A1(n_25121),
+	.A2(n_23998),
+	.B1(n_22745),
+	.Y(n_59343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g203 (
+	.A1(n_35040),
+	.A2(\soc_top_timer_to_xbar[d_data] [8]),
+	.B1(n_17826),
+	.B2(\soc_top_uart_to_xbar[d_data] [8]),
+	.C1(n_19621),
+	.Y(n_59346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030957 (
+	.A(n_59348),
+	.B(n_59349),
+	.Y(n_59350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030958 (
+	.A(n_38823),
+	.B(n_59347),
+	.Y(n_59348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g205 (
+	.A(n_39587),
+	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_q[24]),
+	.Y(n_59349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030959 (
+	.A(n_59347),
+	.B(n_77594),
+	.Y(n_59352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41o_1 g1030961 (
+	.A1(n_25353),
+	.A2(n_59346),
+	.A3(n_59721),
+	.A4(n_38500),
+	.B1(n_59355),
+	.X(n_59356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1030962 (
+	.A1(n_59354),
+	.A2(n_59343),
+	.B1(n_18365),
+	.Y(n_59355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1030963 (
+	.A(n_59346),
+	.Y(n_59354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030964 (
+	.A(n_59364),
+	.B(n_55285),
+	.Y(n_59365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030965 (
+	.A(n_63913),
+	.B(n_80121),
+	.Y(n_59364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt152 (
+	.A(n_63913),
+	.Y(n_59366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030973 (
+	.A(n_53963),
+	.B(n_80121),
+	.Y(n_59368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g1030974 (
+	.A(n_59370),
+	.Y(n_59371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1030975 (
+	.A_N(n_80120),
+	.B(n_80113),
+	.Y(n_59370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030976 (
+	.A(n_61595),
+	.B(n_80121),
+	.Y(n_59372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1030993 (
+	.A(n_59434),
+	.B(n_64035),
+	.Y(n_59399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1030995 (
+	.A(n_55254),
+	.B(FE_DBTN14_n_80113),
+	.Y(n_59396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030997 (
+	.A(n_59434),
+	.B(n_27408),
+	.Y(n_59400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1030998 (
+	.A(n_78284),
+	.B(n_42410),
+	.Y(n_59408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1031001 (
+	.A(n_46257),
+	.B(n_76725),
+	.Y(n_46259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1031010 (
+	.A(n_54464),
+	.B(n_59418),
+	.Y(n_59419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g137 (
+	.A(n_59416),
+	.B(n_85508),
+	.Y(n_59418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1031011 (
+	.A(n_59415),
+	.Y(n_59416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1031012 (
+	.A(n_77202),
+	.B(n_59413),
+	.Y(n_59415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1031013 (
+	.A(n_57519),
+	.B(n_29556),
+	.Y(n_59413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1031017 (
+	.A(n_85508),
+	.B(n_59416),
+	.Y(n_59421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1031020 (
+	.A(n_57886),
+	.B(n_59413),
+	.Y(n_59424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1031021 (
+	.A(n_85508),
+	.B(n_77202),
+	.Y(n_59425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_12 g1031026 (
+	.A(n_59430),
+	.Y(n_59431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1031027 (
+	.A(n_80113),
+	.B(n_57702),
+	.Y(n_59430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1031028 (
+	.A(n_59396),
+	.B(n_59433),
+	.Y(n_59434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1031029 (
+	.A(n_59431),
+	.B(n_59432),
+	.Y(n_59433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g83_0 (
+	.A(n_80708),
+	.B(n_80709),
+	.C(n_80710),
+	.Y(n_59432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1031032  (
+	.A(n_59437),
+	.B(n_90623),
+	.Y(n_59438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1031033  (
+	.A(n_73720),
+	.B(n_59436),
+	.Y(n_59437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1031035  (
+	.A(n_79096),
+	.B(FE_DBTN20_n_79311),
+	.Y(n_59436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1031036  (
+	.A(n_59437),
+	.B(FE_DBTN72_n_90623),
+	.Y(n_59439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1031056 (
+	.A(n_30785),
+	.B(n_12865),
+	.Y(n_59460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1031057 (
+	.A1(n_70340),
+	.A2(n_44978),
+	.B1(n_42604),
+	.Y(n_59464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1031060 (
+	.A(n_12865),
+	.B(n_15510),
+	.Y(n_59461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1031061 (
+	.A(n_70340),
+	.B(n_70995),
+	.Y(n_59465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1031065 (
+	.A(n_13306),
+	.B(n_70340),
+	.Y(n_59469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1031066 (
+	.A(n_70340),
+	.Y(n_59470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1031073 (
+	.A(n_70340),
+	.B(n_30769),
+	.Y(n_59477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1031075 (
+	.A(n_70340),
+	.B(n_30763),
+	.Y(n_59479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g1031077 (
+	.A(n_70340),
+	.B(n_30778),
+	.X(n_59481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1031078 (
+	.A(n_36558),
+	.B(n_70340),
+	.Y(n_59482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1031088 (
+	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[26]),
+	.A1(n_75856),
+	.S(n_1494),
+	.X(n_59496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1031100  (
+	.A1(n_26653),
+	.A2(n_77245),
+	.B1(n_31084),
+	.B2(n_59502),
+	.X(n_59504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1031101  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_413 ),
+	.A2(n_77245),
+	.B1(n_31082),
+	.B2(n_59502),
+	.X(n_59505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1031102  (
+	.A(n_77245),
+	.B(n_31066),
+	.Y(n_59507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1031104  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3719 ),
+	.B(n_77245),
+	.Y(n_59508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1031105  (
+	.A(n_77245),
+	.B(n_85865),
+	.Y(n_59509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1031106  (
+	.A(n_77245),
+	.B(FE_DBTN53_n_60824),
+	.Y(n_59510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1031107  (
+	.A(n_77245),
+	.B(n_31076),
+	.X(n_59511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1031109  (
+	.A(n_77245),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_376 ),
+	.Y(n_59513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1031110  (
+	.A(n_38034),
+	.B(n_77245),
+	.Y(n_59514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1031116  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1076 ),
+	.A2(n_59645),
+	.B1(n_59643),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_883 ),
+	.Y(n_59521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1031145 (
+	.A(n_59481),
+	.B(n_15598),
+	.Y(n_59549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g1031147  (
+	.A(n_87768),
+	.B(n_87772),
+	.X(n_59554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1031161  (
+	.A1(n_45921),
+	.A2(n_58731),
+	.B1(n_78315),
+	.B2(FE_DBTN60_n_58731),
+	.X(n_58664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g1031168 (
+	.A(n_78875),
+	.B(n_78872),
+	.Y(n_59575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g1031170 (
+	.A(n_44965),
+	.B(n_78875),
+	.Y(n_59578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1031182  (
+	.A(n_61239),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_218 ),
+	.Y(n_59589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1031183  (
+	.A_N(n_61239),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_132 ),
+	.C(n_70605),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_69 ),
+	.Y(n_59590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g1031184  (
+	.A(n_75516),
+	.B_N(n_61239),
+	.Y(n_59591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g1031185  (
+	.A_N(n_61239),
+	.B(n_75516),
+	.Y(n_59592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g1031186  (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
+	.A2_N(n_85098),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
+	.B2(n_61239),
+	.Y(n_59593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1031188 (
+	.A(n_75516),
+	.B(n_61239),
+	.X(n_59595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1031189 (
+	.A1(n_76866),
+	.A2(n_61239),
+	.B1(n_7626),
+	.B2(n_11931),
+	.C1(n_9103),
+	.X(n_59596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1031190 (
+	.A1(n_9791),
+	.A2(soc_top_u_top_u_core_alu_operand_b_ex[10]),
+	.B1(n_2590),
+	.B2(n_61239),
+	.C1(n_11240),
+	.Y(n_59598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1031192 (
+	.A1(n_16016),
+	.A2(n_61239),
+	.B1(n_29790),
+	.Y(n_59599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g1031205  (
+	.A1(n_74692),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [3]),
+	.B1(n_78034),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_2 ),
+	.C1(n_71730),
+	.Y(n_59612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g1031206  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_2 ),
+	.A2(n_81728),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [3]),
+	.B2(n_74692),
+	.Y(n_59613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1031208 (
+	.A1(n_10063),
+	.A2(n_74692),
+	.B1(n_11697),
+	.Y(n_59616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1031212 (
+	.A(n_74692),
+	.B(n_41953),
+	.Y(n_59619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1031215 (
+	.A1(n_84334),
+	.A2(n_79280),
+	.B1(n_25507),
+	.X(n_59622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1031219 (
+	.A(n_59622),
+	.B(n_71622),
+	.Y(n_59626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1031220 (
+	.A(n_15805),
+	.B(n_59622),
+	.C(n_15713),
+	.Y(n_59627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1031221 (
+	.A(n_48960),
+	.B(n_59622),
+	.Y(n_59628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1031223 (
+	.A(n_59622),
+	.B(n_268),
+	.Y(n_59630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1031224 (
+	.A(n_2590),
+	.B(n_59622),
+	.Y(n_59631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1031225 (
+	.A1(n_59622),
+	.A2(n_75441),
+	.B1(n_48960),
+	.B2(n_9877),
+	.C1(n_77406),
+	.C2(n_7140),
+	.Y(n_59632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1031228 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_84 ),
+	.A2(n_73627),
+	.B1(n_59622),
+	.Y(n_59635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g1031229 (
+	.A(n_59622),
+	.B(n_40712),
+	.C(n_61021),
+	.D(n_91432),
+	.X(n_59636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g1031230 (
+	.A(n_27284),
+	.B(FE_DBTN113_n_35471),
+	.Y(n_59637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1031233 (
+	.A(n_59637),
+	.B(n_61015),
+	.Y(n_59639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1031236  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_808 ),
+	.Y(n_59643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1031237  (
+	.A(n_59645),
+	.Y(n_59646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1031238  (
+	.A(n_59643),
+	.B(n_59644),
+	.Y(n_59645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1031239  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_753 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_739 ),
+	.Y(n_59644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1031240  (
+	.A1(n_26653),
+	.A2(n_59645),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1099 ),
+	.B2(n_59643),
+	.Y(n_59647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1031241  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_896 ),
+	.A2(n_59645),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3614 ),
+	.B2(n_59643),
+	.Y(n_59648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1031242  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3608 ),
+	.A2(n_59645),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1117 ),
+	.B2(n_59643),
+	.Y(n_59649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1031243  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1084 ),
+	.A2(n_59645),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1136 ),
+	.B2(n_59643),
+	.Y(n_59650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1031244  (
+	.A1(n_39965),
+	.A2(n_59645),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_853 ),
+	.B2(n_59643),
+	.Y(n_59652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1031246  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_928 ),
+	.A2(n_59645),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_838 ),
+	.B2(n_59643),
+	.Y(n_59653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1031247  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_955 ),
+	.A2(n_59645),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_905 ),
+	.B2(n_59643),
+	.Y(n_59654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1031248  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_869 ),
+	.A2(n_59645),
+	.B1(n_59643),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1089 ),
+	.Y(n_59655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1031249  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3614 ),
+	.A2(n_59645),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_928 ),
+	.B2(n_59643),
+	.Y(n_59656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1031250  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_838 ),
+	.A2(n_59645),
+	.B1(n_59643),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_976 ),
+	.Y(n_59657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1031251  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1068 ),
+	.A2(n_59645),
+	.B1(n_39965),
+	.B2(n_59643),
+	.Y(n_59658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1031252  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1136 ),
+	.A2(n_59645),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_907 ),
+	.B2(n_59643),
+	.Y(n_59659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1031253  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1149 ),
+	.A2(n_59645),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_916 ),
+	.B2(n_59643),
+	.Y(n_59660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1031254  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1111 ),
+	.A2(n_59645),
+	.B1(n_59643),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3608 ),
+	.Y(n_59661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1031255  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_905 ),
+	.A2(n_59645),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1068 ),
+	.B2(n_59643),
+	.Y(n_59662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1031257  (
+	.A(n_59645),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_962 ),
+	.Y(n_59664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1031261  (
+	.A(n_63288),
+	.B(n_59667),
+	.Y(n_59668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1031263  (
+	.A(FE_DBTN78_n_81343),
+	.B(n_57840),
+	.Y(n_59667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1031265 (
+	.A(n_59252),
+	.Y(n_59672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1031266 (
+	.A1(n_59252),
+	.A2(n_75109),
+	.B1(n_48537),
+	.Y(n_59675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1031269 (
+	.A(n_59252),
+	.B(n_30901),
+	.Y(n_59676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1031270 (
+	.A(n_59252),
+	.B(n_30906),
+	.Y(n_59678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1031276 (
+	.A(n_59252),
+	.B(n_37542),
+	.Y(n_59684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1031278 (
+	.A(n_59252),
+	.B(n_30926),
+	.Y(n_59685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1031280 (
+	.A(n_56956),
+	.B(n_59252),
+	.Y(n_59687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1031281 (
+	.A(n_31112),
+	.B(n_59252),
+	.Y(n_59688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1031284  (
+	.A(n_75771),
+	.Y(n_59690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1031286  (
+	.A1(FE_DBTN8_n_84026),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_330 ),
+	.B1_N(n_59690),
+	.X(n_59694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g1031289 (
+	.A(n_90584),
+	.Y(n_59699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1031292 (
+	.A(n_15411),
+	.B(n_13238),
+	.Y(n_59697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1031295 (
+	.A(n_15443),
+	.B(n_90584),
+	.Y(n_59702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1031296 (
+	.A(n_62295),
+	.B(n_90584),
+	.Y(n_59703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g1031313 (
+	.A(n_47758),
+	.B(n_78545),
+	.C(soc_top_u_dccm_rdata1[20]),
+	.D(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.Y(n_59720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g1031315 (
+	.A(soc_top_u_dccm_bank_sel[0]),
+	.B(n_24879),
+	.C(soc_top_u_dccm_rdata2[8]),
+	.D(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.Y(n_59721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_4 g1031318 (
+	.A_N(soc_top_u_dccm_bank_sel[0]),
+	.B(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.C(n_24879),
+	.Y(n_59724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g1031322 (
+	.A1(n_63542),
+	.A2(n_63543),
+	.B1(soc_top_u_dccm_rdata2[18]),
+	.C1(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.D1(soc_top_u_dccm_bank_sel[0]),
+	.X(n_59728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1031537 (
+	.A(n_79637),
+	.Y(n_59923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1031542 (
+	.A(n_59157),
+	.B(n_80340),
+	.C(n_78089),
+	.Y(n_59929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g1031546 (
+	.A_N(n_78089),
+	.B(n_58382),
+	.Y(n_59932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1031651 (
+	.A(n_60026),
+	.B(n_79175),
+	.Y(n_60027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1031652 (
+	.A(n_60025),
+	.Y(n_60026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1031653 (
+	.A(n_57022),
+	.B(n_58077),
+	.Y(n_60025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_4 g1031655 (
+	.A1(n_20203),
+	.A2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [4]),
+	.B1(n_22791),
+	.X(n_60029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1031656 (
+	.A(n_35024),
+	.B(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [4]),
+	.X(n_60030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1031662 (
+	.A(n_40564),
+	.B(n_40565),
+	.Y(n_60035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1031714 (
+	.A_N(n_46730),
+	.B(n_39666),
+	.Y(n_60086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_4 g1031715 (
+	.A1(n_38889),
+	.A2(n_57529),
+	.B1(n_60088),
+	.Y(n_60089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1031716 (
+	.A1(n_24601),
+	.A2(n_24603),
+	.B1(n_24487),
+	.X(n_60088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1031717 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3129 ),
+	.B(n_54557),
+	.Y(n_60090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1031718 (
+	.A(n_88113),
+	.B(n_88115),
+	.Y(n_60092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt1031720 (
+	.A(n_39008),
+	.Y(n_39007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1031721 (
+	.A(n_87509),
+	.B(n_57300),
+	.Y(n_39008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1031728 (
+	.A(n_60105),
+	.Y(n_60106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1031729 (
+	.A1(n_60100),
+	.A2(n_60103),
+	.B1(n_60104),
+	.X(n_60105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1031730 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2581 ),
+	.Y(n_60100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1031731 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2401 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2526 ),
+	.Y(n_60103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1031734 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2526 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2401 ),
+	.Y(n_60104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_2 g1031735 (
+	.A1(n_74988),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2591 ),
+	.A3(n_60111),
+	.B1(n_60113),
+	.B2(n_60114),
+	.X(n_60115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1031736 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2580 ),
+	.Y(n_60107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1031738 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2440 ),
+	.Y(n_60108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g212 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2580 ),
+	.B(n_60108),
+	.Y(n_60111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1031739 (
+	.A(n_74988),
+	.B(n_60111),
+	.Y(n_60113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1031741 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2591 ),
+	.Y(n_60114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1031743 (
+	.A(FE_DBTN55_n_78653),
+	.B(n_78652),
+	.Y(n_60122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1031755 (
+	.A(n_60107),
+	.B(n_60108),
+	.Y(n_60133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1031821 (
+	.A(n_12474),
+	.B(n_12514),
+	.Y(n_60265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1031823 (
+	.A(n_36659),
+	.B(FE_DBTN158_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_leading_zero_count_0),
+	.C(n_12476),
+	.Y(n_60268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1031824 (
+	.A(n_41677),
+	.B(n_41676),
+	.Y(n_60269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1031827 (
+	.A(n_74848),
+	.B(n_60274),
+	.Y(n_60275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_4 g1031829 (
+	.A(n_60273),
+	.B_N(n_73723),
+	.Y(n_60274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1031830 (
+	.A(n_12594),
+	.B(n_12586),
+	.Y(n_60273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1031831 (
+	.A(n_60278),
+	.Y(n_60279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1031832 (
+	.A(n_60276),
+	.B(n_60277),
+	.Y(n_60278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1031833 (
+	.A(n_55377),
+	.B(n_12637),
+	.Y(n_60276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1031834 (
+	.A1(n_12454),
+	.A2(n_91441),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_lzc_zeroes ),
+	.X(n_60277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1031892 (
+	.A(n_77123),
+	.B(n_77625),
+	.Y(n_60358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1031914 (
+	.A(n_71628),
+	.B(n_60379),
+	.Y(n_60380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g425 (
+	.A(n_60377),
+	.B(n_60378),
+	.Y(n_60379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g426 (
+	.A(n_60375),
+	.B(n_60376),
+	.Y(n_60377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g432 (
+	.A(n_26922),
+	.B(FE_DBTN42_n_64880),
+	.Y(n_60375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g427 (
+	.A(FE_DBTN42_n_64880),
+	.B(n_26922),
+	.C(n_64644),
+	.Y(n_60378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g434 (
+	.A(n_26979),
+	.B(n_58347),
+	.Y(n_60382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1031919 (
+	.A(n_60409),
+	.B(FE_DBTN60_n_58731),
+	.Y(n_60412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1031920 (
+	.A(n_60408),
+	.B(n_60404),
+	.Y(n_60409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1031921 (
+	.A(n_60403),
+	.Y(n_60404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1031922 (
+	.A(n_60401),
+	.B(n_60402),
+	.Y(n_60403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1031923 (
+	.A(n_83983),
+	.B(n_59675),
+	.Y(n_60401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1031924 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2492 ),
+	.B(n_64238),
+	.Y(n_60402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1031925 (
+	.A(n_60407),
+	.Y(n_60408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1031926 (
+	.A(n_63002),
+	.B(n_79500),
+	.Y(n_60407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1031930 (
+	.A(n_12801),
+	.B(n_58728),
+	.Y(n_58731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1031931 (
+	.A(n_60404),
+	.B(n_60408),
+	.Y(n_60413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1031935 (
+	.A(n_60424),
+	.B(n_79311),
+	.Y(n_60427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1031936 (
+	.A(n_60422),
+	.B(n_64223),
+	.Y(n_60424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1031937 (
+	.A(n_60417),
+	.B(n_81343),
+	.Y(n_60422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1031938 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_581 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_540 ),
+	.Y(n_60417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1031948 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1770 ),
+	.B(n_60436),
+	.C(n_75485),
+	.Y(n_60437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1031949 (
+	.A(n_78176),
+	.B(n_60435),
+	.Y(n_60436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1031951 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1579 ),
+	.B(FE_DBTN72_n_90623),
+	.Y(n_60429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1031953 (
+	.A(FE_DBTN54_n_78756),
+	.B(n_74951),
+	.Y(n_40850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1031958 (
+	.A(n_60448),
+	.Y(n_60449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1031959 (
+	.A_N(n_78796),
+	.B(n_60447),
+	.Y(n_60448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1031961 (
+	.A(n_60445),
+	.B(n_79267),
+	.Y(n_60447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1031962 (
+	.A(n_60442),
+	.B(FE_DBTN54_n_78756),
+	.Y(n_60445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1031963 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1591 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1737 ),
+	.Y(n_60442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1031975 (
+	.A(n_75720),
+	.B(n_59399),
+	.Y(n_60459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1031978 (
+	.A(n_90664),
+	.B(n_60462),
+	.Y(n_60463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1031980 (
+	.A(n_15459),
+	.Y(n_60460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1031981 (
+	.A(n_62295),
+	.B(n_59702),
+	.Y(n_60462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1031982 (
+	.A1(n_78796),
+	.A2(n_71549),
+	.B1(n_60466),
+	.Y(n_60467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1031983 (
+	.A(n_54865),
+	.B(n_60465),
+	.Y(n_60466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1031984 (
+	.A(n_58366),
+	.B(n_54867),
+	.Y(n_60465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1031985 (
+	.A(n_60473),
+	.Y(n_60474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1031986 (
+	.A(n_90684),
+	.B(n_60472),
+	.Y(n_60473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1031988 (
+	.A(n_74440),
+	.B(soc_top_u_dccm_bank_sel[0]),
+	.Y(n_60472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g1031994 (
+	.A(n_28343),
+	.B(n_60477),
+	.C(n_89673),
+	.D(n_59366),
+	.Y(n_60478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1031995 (
+	.A(n_28155),
+	.B(n_55595),
+	.X(n_60477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1031998 (
+	.A(n_60485),
+	.B(n_60487),
+	.Y(n_60488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1031999 (
+	.A(n_60483),
+	.B(n_60484),
+	.Y(n_60485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1032000 (
+	.A(n_60481),
+	.B(n_74733),
+	.X(n_60483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1032001 (
+	.A(n_15811),
+	.B(n_15880),
+	.Y(n_60481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1032003 (
+	.A(n_16357),
+	.Y(n_60484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032004 (
+	.A(n_16357),
+	.B(n_60486),
+	.Y(n_60487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1032005 (
+	.A(n_60481),
+	.B(n_74733),
+	.Y(n_60486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1032006 (
+	.A(n_60489),
+	.B(n_57789),
+	.Y(n_60490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032007 (
+	.A(n_62761),
+	.B(n_79323),
+	.Y(n_60489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1032008 (
+	.A(n_60492),
+	.B(n_82294),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1843 ),
+	.Y(n_60493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032009 (
+	.A(n_58392),
+	.B(n_60491),
+	.Y(n_60492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1032010 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2273 ),
+	.Y(n_60491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g1032014 (
+	.A(n_84903),
+	.B(n_60501),
+	.X(n_60502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1032018 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_131 ),
+	.Y(n_60498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g1032019 (
+	.A_N(n_53737),
+	.B(FE_DBTN142_soc_top_u_top_u_core_fp_operands_0_28),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_84 ),
+	.X(n_60501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g41 (
+	.A(n_39937),
+	.B(n_39936),
+	.Y(n_60510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1032027 (
+	.A(n_60511),
+	.B(soc_top_u_dccm_bank_sel[1]),
+	.Y(n_60513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1032028 (
+	.A1(n_63542),
+	.A2(n_63543),
+	.B1(soc_top_u_dccm_rdata1[21]),
+	.Y(n_60511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1032030 (
+	.A(n_60519),
+	.B(n_75562),
+	.Y(n_60521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1032031 (
+	.A(n_60517),
+	.B(n_17765),
+	.Y(n_60519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1032032 (
+	.A(n_64639),
+	.B(n_60516),
+	.Y(n_60517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1032034 (
+	.A(n_26103),
+	.Y(n_60516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1032037 (
+	.A(n_60522),
+	.B(n_42646),
+	.Y(n_60523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1032038 (
+	.A(n_59083),
+	.B(n_39936),
+	.Y(n_60522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032039 (
+	.A(n_60525),
+	.B(n_60526),
+	.Y(n_60527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032040 (
+	.A(n_60524),
+	.B(n_87778),
+	.Y(n_60525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032041 (
+	.A(n_63623),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_151 ),
+	.Y(n_60524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1032042 (
+	.A_N(n_87778),
+	.B(n_63623),
+	.C(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_151 ),
+	.Y(n_60526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032045 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1838 ),
+	.B(n_44064),
+	.Y(n_60528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032047 (
+	.A(n_73660),
+	.B(n_79020),
+	.Y(n_60531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032048 (
+	.A(n_63293),
+	.B(n_64842),
+	.Y(n_60534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032051 (
+	.A(n_60536),
+	.B(n_62651),
+	.Y(n_60537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032052 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1384),
+	.B(n_87798),
+	.Y(n_60536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032055 (
+	.A(n_48521),
+	.B(n_60540),
+	.Y(n_60541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032056 (
+	.A(n_78076),
+	.B(n_79461),
+	.Y(n_60540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032058 (
+	.A(n_85463),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1220),
+	.Y(n_60542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g1032065 (
+	.A(n_34715),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_265 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g1032066 (
+	.A1(n_60558),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1361 ),
+	.B1(n_50076),
+	.B2(n_90599),
+	.Y(n_60559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1032067 (
+	.A(n_76693),
+	.Y(n_60558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1032078 (
+	.A(n_60567),
+	.B(n_60568),
+	.Y(n_60569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032079 (
+	.A(n_60563),
+	.B(n_60564),
+	.Y(n_60567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1032080 (
+	.A(n_54441),
+	.Y(n_60563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1032082 (
+	.A(n_60564),
+	.Y(n_60565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032083 (
+	.A(n_75730),
+	.B(n_54423),
+	.Y(n_60564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032084 (
+	.A(n_54441),
+	.B(n_60565),
+	.Y(n_60568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032090 (
+	.A(n_39672),
+	.B(n_60086),
+	.Y(n_60574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1032097 (
+	.A(n_60583),
+	.B(n_27857),
+	.Y(n_60584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1032098 (
+	.A(FE_DBTN93_n_27649),
+	.B(FE_DBTN85_n_59637),
+	.Y(n_60583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032106 (
+	.A(n_75709),
+	.B(addinc_ADD_UNS_OP_2_n_317),
+	.Y(n_60593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032108 (
+	.A(n_78083),
+	.B(n_77045),
+	.Y(n_38347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g1032114 (
+	.A(n_59090),
+	.B(n_54620),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3762 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032122 (
+	.A(n_55029),
+	.B(n_55032),
+	.Y(n_60607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1032123 (
+	.A(n_60610),
+	.Y(n_60611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1032124 (
+	.A(addinc_ADD_UNS_OP_2_n_1915),
+	.B(n_60609),
+	.C(addinc_ADD_UNS_OP_2_n_816),
+	.Y(n_60610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032125 (
+	.A(n_57862),
+	.B(addinc_ADD_UNS_OP_2_n_968),
+	.Y(n_60609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1032126 (
+	.A(n_57844),
+	.B(n_64234),
+	.Y(n_60613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1032132 (
+	.A(n_60618),
+	.B(n_79720),
+	.C(n_39671),
+	.Y(n_60619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032133 (
+	.A(FE_DBTN73_n_61059),
+	.B(n_26500),
+	.Y(n_60618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032141 (
+	.A(n_60627),
+	.B(n_78756),
+	.Y(n_60628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1032142 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1533 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1609 ),
+	.Y(n_60627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1032147 (
+	.A(n_80142),
+	.Y(n_60629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1032150 (
+	.A1(n_58731),
+	.A2(n_55864),
+	.B1(n_60637),
+	.Y(n_60638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032151 (
+	.A(n_60636),
+	.B(n_58731),
+	.Y(n_60637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032152 (
+	.A(n_39904),
+	.B(n_79251),
+	.Y(n_60636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1032165 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1994),
+	.Y(n_60649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032167 (
+	.A(n_60653),
+	.B(n_63290),
+	.Y(n_60654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032168 (
+	.A(FE_DBTN78_n_81343),
+	.B(n_58220),
+	.Y(n_60653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1032169 (
+	.A(n_60657),
+	.B(n_79494),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_943 ),
+	.Y(n_60658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1032170 (
+	.A1(FE_DBTN4_n_89310),
+	.A2(n_64167),
+	.B1(n_60656),
+	.X(n_60657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032171 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_663 ),
+	.B(n_46359),
+	.Y(n_60656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1032176 (
+	.A(n_60662),
+	.B(n_80113),
+	.Y(n_60664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1032177 (
+	.A(n_56284),
+	.B(n_38907),
+	.Y(n_60662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032188 (
+	.A(n_60674),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_893),
+	.Y(n_60675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032189 (
+	.A(n_60673),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1296),
+	.Y(n_60674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032190 (
+	.A(n_56506),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1120),
+	.Y(n_60673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g733 (
+	.A(n_48469),
+	.B(n_18031),
+	.Y(n_60680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g736 (
+	.A(n_53829),
+	.B(n_48470),
+	.Y(n_60681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g734 (
+	.A(n_58184),
+	.B(n_19632),
+	.Y(n_60682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g738 (
+	.A(n_48470),
+	.B(n_48469),
+	.Y(n_60684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g727 (
+	.A(n_60688),
+	.Y(n_60689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g728 (
+	.A(n_79196),
+	.B(n_18395),
+	.C(n_56952),
+	.Y(n_60688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g735 (
+	.A(n_60027),
+	.B(n_58083),
+	.Y(n_60691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g722 (
+	.A1(n_60684),
+	.A2(n_60688),
+	.B1(n_60691),
+	.Y(n_60698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g737 (
+	.A(n_48470),
+	.B(n_48469),
+	.Y(n_60701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1032201 (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_69 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_66 ),
+	.Y(n_60707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1032204 (
+	.A(n_54802),
+	.B(n_54803),
+	.Y(n_60710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1032206 (
+	.A(n_60718),
+	.Y(n_60719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032207 (
+	.A(n_60716),
+	.B(n_60717),
+	.Y(n_60718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032208 (
+	.A(n_87788),
+	.B(n_77051),
+	.Y(n_60716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032210 (
+	.A(n_87802),
+	.B(n_43276),
+	.Y(n_60717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032213 (
+	.A(n_87802),
+	.B(n_55396),
+	.Y(n_60720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032229 (
+	.A(n_60745),
+	.B(n_61170),
+	.Y(n_60750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_4 g1032230 (
+	.A1(n_25877),
+	.A2(n_18005),
+	.B1(n_60744),
+	.Y(n_60745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1032231 (
+	.A(n_18009),
+	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_q[26]),
+	.X(n_60744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1032234 (
+	.A(n_60747),
+	.Y(n_60748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032235 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[0]),
+	.B(soc_top_u_top_u_core_load_store_unit_i_data_type_q[1]),
+	.Y(n_60747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g1032238 (
+	.A(n_18081),
+	.B(n_18082),
+	.C(n_18049),
+	.X(n_60752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1032240 (
+	.A(n_77867),
+	.B(n_60752),
+	.Y(n_60756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1032241 (
+	.A_N(n_18009),
+	.B(n_60747),
+	.Y(n_60757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032255 (
+	.A(n_76694),
+	.B(n_60805),
+	.Y(n_60806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g475 (
+	.A(n_60782),
+	.Y(n_60783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g477 (
+	.A(n_76869),
+	.B(n_60781),
+	.Y(n_60782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032258 (
+	.A(n_60771),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1746 ),
+	.Y(n_60772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt516 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3329 ),
+	.Y(n_60771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt509 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1746 ),
+	.Y(n_60774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt507 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1714 ),
+	.Y(n_60777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g478 (
+	.A(n_60772),
+	.B(n_86378),
+	.C(n_60777),
+	.Y(n_60781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g465 (
+	.A(n_60784),
+	.Y(n_60785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 g466 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1648 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1695 ),
+	.CI(n_76289),
+	.COUT(n_60822),
+	.SUM(n_60784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032260 (
+	.A(n_60790),
+	.B(n_86379),
+	.Y(n_60793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_2 g1032261 (
+	.A1(n_85868),
+	.A2(n_80468),
+	.B1(n_75841),
+	.X(n_60790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt512 (
+	.A(n_60790),
+	.Y(n_60795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g473 (
+	.A(n_77075),
+	.B(n_60795),
+	.C(n_86379),
+	.Y(n_60799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g458 (
+	.A(n_60782),
+	.B(n_60784),
+	.Y(n_60805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g457 (
+	.A(n_60782),
+	.B(n_60785),
+	.Y(n_60808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032269 (
+	.A(n_86386),
+	.B(n_60808),
+	.Y(n_60811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g461 (
+	.A1(n_60813),
+	.A2(n_77075),
+	.B1(n_60793),
+	.B2(n_77075),
+	.C1(n_60815),
+	.Y(n_60816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g483 (
+	.A(n_60795),
+	.B(n_78483),
+	.Y(n_60813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g469 (
+	.A_N(n_60814),
+	.B(n_77075),
+	.Y(n_60815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032270 (
+	.A(n_60790),
+	.B(n_78483),
+	.Y(n_60814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g468 (
+	.A1(n_77075),
+	.A2(n_60814),
+	.B1(n_60820),
+	.X(n_60821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1032271 (
+	.A(n_78483),
+	.B(n_60790),
+	.Y(n_60820), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1032273 (
+	.A1(n_60827),
+	.A2(n_60829),
+	.B1(n_60834),
+	.Y(n_60835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1032274 (
+	.A1_N(FE_DBTN53_n_60824),
+	.A2_N(n_46209),
+	.B1(FE_DBTN53_n_60824),
+	.B2(n_46209),
+	.Y(n_60827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g1032276 (
+	.A(n_41959),
+	.B(n_17802),
+	.Y(n_60824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1032278 (
+	.A(n_60828),
+	.Y(n_60829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032279 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_764 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_768 ),
+	.Y(n_60828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1032280 (
+	.A(n_77866),
+	.B(n_60829),
+	.C(n_60833),
+	.Y(n_60834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1032281 (
+	.A1_N(n_60824),
+	.A2_N(n_39809),
+	.B1(n_60824),
+	.B2(n_39809),
+	.Y(n_60833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g1032282 (
+	.A(n_39810),
+	.Y(n_39809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032283 (
+	.A(n_71622),
+	.B(n_75771),
+	.Y(n_39810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g1032284 (
+	.A(n_77866),
+	.B(n_60837),
+	.Y(n_60838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 g1032285 (
+	.A(n_40542),
+	.Y(n_60837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1032286 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_768 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_764 ),
+	.Y(n_40542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1032297 (
+	.A(n_49441),
+	.B(soc_top_u_dccm_rdata2[0]),
+	.X(n_60846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032303 (
+	.A(n_38034),
+	.B(n_77228),
+	.Y(n_60855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032305 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3438 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3442 ),
+	.Y(n_54054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g398 (
+	.A(n_76697),
+	.B(n_60870),
+	.Y(n_60871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g412 (
+	.A(n_60858),
+	.B(n_60859),
+	.Y(n_60860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g428 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1484 ),
+	.B(n_76299),
+	.Y(n_60858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g416 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_780 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3571 ),
+	.Y(n_60859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g410 (
+	.A(n_60861),
+	.B(n_60862),
+	.Y(n_60863), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g417 (
+	.A(n_80286),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3584 ),
+	.Y(n_60861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032306 (
+	.A(n_78248),
+	.B(n_37604),
+	.Y(n_60862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g413 (
+	.A(n_60864),
+	.B(n_60865),
+	.Y(n_60866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g414 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1480 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3581 ),
+	.Y(n_60864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g415 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_782 ),
+	.B(n_39970),
+	.Y(n_60865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g407 (
+	.A(n_79598),
+	.B(n_60855),
+	.Y(n_60870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g409 (
+	.A(n_60863),
+	.Y(n_60873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032308 (
+	.A(n_60874),
+	.B(n_86848),
+	.Y(n_60877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g406 (
+	.A(n_60864),
+	.B(n_60860),
+	.C(n_60865),
+	.Y(n_60874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g850 (
+	.A(n_37585),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1667 ),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1826 ),
+	.Y(n_60885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g874 (
+	.A(n_37585),
+	.Y(n_60882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g854 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1667 ),
+	.B(n_60882),
+	.C(n_60886),
+	.Y(n_60887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g886 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1826 ),
+	.Y(n_60886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g853 (
+	.A(n_60882),
+	.B(n_60888),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1826 ),
+	.Y(n_60889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g868 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1667 ),
+	.Y(n_60888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g851 (
+	.A(n_37585),
+	.B(n_60888),
+	.C(n_60886),
+	.Y(n_60890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31a_1 g1032313 (
+	.A1(n_60894),
+	.A2(n_60901),
+	.A3(n_60904),
+	.B1(n_60906),
+	.X(n_60907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g862 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1120 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1212 ),
+	.Y(n_60894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g879 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1120 ),
+	.Y(n_58536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g848 (
+	.A(n_60896),
+	.B(n_76870),
+	.Y(n_60901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g860 (
+	.A_N(n_75450),
+	.B(n_40021),
+	.X(n_60896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g863 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_868 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3731 ),
+	.Y(n_60904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g841 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1120 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1212 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_868 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3731 ),
+	.C1(n_60905),
+	.Y(n_60906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g849 (
+	.A(n_76870),
+	.B(n_60896),
+	.Y(n_60905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g855 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_868 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3731 ),
+	.B1(n_60909),
+	.Y(n_60910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g861 (
+	.A(n_37607),
+	.B(n_58536),
+	.Y(n_60909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g859 (
+	.A(n_60896),
+	.Y(n_60911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g845 (
+	.A(n_76870),
+	.B(n_60911),
+	.Y(n_60913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g829 (
+	.A(n_56682),
+	.Y(n_60920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor3_1 g1032315 (
+	.A(n_78360),
+	.B(n_78424),
+	.C(n_86890),
+	.X(n_56682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032316 (
+	.A(n_60921),
+	.B(n_60922),
+	.Y(n_60923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g839 (
+	.A_N(n_60913),
+	.B(n_60910),
+	.Y(n_60921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g846 (
+	.A(n_60911),
+	.B(n_76870),
+	.Y(n_60922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g847 (
+	.A(n_76699),
+	.B(n_60926),
+	.Y(n_60927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g857 (
+	.A(n_37585),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1667 ),
+	.Y(n_60926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1032317 (
+	.A1_N(n_60934),
+	.A2_N(n_60935),
+	.B1(n_60934),
+	.B2(n_60935),
+	.Y(n_60936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032318 (
+	.A(n_75846),
+	.B(n_75847),
+	.Y(n_60934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1032325 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_808 ),
+	.A2(n_58451),
+	.B1(n_59646),
+	.B2(n_58448),
+	.Y(n_60935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1032339 (
+	.A1(n_87504),
+	.A2(n_60956),
+	.B1(n_60957),
+	.Y(n_57413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032343 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3588 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1484 ),
+	.Y(n_60953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1032345 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3504 ),
+	.B(n_78252),
+	.Y(n_60956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032346 (
+	.A(n_78252),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3504 ),
+	.Y(n_60957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g338 (
+	.A(n_60983),
+	.Y(n_60984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g339 (
+	.A(n_76019),
+	.B(n_60982),
+	.Y(n_60983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1032363 (
+	.A1(n_66464),
+	.A2(n_63142),
+	.B1(n_22724),
+	.Y(n_60974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1032367 (
+	.A1(n_60981),
+	.A2(n_81263),
+	.B1(n_75848),
+	.Y(n_60982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1032368 (
+	.A1(n_17013),
+	.A2(n_59724),
+	.B1(n_74964),
+	.Y(n_60981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1032396 (
+	.A(n_73858),
+	.B(n_61016),
+	.Y(n_61017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_4 g1032398 (
+	.A1_N(n_61014),
+	.A2_N(n_61015),
+	.B1(n_61014),
+	.B2(n_61015),
+	.Y(n_61016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1032399 (
+	.A(n_56990),
+	.Y(n_61014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032400 (
+	.A(n_17802),
+	.B(n_81721),
+	.Y(n_56990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1032401 (
+	.A(n_46732),
+	.B(n_17802),
+	.Y(n_61015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032403 (
+	.A(n_61021),
+	.B(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.Y(n_61022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1032404 (
+	.A(n_61019),
+	.B(n_61020),
+	.Y(n_61021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032405 (
+	.A(n_38889),
+	.B(n_84334),
+	.Y(n_61019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_4 g1032406 (
+	.A1_N(n_24933),
+	.A2_N(n_24484),
+	.B1(n_75671),
+	.B2(n_17070),
+	.Y(n_61020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1032438 (
+	.A(n_61057),
+	.B(n_61058),
+	.Y(n_61059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032439 (
+	.A(n_61054),
+	.B(FE_DBTN17_n_78901),
+	.Y(n_61057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1032440 (
+	.A(n_81250),
+	.B(n_58406),
+	.Y(n_61054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1032443 (
+	.A(n_87863),
+	.B(n_76678),
+	.C(n_58527),
+	.Y(n_61058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g1032444 (
+	.A(n_61075),
+	.Y(n_61076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_4 g1032445 (
+	.A(n_61068),
+	.B(n_39240),
+	.C(n_61071),
+	.D(n_61074),
+	.Y(n_61075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1032446 (
+	.A(n_61063),
+	.B(n_75850),
+	.Y(n_61068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1032448 (
+	.A(n_61063),
+	.Y(n_61064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1032449 (
+	.A1(n_15948),
+	.A2(n_16357),
+	.B1(n_76871),
+	.Y(n_61063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032455 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.B(n_15948),
+	.Y(n_61071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1032458 (
+	.A(n_61073),
+	.Y(n_61074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032459 (
+	.A(n_16396),
+	.B(n_61072),
+	.Y(n_61073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1032460 (
+	.A_N(n_74730),
+	.B(n_15948),
+	.Y(n_61072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032461 (
+	.A(n_61074),
+	.B(n_61077),
+	.Y(n_61078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1032462 (
+	.A(n_61063),
+	.B(n_75850),
+	.Y(n_61077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032463 (
+	.A(n_39240),
+	.B(n_61071),
+	.Y(n_39243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g1032479 (
+	.A(n_75455),
+	.Y(n_61094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1032480 (
+	.A(n_61100),
+	.B(n_61101),
+	.Y(n_61102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1032481 (
+	.A(n_61098),
+	.B(n_61097),
+	.C(n_61099),
+	.Y(n_61100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032482 (
+	.A(n_62732),
+	.B(n_79007),
+	.Y(n_61097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1032483 (
+	.A(n_30689),
+	.Y(n_61098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1032484 (
+	.A(n_30701),
+	.Y(n_61099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032485 (
+	.A(n_57771),
+	.B(n_13028),
+	.Y(n_61101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032486 (
+	.A(n_61106),
+	.B(FE_DBTN52_n_61107),
+	.Y(n_61109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1032487 (
+	.A(n_61103),
+	.B(n_61105),
+	.Y(n_61106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032488 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1217),
+	.B(n_61482),
+	.Y(n_61103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1032489 (
+	.A(n_61104),
+	.Y(n_61105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032490 (
+	.A(n_57717),
+	.B(n_76864),
+	.Y(n_61104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1032492 (
+	.A(n_58384),
+	.B(n_59221),
+	.Y(n_61107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1032495 (
+	.A(n_57826),
+	.B(n_79007),
+	.C(FE_DBTN54_n_78756),
+	.Y(n_61115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032503 (
+	.A(n_75239),
+	.B(n_79020),
+	.Y(n_61118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032504 (
+	.A(n_61122),
+	.B(n_61123),
+	.Y(n_61124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032505 (
+	.A(n_57826),
+	.B(FE_DBTN54_n_78756),
+	.Y(n_61122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032506 (
+	.A(n_62706),
+	.B(n_78756),
+	.Y(n_61123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g51_0 (
+	.A(n_61129),
+	.B(n_25531),
+	.Y(n_61130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1032509 (
+	.A(n_61127),
+	.B(n_58322),
+	.Y(n_61129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1032510 (
+	.A(n_48309),
+	.B(n_48310),
+	.Y(n_61127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1032512 (
+	.A(n_61129),
+	.B(n_25531),
+	.Y(n_61131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g287 (
+	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[19]),
+	.A1(n_61138),
+	.S(n_1494),
+	.X(n_61139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1032514 (
+	.A(FE_DBTN10_n_85255),
+	.B(n_75457),
+	.C(n_49547),
+	.Y(n_61138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1032518 (
+	.A1(n_81283),
+	.A2(n_62831),
+	.B1(n_18004),
+	.Y(n_61135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1032521 (
+	.A(n_49547),
+	.B(n_17765),
+	.X(n_61140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g294 (
+	.A_N(n_17765),
+	.B(n_16647),
+	.Y(n_61142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g1032526 (
+	.A1(n_72421),
+	.A2(n_73482),
+	.B1(n_80345),
+	.C1(n_25512),
+	.X(n_61148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1032539 (
+	.A(n_25488),
+	.B(n_24498),
+	.C(n_25489),
+	.Y(n_61161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1032540 (
+	.A1(n_60747),
+	.A2(n_25890),
+	.B1(n_61162),
+	.Y(n_61163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g1032541 (
+	.A1(n_61161),
+	.A2(n_18005),
+	.B1(n_36446),
+	.Y(n_61162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1032542 (
+	.A(n_25802),
+	.B(n_24017),
+	.Y(n_61164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1032543 (
+	.A(n_26040),
+	.B(n_61167),
+	.Y(n_61168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_2 g1032544 (
+	.A1(n_61166),
+	.A2(n_18389),
+	.B1_N(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.Y(n_61167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032545 (
+	.A(n_61164),
+	.B(n_18007),
+	.Y(n_61166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032548 (
+	.A(n_61164),
+	.B(n_60748),
+	.Y(n_61170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1032550 (
+	.A1(n_18001),
+	.A2(n_23566),
+	.B1_N(n_39936),
+	.Y(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1032552 (
+	.A(n_24021),
+	.B_N(n_23566),
+	.Y(n_61172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1032554 (
+	.A1_N(n_61178),
+	.A2_N(n_74978),
+	.B1(n_61178),
+	.B2(n_74978),
+	.Y(n_61184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1032555 (
+	.A(n_40006),
+	.Y(n_61179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1032556 (
+	.A1(n_76622),
+	.A2(n_76308),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3434 ),
+	.X(n_61181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1032559 (
+	.A(n_40006),
+	.B(n_61181),
+	.Y(n_61186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032561 (
+	.A(n_61181),
+	.B(n_40006),
+	.Y(n_61187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1032564 (
+	.A_N(n_49544),
+	.B(n_17765),
+	.Y(n_61188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1032567 (
+	.A(FE_DBTN16_n_80166),
+	.B(n_75791),
+	.C(n_80436),
+	.Y(n_61194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1032568 (
+	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[17]),
+	.A1(n_81288),
+	.S(n_1494),
+	.X(n_61196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt1032574 (
+	.A(n_75460),
+	.Y(n_61199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032589 (
+	.A(n_61214),
+	.B(n_78720),
+	.Y(n_61216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1032590 (
+	.A(n_39995),
+	.Y(n_61214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032593 (
+	.A(n_61218),
+	.B(n_86849),
+	.Y(n_61222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1032594 (
+	.A(n_61217),
+	.Y(n_61218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1032595 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3615 ),
+	.B(n_77904),
+	.Y(n_61217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g1032602 (
+	.A1_N(n_78720),
+	.A2_N(n_61222),
+	.B1(n_78720),
+	.B2(n_61222),
+	.Y(n_61228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032606 (
+	.A(n_61233),
+	.B(n_25455),
+	.Y(n_61234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032607 (
+	.A(n_84334),
+	.B(n_71268),
+	.Y(n_61233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032609 (
+	.A(n_61238),
+	.B(n_25484),
+	.Y(n_61239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032610 (
+	.A(n_63770),
+	.B(n_84334),
+	.Y(n_61238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032614 (
+	.A(n_61245),
+	.B(n_25457),
+	.Y(n_61246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032615 (
+	.A(n_81714),
+	.B(n_84334),
+	.Y(n_61245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1032619 (
+	.A(n_71268),
+	.B(n_81714),
+	.Y(n_61248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1032620 (
+	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[12]),
+	.A1(n_81713),
+	.S(n_1494),
+	.X(n_61250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_2 g1032622 (
+	.A1(n_64833),
+	.A2(n_38782),
+	.B1(n_61252),
+	.X(n_61253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt1032625 (
+	.A(n_91429),
+	.Y(n_49061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032629 (
+	.A(FE_DBTN104_n_17765),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[6]),
+	.Y(n_61257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1032630 (
+	.A1(n_61257),
+	.A2(FE_DBTN104_n_17765),
+	.B1_N(n_58322),
+	.X(n_61258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g182 (
+	.A1(n_81235),
+	.A2(n_61257),
+	.B1_N(n_84334),
+	.Y(n_61262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032632 (
+	.A(n_61267),
+	.B(n_61257),
+	.Y(n_36668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1032633 (
+	.A1(n_81231),
+	.A2(n_26145),
+	.B1(FE_DBTN104_n_17765),
+	.X(n_61267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1032635 (
+	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[6]),
+	.A1(n_61269),
+	.S(n_1494),
+	.X(n_61270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032636 (
+	.A(n_26145),
+	.B(n_81231),
+	.Y(n_61269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032637 (
+	.A(n_61275),
+	.B(n_71922),
+	.Y(n_61276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032638 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_238 ),
+	.B(n_76704),
+	.Y(n_61275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032647 (
+	.A(n_25503),
+	.B(n_61278),
+	.Y(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1032648 (
+	.A(n_24023),
+	.B_N(n_23581),
+	.Y(n_61278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt240 (
+	.A(n_77600),
+	.Y(n_61287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032652 (
+	.A(n_25969),
+	.B(n_61289),
+	.Y(n_61290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1032653 (
+	.A(n_61288),
+	.Y(n_61289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1032654 (
+	.A(n_18362),
+	.B(n_77600),
+	.Y(n_61288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1032655 (
+	.A(n_45813),
+	.B(n_77103),
+	.Y(n_61303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1032678 (
+	.A(n_37825),
+	.Y(n_61310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032681 (
+	.A(n_53643),
+	.B(n_77102),
+	.Y(n_61320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032689 (
+	.A(n_61310),
+	.B(n_38046),
+	.Y(n_61326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032694 (
+	.A(n_25554),
+	.B(n_61336),
+	.Y(n_61337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g1032695 (
+	.A1(n_29828),
+	.A2(FE_DBTN104_n_17765),
+	.B1(n_61335),
+	.Y(n_61336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1032696 (
+	.A(n_61334),
+	.B(n_61252),
+	.Y(n_61335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1032697 (
+	.A(soc_top_u_top_u_core_rf_wdata_fwd_wb[4]),
+	.B(n_17765),
+	.Y(n_61334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1032703 (
+	.A1(n_29828),
+	.A2(FE_DBTN104_n_17765),
+	.B1(n_61342),
+	.X(n_61343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1032704 (
+	.A(n_61334),
+	.Y(n_61342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1032705 (
+	.A1(n_57529),
+	.A2(n_61343),
+	.B1(n_25081),
+	.B2(n_24487),
+	.X(n_61345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032711 (
+	.A(n_76713),
+	.B(n_61368),
+	.Y(n_61369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt244 (
+	.A(n_61365),
+	.Y(n_61354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt249 (
+	.A(n_57252),
+	.Y(n_61357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1032714 (
+	.A1(FE_DBTN25_n_78248),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1095 ),
+	.B1(n_61362),
+	.Y(n_61363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1032715 (
+	.A(n_37602),
+	.B(FE_DBTN25_n_78248),
+	.C(n_77214),
+	.Y(n_61362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032717 (
+	.A(n_61365),
+	.B(n_57252),
+	.Y(n_61368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032719 (
+	.A(n_61373),
+	.B(n_61376),
+	.Y(n_61377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1032720 (
+	.A_N(n_61370),
+	.B(n_86384),
+	.C(n_61372),
+	.Y(n_61373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt247 (
+	.A(n_61363),
+	.Y(n_61370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032722 (
+	.A(n_61354),
+	.B(n_57252),
+	.Y(n_61372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032723 (
+	.A(n_61375),
+	.B(n_61370),
+	.Y(n_61376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032724 (
+	.A(n_86384),
+	.B(n_61372),
+	.Y(n_61375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1032736 (
+	.A(n_61393),
+	.B(addinc_ADD_UNS_OP_2_n_637),
+	.Y(n_61394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1032737 (
+	.A(n_80747),
+	.B(n_61392),
+	.Y(n_61393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1032741 (
+	.A(n_77621),
+	.B(FE_DBTN23_n_78660),
+	.Y(n_61390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1032742 (
+	.A(n_78660),
+	.B(n_77620),
+	.Y(n_61392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032743 (
+	.A(n_90530),
+	.B(n_61393),
+	.Y(n_61396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032746 (
+	.A(n_61390),
+	.B(n_61392),
+	.Y(n_61401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032749 (
+	.A(n_75685),
+	.B(n_80745),
+	.Y(n_61403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1032752 (
+	.A(n_61390),
+	.B(n_75685),
+	.Y(n_46349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1032753 (
+	.A(n_61413),
+	.B(n_35477),
+	.C(n_75465),
+	.Y(n_61414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1032754 (
+	.A(n_61406),
+	.B(n_61412),
+	.Y(n_61413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1032755 (
+	.A(n_34989),
+	.B(n_88164),
+	.Y(n_61406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1032756 (
+	.A(n_74973),
+	.Y(n_61412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1032766 (
+	.A(n_34989),
+	.B(n_88164),
+	.C(n_74973),
+	.Y(n_61421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1032770 (
+	.A(n_89326),
+	.B(n_79631),
+	.Y(n_46325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1032775 (
+	.A(n_89321),
+	.B(n_89324),
+	.Y(n_61429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1032784 (
+	.A_N(n_81762),
+	.B(n_48439),
+	.Y(n_61440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032785 (
+	.A(n_79633),
+	.B(n_61429),
+	.Y(n_61442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 WALLACE_CSA_DUMMY_OP_groupi_g1032801 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1263),
+	.B(n_61462),
+	.Y(n_61463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g1032802 (
+	.A(n_61460),
+	.B(n_61461),
+	.Y(n_61462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 WALLACE_CSA_DUMMY_OP_groupi_g1032803 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_566),
+	.B(n_42760),
+	.X(n_61460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 WALLACE_CSA_DUMMY_OP_groupi_g1032804 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1940),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1939),
+	.C(n_88203),
+	.Y(n_61461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1032806 (
+	.A(n_81247),
+	.B(n_57033),
+	.Y(n_61465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1032808 (
+	.A1(n_61465),
+	.A2(n_84334),
+	.B1(n_61466),
+	.X(n_61467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1032809 (
+	.A1_N(n_29618),
+	.A2_N(n_17070),
+	.B1(n_24921),
+	.B2(n_24484),
+	.Y(n_61466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1032811 (
+	.A(n_61467),
+	.B(n_77843),
+	.Y(n_61470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g1032816 (
+	.A(n_56099),
+	.B(n_56100),
+	.C(n_90662),
+	.D(n_56102),
+	.Y(n_61473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1032823 (
+	.A(n_58534),
+	.B(n_50528),
+	.Y(n_61482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt1032835 (
+	.A(n_61495),
+	.Y(n_61496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1032837 (
+	.A(n_81536),
+	.Y(n_61499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1032845 (
+	.A(n_79665),
+	.Y(n_61522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 g1032854 (
+	.A1(n_61532),
+	.A2(n_59252),
+	.B1(n_61534),
+	.Y(n_61535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1032855 (
+	.A(n_30844),
+	.Y(n_61532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032857 (
+	.A(n_59252),
+	.B(n_30920),
+	.Y(n_61534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032864 (
+	.A(n_58224),
+	.B(n_90560),
+	.Y(n_61542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1032865 (
+	.A(n_45305),
+	.B(n_90560),
+	.Y(n_61543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g1032866 (
+	.A(n_27813),
+	.B(n_81219),
+	.C(FE_DBTN14_n_80113),
+	.D(n_90560),
+	.Y(n_61544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1032867 (
+	.A(n_78201),
+	.B(n_57163),
+	.C(n_90560),
+	.Y(n_61545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_2 g1032870 (
+	.A1(n_61595),
+	.A2(n_90560),
+	.B1_N(n_78330),
+	.Y(n_61548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 addinc_ADD_UNS_OP_2_g1032871 (
+	.A(n_62092),
+	.Y(n_61552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_4 g1032875 (
+	.A1(n_62096),
+	.A2(n_62092),
+	.B1(addinc_ADD_UNS_OP_2_n_184),
+	.X(n_61553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g1032876 (
+	.A(n_62096),
+	.B(n_62092),
+	.Y(n_61554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1032881 (
+	.A(n_59637),
+	.B(n_27339),
+	.C(n_50560),
+	.Y(n_61560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1032917 (
+	.A(n_28285),
+	.B(n_28282),
+	.Y(n_61595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032918 (
+	.A(n_61596),
+	.B(n_55814),
+	.Y(n_61598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1032920 (
+	.A(n_61595),
+	.Y(n_61596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1032921 (
+	.A(n_61596),
+	.B(n_87553),
+	.Y(n_61599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1032927 (
+	.A_N(n_86876),
+	.B(n_56964),
+	.Y(n_61606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1032931  (
+	.A(n_71773),
+	.B(n_61609),
+	.Y(n_61610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1032933  (
+	.A(FE_DBTN78_n_81343),
+	.B(n_30817),
+	.Y(n_61609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1032940  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1219 ),
+	.B(FE_DBTN20_n_79311),
+	.Y(n_61615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1032941  (
+	.A(n_63865),
+	.B(n_90623),
+	.Y(n_61619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1032942 (
+	.A(n_78507),
+	.B(FE_DBTN54_n_78756),
+	.Y(n_61623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1032945  (
+	.A(n_53601),
+	.B(n_90623),
+	.Y(n_61621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1032946  (
+	.A(n_78507),
+	.B(FE_DBTN63_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sll_527_36_n_957),
+	.Y(n_61625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1032948 (
+	.A(n_41125),
+	.B(n_61628),
+	.Y(n_41127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1032950 (
+	.A(n_61627),
+	.B(n_61626),
+	.Y(n_61628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1032951 (
+	.A(n_43914),
+	.Y(n_61626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1032952 (
+	.A(n_74441),
+	.Y(n_61627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31o_4 g1032953 (
+	.A1(n_41125),
+	.A2(n_23572),
+	.A3(n_61628),
+	.B1(n_54102),
+	.X(n_61631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1032973 (
+	.A(n_58897),
+	.B(n_79166),
+	.Y(n_61654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g1032976 (
+	.A1_N(n_24484),
+	.A2_N(n_24903),
+	.B1(n_17070),
+	.B2(n_29622),
+	.Y(n_61652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1032978 (
+	.A1(n_79166),
+	.A2(n_16016),
+	.B1(n_36097),
+	.Y(n_61656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g1032979  (
+	.A(n_83980),
+	.B(n_79166),
+	.Y(n_61657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1032983  (
+	.A(n_79166),
+	.Y(n_61661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1032984  (
+	.A(n_79166),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_170 ),
+	.X(n_61662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1032985  (
+	.A(n_79166),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_170 ),
+	.Y(n_61663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1032986 (
+	.A1(n_76866),
+	.A2(n_79166),
+	.B1(n_39151),
+	.B2(n_9577),
+	.C1(n_11866),
+	.Y(n_61665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1032990 (
+	.A1(n_9795),
+	.A2(soc_top_u_top_u_core_alu_operand_b_ex[2]),
+	.B1(n_2590),
+	.B2(n_79166),
+	.C1(n_11624),
+	.Y(n_61668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 fopt1032992 (
+	.A(n_61669),
+	.Y(n_61670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1032993 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2773 ),
+	.B(n_59174),
+	.Y(n_61669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g1032994 (
+	.A(n_61670),
+	.B(FE_DBTN5_n_88141),
+	.Y(n_61673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g1032997 (
+	.A(FE_DBTN5_n_88141),
+	.B(n_61670),
+	.Y(n_47786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1033278 (
+	.A1(n_20203),
+	.A2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [1]),
+	.B1(n_22795),
+	.X(n_61932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1033279 (
+	.A(n_35024),
+	.B(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [1]),
+	.X(n_61933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1033282 (
+	.A(n_76020),
+	.B(n_74436),
+	.Y(n_61941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1033320 (
+	.A(n_60698),
+	.Y(n_61971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033329 (
+	.A(n_28267),
+	.B(n_64035),
+	.Y(n_61978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033332 (
+	.A(n_61978),
+	.B(n_61988),
+	.Y(n_61989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1033333 (
+	.A(n_61987),
+	.Y(n_61988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1033334 (
+	.A(n_76718),
+	.B(FE_DBTN70_n_87553),
+	.Y(n_61987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1033336 (
+	.A(n_76857),
+	.B(n_61991),
+	.Y(n_61992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1033338 (
+	.A(n_86836),
+	.B(n_75772),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2393 ),
+	.Y(n_61991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1033339 (
+	.A(n_90570),
+	.Y(n_61995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033347 (
+	.A(n_62002),
+	.B(n_90572),
+	.Y(n_62004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033348 (
+	.A(n_59200),
+	.B(n_57932),
+	.Y(n_62002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g1033352 (
+	.A(n_58582),
+	.Y(n_62024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1033353 (
+	.A(n_79564),
+	.B(n_79563),
+	.Y(n_58582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 fopt1033360 (
+	.A(n_55285),
+	.Y(n_55804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033361 (
+	.A(n_87553),
+	.B(n_27739),
+	.Y(n_55285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1033362 (
+	.A(n_76720),
+	.B(n_62017),
+	.X(n_62018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033364 (
+	.A(n_57230),
+	.B(n_76858),
+	.Y(n_62017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1033366 (
+	.A(n_62020),
+	.Y(n_62021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033367 (
+	.A(n_76719),
+	.B(n_55285),
+	.Y(n_62020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g1033392 (
+	.A(n_62055),
+	.B(n_62060),
+	.C(n_62069),
+	.D(n_62073),
+	.Y(n_62074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1033393 (
+	.A(n_62054),
+	.Y(n_62055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1033394 (
+	.A(n_62051),
+	.B(n_62053),
+	.Y(n_62054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 g1033395 (
+	.A(n_63209),
+	.Y(n_62051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt148 (
+	.A(n_62052),
+	.Y(n_62053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1033397 (
+	.A(n_56168),
+	.B(n_56170),
+	.Y(n_62052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1033398 (
+	.A(n_62059),
+	.Y(n_62060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033399 (
+	.A(n_62056),
+	.B(n_62058),
+	.Y(n_62059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1033400 (
+	.A(n_58282),
+	.B(n_12593),
+	.Y(n_62056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1033401 (
+	.A(n_14566),
+	.B(n_62057),
+	.Y(n_62058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1033402 (
+	.A(n_55373),
+	.B(n_29689),
+	.X(n_62057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1033403 (
+	.A(n_75469),
+	.Y(n_62069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1033406 (
+	.A(n_14330),
+	.B(n_86621),
+	.C(n_74854),
+	.Y(n_62063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033410 (
+	.A(n_14330),
+	.B(n_86621),
+	.Y(n_62064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1033412 (
+	.A(n_62070),
+	.B(n_62072),
+	.Y(n_62073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033413 (
+	.A(n_14555),
+	.B(n_58985),
+	.Y(n_62070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1033414 (
+	.A(n_41672),
+	.B(n_62071),
+	.Y(n_62072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1033415 (
+	.A(n_58985),
+	.Y(n_62071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 fopt147 (
+	.A(n_62076),
+	.Y(n_62077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033416 (
+	.A(n_62075),
+	.B(n_62073),
+	.Y(n_62076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1033417 (
+	.A(n_75469),
+	.B(n_62054),
+	.Y(n_62075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1033419 (
+	.A(n_62081),
+	.B(n_79087),
+	.C(n_80196),
+	.Y(n_62087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1033420 (
+	.A(n_80198),
+	.B(n_76722),
+	.Y(n_62081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1033427 (
+	.A(n_76722),
+	.Y(n_62085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1033429 (
+	.A(n_62081),
+	.B(n_80196),
+	.Y(n_62088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033430 (
+	.A(n_62097),
+	.B(n_62099),
+	.Y(n_62100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1033431 (
+	.A(n_61552),
+	.B(n_62096),
+	.Y(n_62097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1033433 (
+	.A(n_62090),
+	.B(n_62091),
+	.Y(n_62092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033434 (
+	.A(FE_DBTN128_n_57800),
+	.B(n_75792),
+	.Y(n_62090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1033435 (
+	.A(n_57800),
+	.B(n_57803),
+	.Y(n_62091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1033436 (
+	.A(n_62094),
+	.B(n_62095),
+	.Y(n_62096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1033437 (
+	.A(n_86850),
+	.B(n_76720),
+	.Y(n_62094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033438 (
+	.A(n_79561),
+	.B(n_62017),
+	.Y(n_62095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1033439 (
+	.A(n_38881),
+	.B(n_62092),
+	.Y(n_62099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1033460 (
+	.A(n_77170),
+	.B(n_78047),
+	.C(n_77081),
+	.Y(n_62143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1033473 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2593 ),
+	.Y(n_62128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1033474 (
+	.A(n_78609),
+	.Y(n_62129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1033477 (
+	.A(n_62129),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2593 ),
+	.Y(n_62132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1033478 (
+	.A(n_78609),
+	.B(n_62128),
+	.Y(n_62133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033485 (
+	.A(n_75471),
+	.B(n_62149),
+	.Y(n_53872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1033488 (
+	.A(n_78609),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2593 ),
+	.Y(n_62149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g4902 (
+	.A(n_62285),
+	.B(n_62294),
+	.Y(n_62295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 fopt4963 (
+	.A(n_62284),
+	.Y(n_62285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g4904 (
+	.A(n_62259),
+	.B(n_64141),
+	.C(n_62283),
+	.Y(n_62284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g4911 (
+	.A(n_62258),
+	.Y(n_62259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g4912 (
+	.A(n_62254),
+	.B(n_62257),
+	.Y(n_62258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g4921 (
+	.A(n_43546),
+	.B(n_62253),
+	.Y(n_62254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g4944 (
+	.A(n_73719),
+	.B(n_56829),
+	.Y(n_43546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g4945 (
+	.A(FE_DBTN72_n_90623),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2273 ),
+	.Y(n_62253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g4920 (
+	.A(n_62255),
+	.B(n_62256),
+	.Y(n_62257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g4933 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1303 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1401 ),
+	.Y(n_62255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g4942 (
+	.A(n_90623),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2273 ),
+	.Y(n_62256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g4908 (
+	.A(n_90619),
+	.B(n_79008),
+	.Y(n_62267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g4919 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_670 ),
+	.B(n_78796),
+	.Y(n_62262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g4905 (
+	.A(n_62282),
+	.Y(n_62283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g4906 (
+	.A(n_78318),
+	.B(n_77984),
+	.Y(n_62282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g4914 (
+	.A(n_62293),
+	.Y(n_62294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g4915 (
+	.A(n_62288),
+	.B(n_90631),
+	.Y(n_62293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g4917 (
+	.A(n_62677),
+	.B(n_86636),
+	.Y(n_62288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g419 (
+	.A(n_62315),
+	.B(n_87757),
+	.Y(n_62326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033519 (
+	.A(n_87756),
+	.B(n_62314),
+	.Y(n_62315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_2 g1033520 (
+	.A1_N(n_78720),
+	.A2_N(n_75472),
+	.B1(n_78720),
+	.B2(n_75472),
+	.X(n_62314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g463 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1165 ),
+	.Y(n_62309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g436 (
+	.A(FE_DBTN70_n_87553),
+	.B(n_62322),
+	.Y(n_62323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g438 (
+	.A(n_87748),
+	.B(n_89033),
+	.Y(n_62322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1033527 (
+	.A(n_62314),
+	.Y(n_62324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033529 (
+	.A(n_86404),
+	.B(n_86401),
+	.Y(n_62329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g437 (
+	.A(n_62322),
+	.B(FE_DBTN70_n_87553),
+	.Y(n_62332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1033535 (
+	.A(n_76725),
+	.Y(addinc_ADD_UNS_OP_2_n_556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1033539 (
+	.A_N(n_87754),
+	.B(n_86401),
+	.C(n_62323),
+	.D(n_86404),
+	.Y(n_62345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1274 (
+	.A(n_62426),
+	.B(n_77083),
+	.C(n_62450),
+	.Y(n_62451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1277 (
+	.A(n_54563),
+	.B(n_62425),
+	.Y(n_62426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1290 (
+	.A(n_62375),
+	.B(n_62376),
+	.Y(n_54563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1291 (
+	.A(n_62351),
+	.B(n_62354),
+	.C(n_62374),
+	.Y(n_62375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1395 (
+	.A(n_75626),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2208 ),
+	.Y(n_62351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1374 (
+	.A(n_62352),
+	.B(n_62353),
+	.Y(n_62354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1421 (
+	.A(n_75626),
+	.Y(n_62352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1420 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2208 ),
+	.Y(n_62353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1295 (
+	.A(n_62355),
+	.B(n_62373),
+	.Y(n_62374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1432 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2537 ),
+	.Y(n_62355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1301 (
+	.A(n_77957),
+	.Y(n_62373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1332 (
+	.A(n_62363),
+	.Y(n_62364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1336 (
+	.A(n_62361),
+	.B(n_62362),
+	.Y(n_62363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1347 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1784 ),
+	.A2(n_87151),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1876 ),
+	.Y(n_62361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1398 (
+	.A(n_87151),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1784 ),
+	.Y(n_62362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1338 (
+	.A(n_62367),
+	.B(n_62368),
+	.Y(n_62369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1346 (
+	.A1(n_60559),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1872 ),
+	.B1(n_59655),
+	.Y(n_62367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1397 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1872 ),
+	.B(n_60559),
+	.Y(n_62368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1294 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2537 ),
+	.B(n_77957),
+	.Y(n_62376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1283 (
+	.A(n_62399),
+	.B(n_62424),
+	.Y(n_62425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt1447 (
+	.A(n_62398),
+	.Y(n_62399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1286 (
+	.A_N(n_62395),
+	.B(n_62397),
+	.Y(n_62398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1288 (
+	.A(n_77520),
+	.B_N(n_87555),
+	.Y(n_62395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1370 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1977 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_654 ),
+	.Y(n_62378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1321 (
+	.A(n_62363),
+	.B(n_62369),
+	.Y(n_62387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1327 (
+	.A(n_62369),
+	.B(n_62363),
+	.Y(n_62388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1287 (
+	.A(n_77520),
+	.B(n_62396),
+	.Y(n_62397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1445 (
+	.A(n_87555),
+	.Y(n_62396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1305 (
+	.A(n_62423),
+	.Y(n_62424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1314 (
+	.A(n_62420),
+	.B(n_74990),
+	.Y(n_62423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1315 (
+	.A(n_75862),
+	.B(n_62419),
+	.Y(n_62420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1369 (
+	.A(n_62408),
+	.B(n_62409),
+	.Y(n_62410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1384 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1958 ),
+	.B(n_62407),
+	.Y(n_62408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1418 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1958 ),
+	.Y(n_62405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1428 (
+	.A(n_37573),
+	.Y(n_62407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1386 (
+	.A(n_62405),
+	.B(n_37573),
+	.Y(n_62409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1357 (
+	.A(n_62417),
+	.B(n_62418),
+	.Y(n_62419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g1358 (
+	.A1(n_60821),
+	.A2(n_62415),
+	.B1_N(n_62416),
+	.Y(n_62417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1404 (
+	.A(n_75476),
+	.Y(n_62415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1435 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1795 ),
+	.Y(n_62416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1385 (
+	.A(n_62415),
+	.B(n_60821),
+	.Y(n_62418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1330 (
+	.A(n_86851),
+	.Y(n_62421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1304 (
+	.A(n_76728),
+	.Y(n_62437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1443 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3423 ),
+	.Y(n_62427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1416 (
+	.A(n_76311),
+	.Y(n_62428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1328 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3423 ),
+	.A2(n_76311),
+	.B1(n_76729),
+	.Y(n_62435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1383 (
+	.A(n_62405),
+	.B(n_62407),
+	.Y(n_62431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1399 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1958 ),
+	.B(n_37573),
+	.Y(n_62433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1391 (
+	.A(n_57409),
+	.B(n_87454),
+	.Y(n_62438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1293 (
+	.A(n_75477),
+	.B(n_62442),
+	.Y(n_62443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1333 (
+	.A(n_87528),
+	.B(n_87555),
+	.Y(n_62442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1281 (
+	.A(n_62398),
+	.B(n_62423),
+	.Y(n_62450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1275 (
+	.A(n_62426),
+	.B(n_62450),
+	.Y(n_62452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1276 (
+	.A(n_77083),
+	.Y(n_62454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1278 (
+	.A(n_62455),
+	.B(n_62456),
+	.Y(n_62457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1284 (
+	.A1(n_76728),
+	.A2(n_62438),
+	.B1(n_62443),
+	.Y(n_62455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1300 (
+	.A(n_62438),
+	.B(n_76728),
+	.Y(n_62456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1335 (
+	.A(n_62419),
+	.Y(n_62459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1364 (
+	.A(n_62351),
+	.B(n_62354),
+	.Y(n_62471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1334 (
+	.A(n_54405),
+	.Y(n_62477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g1354 (
+	.A(n_60821),
+	.B(n_62475),
+	.Y(n_54405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1366 (
+	.A(n_62473),
+	.B(n_62474),
+	.Y(n_62475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1388 (
+	.A(n_62415),
+	.B(n_62416),
+	.Y(n_62473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1371 (
+	.A(n_75476),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1795 ),
+	.Y(n_62474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1339 (
+	.A(n_62482),
+	.B(n_76876),
+	.Y(n_62488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1345 (
+	.A(n_62479),
+	.B(n_62481),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1876 ),
+	.Y(n_62482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1373 (
+	.A(n_62478),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1784 ),
+	.Y(n_62479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1415 (
+	.A(n_87151),
+	.Y(n_62478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1389 (
+	.A(n_87151),
+	.B(n_62480),
+	.Y(n_62481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1426 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1784 ),
+	.Y(n_62480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1390 (
+	.A(n_62480),
+	.B(n_87151),
+	.Y(n_62483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1372 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1784 ),
+	.B(n_62478),
+	.Y(n_62484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1340 (
+	.A(n_76733),
+	.B(n_62493),
+	.Y(n_62494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1375 (
+	.A_N(n_60559),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1872 ),
+	.Y(n_62489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1392 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1872 ),
+	.B(n_60559),
+	.Y(n_62490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1344 (
+	.A(n_62489),
+	.B(n_62490),
+	.C(n_59655),
+	.Y(n_62493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1033569 (
+	.A(n_78872),
+	.Y(n_44965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1033571 (
+	.A(n_62524),
+	.B(n_62525),
+	.C(n_62526),
+	.Y(n_62527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033572 (
+	.A(n_28494),
+	.B(FE_DBTN70_n_87553),
+	.Y(n_62524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1033573 (
+	.A(n_28490),
+	.B(n_28119),
+	.C(n_87553),
+	.Y(n_62525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1033574 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2903 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2819 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2821 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2902 ),
+	.X(n_62526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033576 (
+	.A(n_62524),
+	.B(n_62525),
+	.Y(n_62528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033578 (
+	.A(n_62539),
+	.B(n_62540),
+	.Y(n_62541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1033579 (
+	.A(n_62536),
+	.B(n_62538),
+	.Y(n_62539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033580 (
+	.A(n_62534),
+	.B(n_62535),
+	.Y(n_62536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 g1033581 (
+	.A(n_62533),
+	.Y(n_62534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1033582 (
+	.A(n_73727),
+	.B(n_60265),
+	.Y(n_62533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1033583 (
+	.A(n_60268),
+	.B(n_60269),
+	.Y(n_62535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1033584 (
+	.A(n_62537),
+	.Y(n_62538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1033585 (
+	.A(n_60275),
+	.B(n_60279),
+	.Y(n_62537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1033586 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [0]),
+	.B(n_56961),
+	.X(n_62540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g1033587 (
+	.A(n_62546),
+	.Y(n_62547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_4 g1033588 (
+	.A(n_53547),
+	.B(n_62544),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1845 ),
+	.D(n_62545),
+	.Y(n_62546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1033589 (
+	.A(n_73663),
+	.B(n_62543),
+	.Y(n_62544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1033591 (
+	.A1(n_63753),
+	.A2(n_79269),
+	.B1(n_45451),
+	.Y(n_62543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g96 (
+	.A(n_54672),
+	.B(n_79007),
+	.Y(n_62545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1033592 (
+	.A(n_78799),
+	.B(n_79139),
+	.Y(n_62554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1033596 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1941 ),
+	.B(n_43147),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1930 ),
+	.Y(n_62549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033597 (
+	.A(n_76014),
+	.B(n_13391),
+	.Y(n_62550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1033598 (
+	.A(n_40851),
+	.B(n_13267),
+	.Y(n_62552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1033603 (
+	.A(n_62560),
+	.B(n_62556),
+	.Y(n_62561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1033604 (
+	.A(n_53594),
+	.B(n_90625),
+	.Y(n_62556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1033605 (
+	.A(n_62557),
+	.B(FE_DBTN72_n_90623),
+	.Y(n_62560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1033606 (
+	.A(n_74891),
+	.B(n_90589),
+	.Y(n_62557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033610 (
+	.A(n_74951),
+	.B(n_78756),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_957 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g1033612 (
+	.A(n_62574),
+	.B(n_62572),
+	.C(n_64815),
+	.D(n_57058),
+	.Y(n_62579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1033613 (
+	.A(n_62568),
+	.B(n_77843),
+	.Y(n_62572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1033615 (
+	.A(n_26420),
+	.B(n_25474),
+	.Y(n_62568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 fopt1033617 (
+	.A(n_62573),
+	.Y(n_62574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1033618 (
+	.A(n_61661),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_38 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_94 ),
+	.Y(n_62573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1033620 (
+	.A1(n_42763),
+	.A2(n_84334),
+	.B1(n_42764),
+	.X(n_62575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g99 (
+	.A(n_29042),
+	.Y(n_57058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g100 (
+	.A(n_25461),
+	.B(n_48255),
+	.Y(n_29042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1033625 (
+	.A(n_62590),
+	.B(n_62591),
+	.Y(n_62592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033626 (
+	.A(n_62777),
+	.B(n_62589),
+	.Y(n_62590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1033628 (
+	.A(n_62587),
+	.B(n_62588),
+	.Y(n_62589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1033629 (
+	.A(n_61115),
+	.B(n_62709),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1403 ),
+	.Y(n_62587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1033630 (
+	.A(n_78796),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1981 ),
+	.Y(n_62588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1033631 (
+	.A(n_61102),
+	.B(n_13364),
+	.Y(n_62591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033632 (
+	.A(n_62600),
+	.B(n_62603),
+	.Y(n_62604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1033633 (
+	.A(n_62596),
+	.B(n_62597),
+	.C(n_75868),
+	.Y(n_62600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033634 (
+	.A(n_62595),
+	.B(n_28582),
+	.Y(n_62596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1033635 (
+	.A(FE_DBTN70_n_87553),
+	.B(n_62594),
+	.Y(n_62595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1033637 (
+	.A(n_59296),
+	.Y(n_62594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1033638 (
+	.A(n_28584),
+	.B(FE_DBTN70_n_87553),
+	.Y(n_62597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033641 (
+	.A(n_77914),
+	.B(n_62602),
+	.Y(n_62603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1033643 (
+	.A(n_75868),
+	.Y(n_62602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1033644 (
+	.A(n_62608),
+	.B(FE_DBTN20_n_79311),
+	.Y(n_62612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033645 (
+	.A(n_64222),
+	.B(n_79765),
+	.Y(n_62608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1033651 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1181 ),
+	.B(n_79311),
+	.Y(n_62613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1033673 (
+	.A(n_62636),
+	.B(n_79311),
+	.Y(n_62638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033674 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1097 ),
+	.B(n_69258),
+	.Y(n_62636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1033680 (
+	.A(n_62645),
+	.B(n_79311),
+	.Y(n_62646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1033681 (
+	.A(n_62644),
+	.B(n_63062),
+	.Y(n_62645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1033682 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_841 ),
+	.B(FE_DBTN44_n_64842),
+	.Y(n_62644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033683 (
+	.A(n_62649),
+	.B(n_62650),
+	.Y(n_62651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g1033684 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1776),
+	.B(n_62647),
+	.C(WALLACE_CSA_DUMMY_OP_groupi_n_1726),
+	.D(n_79245),
+	.Y(n_62649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1033685 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1090),
+	.Y(n_62647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1033687 (
+	.A_N(n_87798),
+	.B(n_62647),
+	.Y(n_62650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1033688 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1776),
+	.B(n_79245),
+	.C(WALLACE_CSA_DUMMY_OP_groupi_n_1726),
+	.Y(n_62652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1033698 (
+	.A(n_62661),
+	.B(n_87777),
+	.Y(n_62662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1033699 (
+	.A(n_86308),
+	.B(n_86306),
+	.C(FE_DBTN48_n_62712),
+	.Y(n_62661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g1033700 (
+	.A(n_86308),
+	.B(FE_DBTN48_n_62712),
+	.C(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_1 ),
+	.D(n_86306),
+	.Y(n_62664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033710 (
+	.A(n_62675),
+	.B(n_79311),
+	.Y(n_62676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1033711 (
+	.A(n_62674),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1051 ),
+	.Y(n_62675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033712 (
+	.A(n_60654),
+	.B(FE_DBTN44_n_64842),
+	.Y(n_62674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1033713 (
+	.A1(n_62678),
+	.A2(n_90632),
+	.B1(n_78796),
+	.X(n_62679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1033714 (
+	.A(n_62677),
+	.B(n_78756),
+	.Y(n_62678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1033715 (
+	.A(n_62787),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1522 ),
+	.Y(n_62677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033716 (
+	.A(n_62683),
+	.B(n_44546),
+	.Y(n_62684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1033717 (
+	.A(FE_DBTN78_n_81343),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [9]),
+	.Y(n_62683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1033732 (
+	.A(n_54681),
+	.B(n_62701),
+	.Y(n_62702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033733 (
+	.A(n_62697),
+	.B(n_62700),
+	.Y(n_62701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1033734 (
+	.A(FE_DBTN54_n_78756),
+	.B(n_78321),
+	.Y(n_62697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1033736 (
+	.A(n_62698),
+	.B(n_78756),
+	.Y(n_62700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1033737 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1416 ),
+	.Y(n_62698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1033739 (
+	.A(n_62701),
+	.Y(n_62703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1033740 (
+	.A(n_62708),
+	.Y(n_62709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033741 (
+	.A(n_61118),
+	.B(n_62707),
+	.Y(n_62708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1033743 (
+	.A(n_62706),
+	.B(n_75456),
+	.Y(n_62707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1033744 (
+	.A(n_62705),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1555 ),
+	.Y(n_62706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033745 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1575 ),
+	.B(FE_DBTN72_n_90623),
+	.Y(n_62705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1033747 (
+	.A(n_60719),
+	.B(n_87777),
+	.C(FE_DBTN127_n_93072),
+	.Y(n_62710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033749 (
+	.A(FE_DBTN127_n_93072),
+	.B(n_60719),
+	.Y(n_62712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g24 (
+	.A(n_62714),
+	.Y(n_62715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033752 (
+	.A(n_87779),
+	.B(n_87778),
+	.Y(n_62714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1033756 (
+	.A(n_78794),
+	.B(n_56131),
+	.Y(n_62724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033760 (
+	.A(n_70071),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2260 ),
+	.Y(n_62732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g104 (
+	.A(n_62726),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1334 ),
+	.Y(n_62727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033765 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1215 ),
+	.B(n_58731),
+	.Y(n_62726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g1033780 (
+	.A1_N(FE_DBTN72_n_90623),
+	.A2_N(n_62750),
+	.B1(FE_DBTN72_n_90623),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1509 ),
+	.Y(n_62751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033781 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1485 ),
+	.B(n_62749),
+	.Y(n_62750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1033782 (
+	.A(n_79311),
+	.B(n_62748),
+	.Y(n_62749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1033784 (
+	.A(n_62747),
+	.B(n_63006),
+	.C(n_79757),
+	.Y(n_62748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1033785 (
+	.A(n_79495),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_874 ),
+	.X(n_62747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033787 (
+	.A(n_62753),
+	.B(n_56886),
+	.Y(n_62754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1033788 (
+	.A(n_62752),
+	.B(n_56882),
+	.Y(n_62753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033789 (
+	.A(n_56879),
+	.B(n_56880),
+	.Y(n_62752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1033790 (
+	.A(FE_DBTN109_n_62758),
+	.B(n_35132),
+	.C(n_40919),
+	.Y(n_62760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1033792 (
+	.A(n_62592),
+	.B(n_62757),
+	.C(n_62554),
+	.Y(n_62758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1033793 (
+	.A(n_62756),
+	.B(n_62754),
+	.Y(n_62757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1033794 (
+	.A(n_14099),
+	.B(n_62547),
+	.Y(n_62756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1033797 (
+	.A(n_62761),
+	.B(n_90623),
+	.Y(n_62762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1033798 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1290 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1360 ),
+	.Y(n_62761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033803 (
+	.A(n_62773),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2256 ),
+	.Y(n_62774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033804 (
+	.A(n_79243),
+	.B(n_79007),
+	.Y(n_62773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033806 (
+	.A(n_62769),
+	.B(FE_DBTN54_n_78756),
+	.Y(n_62770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033807 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1629 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1557 ),
+	.Y(n_62769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1033809 (
+	.A(n_62776),
+	.B(n_65814),
+	.Y(n_62777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1033810 (
+	.A(n_56202),
+	.B(n_62775),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1942 ),
+	.Y(n_62776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1033811 (
+	.A(n_58629),
+	.B(FE_DBTN63_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sll_527_36_n_957),
+	.Y(n_62775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1033815 (
+	.A(n_63617),
+	.B(n_86308),
+	.Y(n_62781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1033816 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1306 ),
+	.B(n_62786),
+	.C(n_75647),
+	.Y(n_62787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1033817 (
+	.A(n_62785),
+	.Y(n_62786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033818 (
+	.A(n_62784),
+	.B(n_90623),
+	.Y(n_62785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033819 (
+	.A(n_62783),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_662 ),
+	.Y(n_62784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1033820 (
+	.A(n_62782),
+	.Y(n_62783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033821 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_736 ),
+	.B(n_44479),
+	.Y(n_62782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1033822 (
+	.A(n_62794),
+	.Y(n_62795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1033823 (
+	.A(FE_DBTN54_n_78756),
+	.B(FE_DBTN72_n_90623),
+	.C(n_79311),
+	.Y(n_62794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033830 (
+	.A(FE_DBTN54_n_78756),
+	.B(FE_DBTN72_n_90623),
+	.Y(n_62797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033834 (
+	.A(n_62800),
+	.B(FE_DBTN20_n_79311),
+	.Y(n_62801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1033835 (
+	.A(n_62799),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1038 ),
+	.Y(n_62800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1033836 (
+	.A(FE_DBTN78_n_81343),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_776 ),
+	.Y(n_62799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_4 g1033859 (
+	.A1(n_69422),
+	.A2(n_25719),
+	.B1_N(n_62828),
+	.Y(n_62829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1033860 (
+	.A1(n_62827),
+	.A2(n_62825),
+	.B1_N(n_39587),
+	.Y(n_62828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_2 g1033861 (
+	.A1(n_75484),
+	.A2(n_63142),
+	.B1_N(n_22721),
+	.Y(n_62825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033863 (
+	.A(n_62826),
+	.B(n_58702),
+	.Y(n_62827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1033865 (
+	.A(n_62825),
+	.B(n_62827),
+	.Y(n_62831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1033866 (
+	.A(n_78545),
+	.B(n_62834),
+	.Y(n_62835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g1033867 (
+	.A(n_49441),
+	.B(soc_top_u_dccm_bank_sel[1]),
+	.C(soc_top_u_dccm_rdata3[5]),
+	.X(n_62834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1033872 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_89),
+	.B(n_78500),
+	.Y(n_62843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 fopt269 (
+	.A(n_78500),
+	.Y(n_62844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1033878 (
+	.A(n_56756),
+	.B(n_78500),
+	.Y(n_62845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1033879 (
+	.A(n_56756),
+	.B(n_78499),
+	.C(n_64050),
+	.Y(n_62848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033881 (
+	.A(n_62849),
+	.B(n_62851),
+	.Y(n_58775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1033882 (
+	.A_N(n_76734),
+	.B(n_56691),
+	.Y(n_62849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1033883 (
+	.A(n_49432),
+	.B(n_76734),
+	.Y(n_62851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033887 (
+	.A(n_44975),
+	.B(n_62869),
+	.Y(n_44978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1033888 (
+	.A(n_62865),
+	.B(n_62868),
+	.Y(n_62869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1033889 (
+	.A(n_74461),
+	.B(n_62864),
+	.Y(n_62865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g157 (
+	.A1(FE_DBTN20_n_79311),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1343 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1335 ),
+	.Y(n_62859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1033891 (
+	.A_N(n_62863),
+	.B(n_63753),
+	.Y(n_62864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1033892 (
+	.A(n_75239),
+	.B(n_75376),
+	.Y(n_62863), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g158 (
+	.A(n_62866),
+	.B(n_62867),
+	.Y(n_62868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g162 (
+	.A(FE_DBTN63_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sll_527_36_n_957),
+	.B(n_78300),
+	.Y(n_62866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1033894 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1503 ),
+	.B(n_75404),
+	.Y(n_62867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1033897 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1512 ),
+	.Y(n_62872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1033899 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_670 ),
+	.B(n_62859),
+	.Y(n_62875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1033901 (
+	.A(n_62880),
+	.Y(n_62881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1033902 (
+	.A(n_62877),
+	.B(n_62879),
+	.Y(n_62880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1033903 (
+	.A(n_30992),
+	.B(n_78398),
+	.Y(n_62877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1033904 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2862 ),
+	.B(n_56675),
+	.Y(n_62879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1033906 (
+	.A(n_30992),
+	.B(n_56675),
+	.Y(n_62882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1033947 (
+	.A(n_73482),
+	.Y(n_62943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1033953 (
+	.A(n_77394),
+	.B(n_70359),
+	.Y(n_62952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1033967 (
+	.A(FE_DBTN44_n_64842),
+	.B(FE_DBTN78_n_81343),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [33]),
+	.Y(n_62966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1033974 (
+	.A(n_43502),
+	.Y(n_62970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1033978  (
+	.A(n_75878),
+	.B(n_62978),
+	.Y(n_62980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1033980  (
+	.A(n_81348),
+	.B(n_62977),
+	.Y(n_62978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1033982  (
+	.A(FE_DBTN78_n_81343),
+	.B(n_36233),
+	.Y(n_62977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1033984 (
+	.A(FE_DBTN44_n_64842),
+	.B(n_65309),
+	.C(n_73667),
+	.Y(n_62986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1033990  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_989 ),
+	.B(FE_DBTN1_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sll_527_36_n_535),
+	.Y(n_62988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1033994  (
+	.A(n_62988),
+	.B_N(n_75376),
+	.Y(n_62990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1033995  (
+	.A(n_62990),
+	.B(n_63753),
+	.Y(n_62993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1033996  (
+	.A(n_80741),
+	.Y(n_62998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1034003 (
+	.A(FE_DBTN35_n_69877),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [21]),
+	.Y(n_63002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1034006 (
+	.A(FE_DBTN35_n_69877),
+	.B(n_30818),
+	.Y(n_63004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1034007  (
+	.A(FE_DBTN35_n_69877),
+	.B(n_87811),
+	.Y(n_63006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1034010  (
+	.A(FE_DBTN35_n_69877),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [20]),
+	.Y(n_63008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1034011  (
+	.A(FE_DBTN35_n_69877),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [13]),
+	.Y(n_63009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1034023 (
+	.A(n_45921),
+	.B(FE_DBTN20_n_79311),
+	.Y(n_63019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1034024 (
+	.A(n_53540),
+	.B(n_57479),
+	.Y(n_63020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1034031 (
+	.A(n_86308),
+	.B(n_87772),
+	.C(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_20 ),
+	.Y(n_63029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1034032 (
+	.A(n_86308),
+	.B(n_87772),
+	.Y(n_63030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g1034033  (
+	.A(n_86308),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_131 ),
+	.Y(n_63031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1034063 (
+	.A(n_61610),
+	.B(n_64842),
+	.Y(n_63060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1034065  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_862 ),
+	.B(n_64842),
+	.Y(n_63062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1034075  (
+	.A(n_81343),
+	.B(n_35236),
+	.Y(n_63072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1034077  (
+	.A1(n_39930),
+	.A2(n_81343),
+	.B1(n_39931),
+	.Y(n_63073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1034088 (
+	.A1(n_40825),
+	.A2(n_81343),
+	.B1(n_71767),
+	.Y(n_63084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_4 g1034151 (
+	.A1(n_29600),
+	.A2(n_34822),
+	.B1(n_19618),
+	.X(n_63142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1034220 (
+	.A(n_13854),
+	.B(n_63207),
+	.Y(n_63208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_4 g1034221 (
+	.A(n_63205),
+	.B(n_63206),
+	.Y(n_63207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1034222 (
+	.A(n_35194),
+	.Y(n_63205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1034223 (
+	.A(n_13131),
+	.B(n_29572),
+	.Y(n_63206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1034224 (
+	.A(n_63207),
+	.B(n_76154),
+	.Y(n_63209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1034309  (
+	.A(n_81343),
+	.B(n_36236),
+	.Y(n_63288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1034311 (
+	.A(n_81343),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [2]),
+	.Y(n_63290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1034313  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_847 ),
+	.B(n_81343),
+	.Y(n_63291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1034314  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_778 ),
+	.B(n_81343),
+	.Y(n_63292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1034315 (
+	.A1(n_39900),
+	.A2(n_81343),
+	.B1(n_44556),
+	.Y(n_63293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1034316 (
+	.A1(n_39900),
+	.A2(n_81343),
+	.B1(n_44556),
+	.Y(n_39903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1034317 (
+	.A(n_80816),
+	.Y(n_26940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1034320 (
+	.A(n_80816),
+	.B(n_77464),
+	.Y(n_61495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1034322 (
+	.A(n_80816),
+	.B(n_75458),
+	.Y(n_63300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g1034329 (
+	.A(soc_top_u_dccm_bank_sel[0]),
+	.B(soc_top_u_dccm_bank_sel[1]),
+	.C(n_47758),
+	.D(soc_top_u_dccm_rdata4[21]),
+	.X(n_63307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_2 g1034331 (
+	.A(soc_top_u_dccm_bank_sel[1]),
+	.B_N(n_24879),
+	.Y(n_63308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g1034333 (
+	.A(soc_top_u_dccm_bank_sel[0]),
+	.B(n_47758),
+	.C(soc_top_u_dccm_bank_sel[1]),
+	.D(soc_top_u_dccm_rdata4[19]),
+	.X(n_63310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1034568 (
+	.A(n_88457),
+	.B(n_88458),
+	.Y(n_63526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g1034569 (
+	.A(n_38290),
+	.B(n_75871),
+	.Y(n_63529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1034573 (
+	.A(n_63533),
+	.B(n_57067),
+	.Y(n_63534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1034574 (
+	.A(n_70066),
+	.B(n_63532),
+	.Y(n_63533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g1034577 (
+	.A1(n_80398),
+	.A2(soc_top_u_dccm_rdata4[24]),
+	.B1(n_43238),
+	.Y(n_63532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1034581  (
+	.A1_N(n_56694),
+	.A2_N(n_56698),
+	.B1(n_56694),
+	.B2(n_56698),
+	.Y(n_63537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1034582 (
+	.A(n_63539),
+	.B(n_78261),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1034583 (
+	.A(n_63538),
+	.B(n_63537),
+	.Y(n_63539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1034584 (
+	.A(n_78864),
+	.Y(n_63538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g1034585 (
+	.A(n_78924),
+	.B(n_78925),
+	.C(n_63539),
+	.D(n_78261),
+	.Y(n_63541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_2 g1034587 (
+	.A1(n_20203),
+	.A2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [3]),
+	.B1(n_22796),
+	.X(n_63542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1034588 (
+	.A(n_35024),
+	.B(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [3]),
+	.X(n_63543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1034594 (
+	.A(n_59007),
+	.B(n_59006),
+	.Y(n_63548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 g1034658 (
+	.A(n_70167),
+	.Y(n_63613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1034659 (
+	.A(n_63615),
+	.B(n_62710),
+	.Y(n_63616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1034660 (
+	.A(n_63613),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_93 ),
+	.Y(n_63615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 g1034661 (
+	.A(n_57807),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_93 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g1034662  (
+	.A(n_93072),
+	.B(n_63615),
+	.Y(n_63617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g1034663  (
+	.A(n_53275),
+	.B(n_63615),
+	.Y(n_63618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1029468_dup (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_93 ),
+	.B(n_63613),
+	.Y(n_63619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1034666 (
+	.A_N(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_82 ),
+	.B(n_87773),
+	.Y(n_63622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_2 g1034667 (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_147 ),
+	.B_N(n_87779),
+	.Y(n_63623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g1034668  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_147 ),
+	.Y(n_63624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1034669 (
+	.A(n_55019),
+	.B(n_59178),
+	.C(n_88146),
+	.Y(n_42760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1034740 (
+	.A(n_63751),
+	.B(n_63752),
+	.Y(n_63753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1034741 (
+	.A(FE_DBTN28_n_74938),
+	.B(n_53287),
+	.Y(n_63751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1034742 (
+	.A(FE_DBTN112_n_12763),
+	.B(n_75375),
+	.Y(n_63752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1034745 (
+	.A1(n_58723),
+	.A2(n_37171),
+	.B1(n_12808),
+	.Y(n_63755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1034747 (
+	.A(FE_DBTN47_n_63753),
+	.B(n_63755),
+	.Y(n_63763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_4 g1034749 (
+	.A_N(n_63769),
+	.B(n_63772),
+	.C(n_75489),
+	.Y(n_63777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1034750 (
+	.A1(n_61252),
+	.A2(n_64100),
+	.B1(n_25549),
+	.Y(n_63769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g1034752 (
+	.A(soc_top_u_top_u_core_use_fp_rs2),
+	.B(n_18369),
+	.X(n_63766), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1034754 (
+	.A(n_63770),
+	.B(n_58322),
+	.Y(n_63772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1034755 (
+	.A(n_39639),
+	.B(n_39642),
+	.Y(n_63770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1034759 (
+	.A(n_63773),
+	.B_N(n_25544),
+	.Y(n_63774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1034760 (
+	.A1_N(n_16540),
+	.A2_N(n_29636),
+	.B1(n_24958),
+	.B2(n_24945),
+	.Y(n_63773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1034762 (
+	.A1(n_58322),
+	.A2(n_63770),
+	.B1(n_63773),
+	.X(n_63779), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1034764 (
+	.A(n_25544),
+	.B(n_58680),
+	.Y(n_63780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1034766 (
+	.A(n_47758),
+	.B(soc_top_u_dccm_rdata4[16]),
+	.Y(n_63782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1034827 (
+	.A(n_81771),
+	.B(n_57785),
+	.Y(n_63854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1034832 (
+	.A(FE_DBTN48_n_62712),
+	.B(n_63859),
+	.Y(n_63860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1034834 (
+	.A(n_60707),
+	.B(n_63858),
+	.Y(n_63859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1034835 (
+	.A(n_62715),
+	.B(n_63857),
+	.Y(n_63858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g1034836 (
+	.A(n_87805),
+	.Y(n_63857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1034838 (
+	.A(n_63864),
+	.B(n_61615),
+	.Y(n_63865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1034839 (
+	.A(n_63863),
+	.B(n_79311),
+	.Y(n_63864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1034840 (
+	.A(n_63862),
+	.B(n_58399),
+	.Y(n_63863), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1034841 (
+	.A(n_53578),
+	.B(n_64842),
+	.Y(n_63862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1034847 (
+	.A(n_63871),
+	.B(n_64035),
+	.Y(n_63872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1034848 (
+	.A(n_57164),
+	.B(n_86400),
+	.C(n_63870),
+	.Y(n_63871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1034849 (
+	.A(n_59014),
+	.B(n_27717),
+	.Y(n_63870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1034851 (
+	.A(n_59334),
+	.B(n_86167),
+	.C(n_87553),
+	.Y(n_63878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1034854 (
+	.A(n_59184),
+	.B(n_27818),
+	.Y(n_63875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1034857 (
+	.A(n_59334),
+	.B(n_86167),
+	.Y(n_63881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1034858 (
+	.A(n_63890),
+	.B(FE_DBTN76_n_78163),
+	.Y(n_63893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1034859 (
+	.A(n_63887),
+	.B(n_63889),
+	.Y(n_63890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1034860 (
+	.A(n_63884),
+	.B(FE_DBTN132_n_63885),
+	.Y(n_63887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1034861 (
+	.A(n_63882),
+	.B(n_63883),
+	.Y(n_63884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1034862 (
+	.A(n_61394),
+	.B(n_38339),
+	.Y(n_63882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1034863 (
+	.A(n_55504),
+	.B(n_88181),
+	.Y(n_63883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1034865 (
+	.A(addinc_ADD_UNS_OP_2_n_938),
+	.B(n_55500),
+	.Y(n_63885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1034866 (
+	.A(n_88102),
+	.Y(n_63889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1034870 (
+	.A(n_55504),
+	.B(n_88181),
+	.Y(n_63894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1034876 (
+	.A(n_57915),
+	.B(n_63901),
+	.Y(n_63902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1034877 (
+	.A(n_59252),
+	.B(n_63900),
+	.Y(n_63901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1034878 (
+	.A(n_58764),
+	.B(n_58266),
+	.C(n_58268),
+	.Y(n_63900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1034879  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2710 ),
+	.B(n_77941),
+	.CI(n_78809),
+	.COUT(n_63903),
+	.SUM(n_63904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1034880 (
+	.A(n_53613),
+	.B(n_63906),
+	.Y(n_63907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1034881 (
+	.A(n_77509),
+	.B(n_63904),
+	.Y(n_63906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1034883 (
+	.A(n_39840),
+	.B(n_63906),
+	.C(n_53613),
+	.Y(n_63908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_2 g1034884 (
+	.A1(n_56428),
+	.A2(n_78183),
+	.B1_N(n_87508),
+	.Y(n_63909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1034885 (
+	.A(n_56519),
+	.B(n_85463),
+	.Y(n_63910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1034886 (
+	.A(n_63911),
+	.Y(n_63912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1034887 (
+	.A(n_63910),
+	.B(n_63909),
+	.Y(n_63911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1034888 (
+	.A(n_86174),
+	.B(n_60664),
+	.Y(n_63913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1034890 (
+	.A(n_63913),
+	.B(n_90560),
+	.Y(n_63915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1034894 (
+	.A(n_27073),
+	.B(n_63300),
+	.Y(n_63917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1034895 (
+	.A(n_41156),
+	.Y(n_63918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1034896 (
+	.A(n_80172),
+	.B(n_28500),
+	.Y(n_63921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1034897 (
+	.A(n_64714),
+	.B(n_56777),
+	.Y(n_63924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1034902 (
+	.A(n_81308),
+	.B(n_54539),
+	.Y(n_63929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_4 g1034905 (
+	.A_N(n_81709),
+	.B(n_47731),
+	.C(n_63932),
+	.Y(n_47734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1034906 (
+	.A(n_63930),
+	.B(n_63931),
+	.Y(n_63932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 g1034907 (
+	.A1(n_56975),
+	.A2(n_54110),
+	.B1(n_20652),
+	.Y(n_63930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1034908 (
+	.A(n_25995),
+	.B(n_59352),
+	.Y(n_63931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g1034909 (
+	.A(n_63936),
+	.Y(n_63937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1034910 (
+	.A(n_63934),
+	.B(n_63935),
+	.Y(n_63936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1034911 (
+	.A(FE_DBTN92_n_27674),
+	.B(n_34696),
+	.Y(n_63934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1034912 (
+	.A(n_27667),
+	.B(n_74341),
+	.Y(n_63935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 fopt1034920 (
+	.A(n_23690),
+	.Y(n_63944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1034966 (
+	.A(n_25055),
+	.Y(n_63999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt1034977 (
+	.A(n_62568),
+	.Y(n_64007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 fopt1034996 (
+	.A(n_27408),
+	.Y(n_64035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1035039 (
+	.A(n_48252),
+	.Y(n_64100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 fopt1035066 (
+	.A(n_62267),
+	.Y(n_64141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1035070 (
+	.A(n_25794),
+	.B(n_18005),
+	.Y(n_64142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1035071 (
+	.A(n_90502),
+	.B(n_60748),
+	.Y(n_64143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1035072 (
+	.A(n_58092),
+	.B(n_65222),
+	.Y(n_64144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31a_1 g1035073 (
+	.A1(n_56975),
+	.A2(n_25680),
+	.A3(n_60701),
+	.B1(n_22841),
+	.X(n_64145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1035075 (
+	.A(FE_DBTN14_n_80113),
+	.B(n_44420),
+	.Y(n_64152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 fopt1035076 (
+	.A(n_64150),
+	.Y(n_44420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1035077 (
+	.A(n_27352),
+	.B(n_63929),
+	.Y(n_64150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1035078 (
+	.A(n_44420),
+	.B(n_80113),
+	.C(n_64035),
+	.Y(n_64153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1035079 (
+	.A(n_78169),
+	.B(n_44420),
+	.Y(n_64155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1035090  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_867 ),
+	.B(n_81343),
+	.Y(n_64166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1035092  (
+	.A(n_64842),
+	.B(n_81343),
+	.Y(n_64167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1035101 (
+	.A(n_27902),
+	.B(n_59637),
+	.Y(n_64177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1035103 (
+	.A(n_54549),
+	.B(n_59637),
+	.Y(n_64178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g1035104 (
+	.A(n_56961),
+	.B(n_59637),
+	.X(n_64180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1035108 (
+	.A(soc_top_u_top_u_core_rf_wdata_fwd_wb[7]),
+	.Y(n_64183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g1035134 (
+	.A(n_64214),
+	.B(n_79573),
+	.Y(n_64210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1035137 (
+	.A(n_79571),
+	.Y(n_64212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 addinc_ADD_UNS_OP_2_g1035138 (
+	.A(n_64214),
+	.Y(n_64216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g1035140 (
+	.A(n_54215),
+	.B(n_64212),
+	.Y(n_64214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g1035141 (
+	.A(n_79572),
+	.Y(n_54215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1035146 (
+	.A(n_78295),
+	.B(FE_DBTN78_n_81343),
+	.Y(n_64222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1035148 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_853 ),
+	.B(FE_DBTN78_n_81343),
+	.Y(n_64223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1035149  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_867 ),
+	.B(FE_DBTN78_n_81343),
+	.Y(n_64224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1035150  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_858 ),
+	.B(FE_DBTN78_n_81343),
+	.Y(n_64225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1035151  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_848 ),
+	.B(FE_DBTN78_n_81343),
+	.Y(n_64227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1035153  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_856 ),
+	.B(FE_DBTN78_n_81343),
+	.Y(n_64228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1035154 (
+	.A(n_62782),
+	.B(FE_DBTN78_n_81343),
+	.Y(n_64229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1035155  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_785 ),
+	.B(FE_DBTN78_n_81343),
+	.Y(n_64230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1035156  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_762 ),
+	.B(FE_DBTN78_n_81343),
+	.Y(n_64231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1035157 (
+	.A(n_54938),
+	.B(n_78818),
+	.Y(n_64232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1035158 (
+	.A(n_55090),
+	.B(FE_DBTN46_n_64232),
+	.Y(n_64234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1035160 (
+	.A(FE_DBTN46_n_64232),
+	.B(n_30833),
+	.Y(n_64236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1035162 (
+	.A(FE_DBTN46_n_64232),
+	.B(n_42338),
+	.Y(n_64237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1035163 (
+	.A1(n_75105),
+	.A2(FE_DBTN46_n_64232),
+	.B1(n_15914),
+	.Y(n_64238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1035164 (
+	.A(FE_DBTN46_n_64232),
+	.B(n_30846),
+	.Y(n_64239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1035166 (
+	.A(FE_DBTN46_n_64232),
+	.B(n_75739),
+	.C(n_55068),
+	.Y(n_64241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 g1035570 (
+	.A1(n_61252),
+	.A2(n_79117),
+	.B1(n_40438),
+	.Y(n_64615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g2 (
+	.A(n_64615),
+	.Y(n_64617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1035580 (
+	.A(n_64623),
+	.B(n_78545),
+	.Y(n_64625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1035581 (
+	.A(soc_top_u_dccm_rdata3[7]),
+	.Y(n_64623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1035586 (
+	.A(FE_DBTN142_soc_top_u_top_u_core_fp_operands_0_28),
+	.B(n_64632),
+	.C(n_25512),
+	.Y(n_64633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1035587 (
+	.A(n_62943),
+	.B(n_84334),
+	.Y(n_64632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1035592 (
+	.A(n_64638),
+	.Y(n_64639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1035593 (
+	.A(FE_DBTN10_n_85255),
+	.B(n_64636),
+	.C(n_64637),
+	.Y(n_64638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1035595 (
+	.A(n_61161),
+	.B(n_18017),
+	.C(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.Y(n_64636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1035596 (
+	.A(n_60756),
+	.B(n_18365),
+	.Y(n_64637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g1035597 (
+	.A(n_26103),
+	.B(n_64638),
+	.X(n_64640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1035599 (
+	.A(n_80228),
+	.Y(n_61490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1035601 (
+	.A(n_80228),
+	.B(n_64643),
+	.Y(n_64644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1035603 (
+	.A1(n_17688),
+	.A2(n_91432),
+	.B1(n_80225),
+	.Y(n_64643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1035604 (
+	.A(n_64644),
+	.Y(n_60376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1035674 (
+	.A(n_64713),
+	.B(n_63921),
+	.Y(n_64714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1035675 (
+	.A_N(n_63903),
+	.B(n_56772),
+	.Y(n_64713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1035684 (
+	.A(n_64720),
+	.Y(n_64721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1035685 (
+	.A(n_63915),
+	.B(n_78330),
+	.Y(n_64720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1035686 (
+	.A(n_59366),
+	.B(n_87553),
+	.Y(n_64722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1035691 (
+	.A(n_76868),
+	.B(n_64728),
+	.Y(n_64729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1035693 (
+	.A(n_74987),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2526 ),
+	.C(n_75832),
+	.Y(n_64728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1035695 (
+	.A(n_77616),
+	.Y(n_64734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1035696 (
+	.A(n_64721),
+	.B(n_64722),
+	.Y(n_64735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1035699 (
+	.A(n_78643),
+	.B(n_59545),
+	.Y(n_64736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1035700 (
+	.A(n_78097),
+	.B(n_78641),
+	.Y(n_64745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1035737 (
+	.A(n_86308),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_119 ),
+	.Y(n_64772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt1035742 (
+	.A(n_87778),
+	.Y(n_64782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt1035757 (
+	.A(n_15500),
+	.Y(n_64809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt1035767 (
+	.A(n_62575),
+	.Y(n_64815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1035770  (
+	.A(FE_DBTN35_n_69877),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [33]),
+	.Y(n_64824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1035773  (
+	.A(FE_DBTN35_n_69877),
+	.B(n_72106),
+	.Y(n_64826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1035774  (
+	.A(FE_DBTN35_n_69877),
+	.B(n_55070),
+	.Y(n_64828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1035776 (
+	.A(FE_DBTN35_n_69877),
+	.B(n_56548),
+	.Y(n_64829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1035778 (
+	.A(n_64832),
+	.B(n_17765),
+	.Y(n_64833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1035779 (
+	.A(n_64831),
+	.B(FE_DBTN12_n_81281),
+	.Y(n_64832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1035780 (
+	.A(n_80148),
+	.B(n_25959),
+	.Y(n_64831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1035781 (
+	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[24]),
+	.A1(n_64832),
+	.S(n_1494),
+	.X(n_64835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1035790 (
+	.A(n_12802),
+	.B(n_47218),
+	.Y(n_64842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1035793  (
+	.A(n_64842),
+	.B(n_44385),
+	.Y(n_64846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1035795  (
+	.A(n_64842),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [13]),
+	.Y(n_64848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1035797  (
+	.A(n_64842),
+	.B(n_38089),
+	.Y(n_64850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1035798  (
+	.A(n_64842),
+	.B(n_36244),
+	.Y(n_64851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1035799  (
+	.A(n_64842),
+	.B(n_56252),
+	.Y(n_64853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1035801  (
+	.A(n_64842),
+	.B(n_36247),
+	.Y(n_64854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1035806  (
+	.A(n_64842),
+	.B(n_30798),
+	.Y(n_64859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1035827 (
+	.A(n_80224),
+	.B(n_84503),
+	.Y(n_64880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1035832 (
+	.A(n_64880),
+	.B(n_26592),
+	.Y(n_64885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1035833 (
+	.A_N(n_64880),
+	.B(n_29351),
+	.Y(n_64886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1035834 (
+	.A(n_64880),
+	.B(n_26923),
+	.Y(n_64887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1035992 (
+	.A(n_78501),
+	.B(n_56691),
+	.Y(n_64050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1035995 (
+	.A(n_54376),
+	.B(n_90560),
+	.Y(n_65034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1036195 (
+	.A(n_80149),
+	.B(n_22749),
+	.Y(n_65221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1036196 (
+	.A(n_18004),
+	.B(n_81283),
+	.X(n_65222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1036200 (
+	.A(n_65221),
+	.Y(n_65223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1036201 (
+	.A1(soc_top_u_top_u_core_load_store_unit_i_rdata_q[16]),
+	.A2(n_18365),
+	.B1(soc_top_u_top_u_core_load_store_unit_i_rdata_q[8]),
+	.B2(n_39587),
+	.C1(n_20200),
+	.C2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[24]),
+	.Y(n_65224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1036280 (
+	.A(FE_DBTN35_n_69877),
+	.B(n_36250),
+	.Y(n_65306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt213 (
+	.A(n_65308),
+	.Y(n_65309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1036281 (
+	.A(FE_DBTN54_n_78756),
+	.B(FE_DBTN72_n_90623),
+	.C(FE_DBTN20_n_79311),
+	.Y(n_65308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1036297 (
+	.A(n_72497),
+	.B(n_70316),
+	.Y(n_65325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1036298 (
+	.A(n_72567),
+	.B(n_84340),
+	.Y(n_65330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1036299 (
+	.A(n_65325),
+	.B(n_71790),
+	.Y(n_65331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1036301 (
+	.A(n_65333),
+	.B(n_71790),
+	.Y(n_65334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1036336 (
+	.A(n_30772),
+	.Y(n_65372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1036353 (
+	.A(FE_DBTN44_n_64842),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2344 ),
+	.Y(n_65390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1036355  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_817 ),
+	.B(FE_DBTN44_n_64842),
+	.Y(n_65391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1036807 (
+	.A(n_57836),
+	.B(n_76878),
+	.Y(n_65814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 drc_bufs1037381 (
+	.A(n_29912),
+	.Y(n_14364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 drc_bufs1037430 (
+	.A(n_1503),
+	.Y(n_1502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 drc_bufs1037474 (
+	.A(n_29916),
+	.Y(n_572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 drc_bufs1037476 (
+	.A(n_75496),
+	.Y(n_66462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1037478 (
+	.A1(n_75558),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [15]),
+	.B1(n_66462),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [15]),
+	.C1(n_24899),
+	.X(n_66464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1037479 (
+	.A1(n_24001),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [1]),
+	.B1(n_66462),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [1]),
+	.C1(n_29033),
+	.X(n_66466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1037480 (
+	.A1(n_66462),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [9]),
+	.B1(n_24001),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [9]),
+	.C1(n_29033),
+	.Y(n_66467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1037481 (
+	.A1(n_66462),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [12]),
+	.B1(n_35028),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [12]),
+	.C1(n_29033),
+	.X(n_66468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1037482 (
+	.A1(n_75558),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [4]),
+	.B1(n_66462),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [4]),
+	.C1(n_29033),
+	.X(n_66469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1037483 (
+	.A1(n_66462),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [11]),
+	.B1(n_24001),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [11]),
+	.C1(n_29033),
+	.X(n_66470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1037484 (
+	.A1(n_75558),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [13]),
+	.B1(n_66462),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [13]),
+	.C1(n_29033),
+	.X(n_66471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1037485 (
+	.A1(n_66462),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [5]),
+	.B1(n_24001),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [5]),
+	.C1(n_29033),
+	.X(n_66472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1037486 (
+	.A1(n_66462),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [10]),
+	.B1(n_24001),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [10]),
+	.C1(n_29033),
+	.X(n_66473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1037487 (
+	.A1(n_66462),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [2]),
+	.B1(n_35028),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [2]),
+	.C1(n_29033),
+	.X(n_66474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1037488 (
+	.A1(n_66462),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [14]),
+	.B1(n_35028),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [14]),
+	.C1(n_29033),
+	.X(n_66475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1037489 (
+	.A1(n_35028),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [16]),
+	.B1(n_66462),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [16]),
+	.Y(n_66476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1039034 (
+	.A(n_72528),
+	.Y(n_68020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 fopt1039051 (
+	.A(n_70012),
+	.Y(n_68065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 fopt1039097 (
+	.A(n_74743),
+	.Y(n_68170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 fopt1039108 (
+	.A(\soc_top_xbar_to_timer[a_data] [20]),
+	.Y(n_68213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 fopt1039123 (
+	.A(\soc_top_xbar_to_timer[a_data] [28]),
+	.Y(n_68216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt1039153 (
+	.A(n_68268),
+	.Y(n_68266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1039216 (
+	.A(n_75503),
+	.Y(n_68385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1039267 (
+	.A0(n_49541),
+	.A1(soc_top_u_top_u_core_lsu_wdata[18]),
+	.S(n_74746),
+	.X(n_68460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1039270 (
+	.A(n_68466),
+	.B(n_70064),
+	.Y(n_68464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1039271 (
+	.A(n_68466),
+	.B(n_16),
+	.Y(n_68465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1039273 (
+	.A1(n_7097),
+	.A2(n_68466),
+	.B1(n_7099),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[59] [3]),
+	.X(n_68467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1039274 (
+	.A1(n_7091),
+	.A2(n_68466),
+	.B1(n_7127),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[55] [3]),
+	.X(n_68468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1039275 (
+	.A1(n_7088),
+	.A2(n_68466),
+	.B1(n_7108),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[27] [3]),
+	.X(n_68469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1039276 (
+	.A1(n_7104),
+	.A2(n_68466),
+	.B1(n_7126),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[19] [3]),
+	.X(n_68470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1039277 (
+	.A1(n_7092),
+	.A2(n_68466),
+	.B1(n_7093),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[51] [3]),
+	.X(n_68471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1039278 (
+	.A1(n_7103),
+	.A2(n_68466),
+	.B1(n_7121),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[23] [3]),
+	.X(n_68472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1039279 (
+	.A1(n_68473),
+	.A2(n_2496),
+	.B1(n_7118),
+	.B2(n_68466),
+	.Y(n_68474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g1039280 (
+	.A1(n_35329),
+	.A2(n_68466),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [26]),
+	.C1(n_2730),
+	.Y(n_68473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1039281 (
+	.A1(n_35320),
+	.A2(n_68466),
+	.B1(n_7135),
+	.B2(gpio_o[26]),
+	.C1(n_7301),
+	.X(n_68475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_4 g1039331 (
+	.A1(n_9970),
+	.A2(n_756),
+	.B1(n_9582),
+	.B2(n_7307),
+	.X(n_68525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1039332 (
+	.A1(n_68527),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [28]),
+	.B1(n_10826),
+	.X(n_68528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g989205_1039333 (
+	.A(n_36310),
+	.B(n_68525),
+	.Y(n_68527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1039335 (
+	.A1(n_68527),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [14]),
+	.B1(n_10828),
+	.X(n_68529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1039336 (
+	.A1(n_68527),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [13]),
+	.B1(n_10829),
+	.X(n_68530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1039337 (
+	.A1(n_68527),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [12]),
+	.B1(n_10830),
+	.X(n_68531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1039338 (
+	.A1(n_68527),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [10]),
+	.B1(n_10831),
+	.X(n_68532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1039339 (
+	.A1(n_36310),
+	.A2(n_69148),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [30]),
+	.B2(n_68525),
+	.C1(n_68527),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [30]),
+	.Y(n_68533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1039340 (
+	.A1(n_36310),
+	.A2(n_69158),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [31]),
+	.B2(n_68525),
+	.C1(n_68527),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [31]),
+	.Y(n_68534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1039341 (
+	.A1(n_36310),
+	.A2(n_69138),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [29]),
+	.B2(n_68525),
+	.C1(n_68527),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [29]),
+	.Y(n_68535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1039342 (
+	.A1(n_36310),
+	.A2(n_69128),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [27]),
+	.B2(n_68525),
+	.C1(n_68527),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [27]),
+	.Y(n_68536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1039343 (
+	.A1(n_36310),
+	.A2(n_69118),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [26]),
+	.B2(n_68525),
+	.C1(n_68527),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [26]),
+	.Y(n_68537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1039344 (
+	.A1(n_36310),
+	.A2(n_69177),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [25]),
+	.B2(n_68525),
+	.C1(n_68527),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [25]),
+	.Y(n_68538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1039345 (
+	.A1(n_69107),
+	.A2(n_36310),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [24]),
+	.B2(n_68525),
+	.C1(n_68527),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [24]),
+	.Y(n_68539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1039346 (
+	.A1(n_69097),
+	.A2(n_36310),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [23]),
+	.B2(n_68525),
+	.C1(n_68527),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [23]),
+	.Y(n_68540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1039347 (
+	.A1(n_68527),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [22]),
+	.B1(n_10827),
+	.X(n_68541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1039348 (
+	.A1(n_69087),
+	.A2(n_36310),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [21]),
+	.B2(n_68525),
+	.C1(n_68527),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [21]),
+	.Y(n_68542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1039349 (
+	.A1(n_69077),
+	.A2(n_36310),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [20]),
+	.B2(n_68525),
+	.C1(n_68527),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [20]),
+	.Y(n_68543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g1039350 (
+	.A_N(n_1893),
+	.B(n_8615),
+	.C(n_1890),
+	.X(n_68544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1039351 (
+	.A1(n_68545),
+	.A2(n_10671),
+	.B1(n_9169),
+	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
+	.C1(n_10199),
+	.Y(n_68546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1039352 (
+	.A(soc_top_u_top_u_core_id_in_ready),
+	.B(n_68544),
+	.X(n_68545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1039353 (
+	.A1(n_8599),
+	.A2(n_10334),
+	.B1(n_9169),
+	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[26]),
+	.C1(n_10737),
+	.C2(n_68545),
+	.Y(n_68547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g1039354 (
+	.A1_N(n_8589),
+	.A2_N(n_10087),
+	.B1(n_68545),
+	.B2(n_10683),
+	.Y(n_68548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1039355 (
+	.A(n_68545),
+	.B(n_9223),
+	.Y(n_68549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1039356 (
+	.A(n_68545),
+	.B(n_9889),
+	.Y(n_68550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1039357 (
+	.A1(n_68545),
+	.A2(n_10008),
+	.B1(n_9169),
+	.B2(soc_top_u_top_u_core_instr_rdata_id[14]),
+	.X(n_68551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1039358 (
+	.A1(soc_top_u_top_u_core_id_in_ready),
+	.A2(n_10248),
+	.B1(n_68545),
+	.B2(n_9587),
+	.Y(n_68552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1039359 (
+	.A1(n_68545),
+	.A2(n_10081),
+	.B1(n_10482),
+	.Y(n_68553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g1039360 (
+	.A1(n_9589),
+	.A2(n_10319),
+	.B1(n_68545),
+	.Y(n_68555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1039362 (
+	.A1(n_68545),
+	.A2(n_10205),
+	.B1(n_9169),
+	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[16]),
+	.C1(n_9894),
+	.Y(n_68556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1039363 (
+	.A1(n_68545),
+	.A2(n_9598),
+	.B1(n_9169),
+	.B2(soc_top_u_top_u_core_instr_rdata_id[6]),
+	.C1(n_10478),
+	.Y(n_68557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1039364 (
+	.A1(n_9169),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[5]),
+	.B1(n_68545),
+	.B2(n_10418),
+	.C1(n_69226),
+	.Y(n_68558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 g1039365 (
+	.A1(n_68545),
+	.A2(n_8585),
+	.A3(n_10275),
+	.B1(soc_top_u_top_u_core_instr_rdata_id[31]),
+	.B2(n_9169),
+	.Y(n_68559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1039366 (
+	.A1(n_10576),
+	.A2(n_68545),
+	.B1(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.B2(n_9169),
+	.C1(n_9992),
+	.C2(n_8588),
+	.Y(n_68560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1039367 (
+	.A(n_68545),
+	.Y(n_68561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_8 fopt1039409 (
+	.A(n_84188),
+	.Y(n_68580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1039499 (
+	.A(n_68742),
+	.B(n_28852),
+	.C(n_28806),
+	.Y(n_68743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1039500 (
+	.A(n_68740),
+	.B(n_68741),
+	.Y(n_68742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1039501 (
+	.A(n_28859),
+	.B(n_28854),
+	.C(n_28855),
+	.Y(n_68740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g1039502 (
+	.A(n_15946),
+	.B(n_15861),
+	.C(n_15838),
+	.D(n_15836),
+	.Y(n_68741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1039503 (
+	.A_N(n_29421),
+	.B(n_68742),
+	.C(n_2268),
+	.D(n_6695),
+	.Y(n_68745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1039505 (
+	.A(n_68742),
+	.B(soc_top_u_top_data_we),
+	.Y(n_68746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1039507 (
+	.A(n_68742),
+	.B(n_28846),
+	.C(\soc_top_dccm_to_xbar[a_ready] ),
+	.Y(n_68748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1039509 (
+	.A(n_68742),
+	.B(n_28853),
+	.C(n_28806),
+	.Y(n_68750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1039510 (
+	.A(n_68742),
+	.B(n_28842),
+	.Y(n_68751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1039511 (
+	.A(n_68742),
+	.B(n_28852),
+	.C(n_28803),
+	.Y(n_68752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1039512 (
+	.A(n_68742),
+	.B(n_28844),
+	.Y(n_68753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1039513 (
+	.A(n_68742),
+	.B(n_28841),
+	.C(n_28834),
+	.Y(n_68754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt1039626 (
+	.A(n_73406),
+	.Y(n_68876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1039662 (
+	.A(n_26316),
+	.B(n_25589),
+	.Y(n_68914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g1039663  (
+	.A_N(n_43096),
+	.B(n_68914),
+	.Y(n_68916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g1039665  (
+	.A(n_68914),
+	.B_N(n_43096),
+	.Y(n_68917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g1039666  (
+	.A1(n_81530),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_7 ),
+	.B1(n_68914),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_8 ),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_10 ),
+	.C2(n_80790),
+	.Y(n_68919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g1039668  (
+	.A1(n_68914),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [3]),
+	.B1(n_81530),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_2 ),
+	.C1(n_71730),
+	.Y(n_68920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1039669 (
+	.A1(n_10063),
+	.A2(n_68914),
+	.B1(n_11229),
+	.Y(n_68921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1039670 (
+	.A(n_68914),
+	.B(n_43096),
+	.X(n_68922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1039671 (
+	.A0(n_48960),
+	.A1(n_68914),
+	.S(soc_top_u_top_u_core_alu_adder_result_ex[1]),
+	.X(n_68923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1039673 (
+	.A(n_68914),
+	.Y(n_68925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1039686 (
+	.A1(n_68938),
+	.A2(n_68939),
+	.B1(n_75504),
+	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [0]),
+	.X(n_68942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_2 g1039688 (
+	.A1(n_599),
+	.A2(n_9806),
+	.B1(n_79),
+	.C1(n_69434),
+	.Y(n_68937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1039689 (
+	.A1(n_14572),
+	.A2(\soc_top_iccm_adapter_inst_mem_rdata[0] [0]),
+	.B1(n_14578),
+	.X(n_68939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g16 (
+	.A(n_75504),
+	.Y(n_10570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1039700 (
+	.A(n_3781),
+	.B(n_3105),
+	.Y(n_68957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1039710 (
+	.A(n_3780),
+	.B(n_3104),
+	.Y(n_68967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1039720 (
+	.A(n_3779),
+	.B(n_3103),
+	.Y(n_68977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1039730 (
+	.A(n_3778),
+	.B(n_3082),
+	.Y(n_68987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1039740 (
+	.A(n_3749),
+	.B(n_3102),
+	.Y(n_68997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1039750 (
+	.A(n_3777),
+	.B(n_3101),
+	.Y(n_69007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1039760 (
+	.A(n_3776),
+	.B(n_3100),
+	.Y(n_69017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1039770 (
+	.A(n_3775),
+	.B(n_3088),
+	.Y(n_69027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1039780 (
+	.A(n_3773),
+	.B(n_3117),
+	.Y(n_69037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1039790 (
+	.A1(n_14572),
+	.A2(\soc_top_iccm_adapter_inst_mem_rdata[0] [17]),
+	.B1(n_14581),
+	.X(n_69047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1039800 (
+	.A(n_3772),
+	.B(n_3108),
+	.Y(n_69057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1039810 (
+	.A(n_3771),
+	.B(n_3095),
+	.Y(n_69067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1039820 (
+	.A(n_3770),
+	.B(n_3094),
+	.Y(n_69077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1039830 (
+	.A(n_3769),
+	.B(n_3109),
+	.Y(n_69087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1039840 (
+	.A(n_3768),
+	.B(n_3110),
+	.Y(n_69097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1039850 (
+	.A(n_3767),
+	.B(n_3092),
+	.Y(n_69107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1039851 (
+	.A(n_68937),
+	.Y(n_68938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1039861 (
+	.A(n_3765),
+	.B(n_3107),
+	.Y(n_69118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1039871 (
+	.A(n_3764),
+	.B(n_3114),
+	.Y(n_69128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1039881 (
+	.A(n_3763),
+	.B(n_3106),
+	.Y(n_69138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1039891 (
+	.A(n_3762),
+	.B(n_3089),
+	.Y(n_69148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1039901 (
+	.A(n_3761),
+	.B(n_3122),
+	.Y(n_69158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1039910 (
+	.A1(n_14572),
+	.A2(\soc_top_iccm_adapter_inst_mem_rdata[0] [16]),
+	.B1(n_14580),
+	.X(n_69167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1039920 (
+	.A(n_3766),
+	.B(n_3091),
+	.Y(n_69177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g1039943 (
+	.A(n_78439),
+	.B(n_78158),
+	.Y(n_69202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31o_2 g1039952 (
+	.A1(n_87004),
+	.A2(n_58177),
+	.A3(n_26109),
+	.B1(FE_DBTN104_n_17765),
+	.X(n_69208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1039953 (
+	.A(FE_DBTN104_n_17765),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[13]),
+	.Y(n_69209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1039954 (
+	.A(n_29523),
+	.B(n_28888),
+	.Y(n_69211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1039955 (
+	.A1(n_69213),
+	.A2(soc_top_u_top_u_core_id_stage_i_n_516_BAR),
+	.B1(n_69215),
+	.Y(n_69216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1039957 (
+	.A_N(n_69212),
+	.B(n_69211),
+	.Y(n_69213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g1039958 (
+	.A(n_29799),
+	.B(n_12567),
+	.X(n_69212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1039959 (
+	.A(n_69213),
+	.B(n_69211),
+	.C(n_344238_BAR),
+	.Y(n_69215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1039960 (
+	.A(n_69213),
+	.B(n_29535),
+	.X(n_69217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1039968 (
+	.A(n_8598),
+	.Y(n_69225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1039969 (
+	.A(n_9896),
+	.Y(n_69226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1039973 (
+	.A(n_69225),
+	.B(n_69226),
+	.Y(n_69227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1039974 (
+	.A(soc_top_u_top_u_core_instr_rdata_id[9]),
+	.B(n_9169),
+	.Y(n_69229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1039978 (
+	.A1(n_1240),
+	.A2(n_68977),
+	.B1(n_1255),
+	.B2(n_69077),
+	.C1(n_2532),
+	.X(n_69231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1039998  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_771 ),
+	.B(FE_DBTN78_n_81343),
+	.Y(n_69256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1040001  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_864 ),
+	.B(FE_DBTN78_n_81343),
+	.Y(n_69258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1040008 (
+	.A(n_71731),
+	.B(n_61131),
+	.Y(n_69266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1040011 (
+	.A_N(n_61131),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_534 ),
+	.Y(n_69268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1040013 (
+	.A_N(n_84507),
+	.B(n_69456),
+	.Y(n_69271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1040018 (
+	.A(n_69276),
+	.B(n_69277),
+	.Y(n_69278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1040019 (
+	.A(soc_top_u_top_u_core_alu_adder_result_ex[1]),
+	.B(n_69916),
+	.Y(n_69276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1040021 (
+	.A(n_74746),
+	.B(n_38885),
+	.Y(n_69277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1040022 (
+	.A0(n_13326),
+	.A1(n_69278),
+	.S(n_93140),
+	.X(n_69280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 fopt1040040 (
+	.A(n_3993),
+	.Y(n_69313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1040044 (
+	.A_N(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
+	.B(n_74966),
+	.Y(n_69314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1040104 (
+	.A0(n_75883),
+	.A1(n_1937),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_n_3623 ),
+	.Y(n_69385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1040108 (
+	.A(soc_top_u_top_u_core_fp_flush),
+	.B(n_73786),
+	.Y(n_69382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1040112 (
+	.A(n_80790),
+	.Y(n_69387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1040114 (
+	.A(n_64880),
+	.B(n_69390),
+	.C(n_69387),
+	.Y(n_69391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1040115 (
+	.A(n_81728),
+	.B(n_63780),
+	.Y(n_69390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1040116 (
+	.A(n_81728),
+	.B_N(n_69391),
+	.Y(n_69393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1040117 (
+	.A(n_69391),
+	.B(n_70048),
+	.Y(n_69394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_1 g1040118 (
+	.A(n_86649),
+	.B_N(n_69391),
+	.X(n_69395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g1040131 (
+	.A1(\soc_top_uart_to_xbar[d_valid] ),
+	.A2(n_68751),
+	.B1(n_68748),
+	.C1(n_28871),
+	.X(n_69406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g1040132 (
+	.A1(\soc_top_pwm_to_xbar[d_valid] ),
+	.A2(n_68753),
+	.B1(n_68754),
+	.C1(n_28869),
+	.X(n_69407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1040135 (
+	.A(n_73400),
+	.B(soc_top_u_top_u_core_load_store_unit_i_split_misaligned_access),
+	.C(n_29793),
+	.Y(n_69413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g1040137 (
+	.A1(n_1990),
+	.A2(n_29793),
+	.B1(n_73400),
+	.Y(n_69414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1040138 (
+	.A_N(soc_top_u_top_u_core_load_store_unit_i_lsu_err_q),
+	.B(n_73400),
+	.C(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]),
+	.D(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]),
+	.Y(n_69415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1040144 (
+	.A(n_88131),
+	.B(n_53812),
+	.Y(n_69418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1040145 (
+	.A(n_18365),
+	.Y(n_69422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1040146 (
+	.A(n_69418),
+	.B(n_69423),
+	.Y(n_69424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g1040147 (
+	.A(n_22722),
+	.B(n_63142),
+	.X(n_69423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1040154 (
+	.A(n_69433),
+	.B(n_599),
+	.Y(n_69434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1040155 (
+	.A(n_9582),
+	.B(n_7153),
+	.Y(n_69433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1040175 (
+	.A(n_84366),
+	.Y(n_69455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1040177 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [30]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [28]),
+	.Y(n_69452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g8 (
+	.A(n_69456),
+	.B(n_87792),
+	.Y(n_69457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1040179 (
+	.A(n_39233),
+	.Y(n_69456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g1040249  (
+	.A(n_84281),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_165 ),
+	.Y(n_69528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1040254 (
+	.A_N(n_59622),
+	.B(n_69532),
+	.Y(n_69533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1040255 (
+	.A(n_73628),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_84 ),
+	.Y(n_69532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1040264  (
+	.A(FE_DBTN44_n_64842),
+	.B(n_64238),
+	.Y(n_69542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1040265  (
+	.A(FE_DBTN44_n_64842),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [26]),
+	.Y(n_69543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1040295 (
+	.A1(\soc_top_lsu_to_xbar[a_address] [19]),
+	.A2(n_69574),
+	.B1(n_87477),
+	.Y(n_69576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1040297 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_348),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_353),
+	.Y(n_69574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1040357 (
+	.A(n_56980),
+	.Y(n_56986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g1040360  (
+	.A(n_56980),
+	.B(n_72576),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_84 ),
+	.X(n_69637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g1040369 (
+	.A(n_72564),
+	.B(n_39600),
+	.C(n_39598),
+	.D(n_39599),
+	.X(n_69645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g1040370  (
+	.A1(n_72412),
+	.A2(n_1098),
+	.B1(n_72567),
+	.Y(n_69647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g1040375  (
+	.A(n_69649),
+	.B(n_69650),
+	.Y(n_69651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g1040376  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_143 ),
+	.B_N(n_80135),
+	.Y(n_69649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g1040377  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_118 ),
+	.B(n_63860),
+	.Y(n_69650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1040425 (
+	.A(FE_DBTN90_n_72277),
+	.B(n_69698),
+	.Y(n_69699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1040427 (
+	.A(n_28820),
+	.Y(n_69698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1040429 (
+	.A1(n_86920),
+	.A2(n_69647),
+	.B1_N(n_69704),
+	.Y(n_69705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1040431 (
+	.A1(n_59102),
+	.A2(n_75441),
+	.B1(n_7140),
+	.B2(n_76629),
+	.C1(n_9877),
+	.C2(n_61131),
+	.Y(n_69704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1040439 (
+	.A(n_69717),
+	.Y(n_69718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g1040440 (
+	.A1(n_36401),
+	.A2(n_17765),
+	.B1(n_69716),
+	.Y(n_69717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1040442 (
+	.A(n_69714),
+	.B(n_75689),
+	.Y(n_69716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1040443 (
+	.A(n_81709),
+	.B(n_17765),
+	.Y(n_69714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1040513 (
+	.A_N(n_44394),
+	.B(n_16497),
+	.Y(n_69782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g1040514  (
+	.A(n_76790),
+	.Y(n_69784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1040535 (
+	.A(n_69806),
+	.B(n_69810),
+	.Y(n_69811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1040536 (
+	.A(n_86312),
+	.B(n_70359),
+	.Y(n_69806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1040537 (
+	.A1(n_9216),
+	.A2(n_10914),
+	.B1(n_69809),
+	.X(n_69810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1040538 (
+	.A(n_76791),
+	.B_N(n_12088),
+	.Y(n_69809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1040549 (
+	.A(n_69823),
+	.B(n_69821),
+	.Y(n_69824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1040550 (
+	.A(soc_top_u_top_u_core_id_stage_i_illegal_insn_dec),
+	.B(soc_top_u_top_u_core_instr_valid_id),
+	.Y(n_69821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1040552 (
+	.A(n_69822),
+	.B(soc_top_u_top_u_core_instr_valid_id),
+	.Y(n_69823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 g1040553 (
+	.A1(n_29319),
+	.A2(n_69217),
+	.B1(n_344111_BAR),
+	.Y(n_69822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1040564 (
+	.A1_N(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [12]),
+	.A2_N(n_75504),
+	.B1(n_4575),
+	.B2(n_68937),
+	.Y(n_69834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1040565 (
+	.A1_N(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [10]),
+	.A2_N(n_75504),
+	.B1(n_4579),
+	.B2(n_68937),
+	.Y(n_69835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1040566 (
+	.A1_N(n_75504),
+	.A2_N(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [13]),
+	.B1(n_4570),
+	.B2(n_68937),
+	.Y(n_69836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1040567 (
+	.A1_N(n_75504),
+	.A2_N(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [14]),
+	.B1(n_4583),
+	.B2(n_68937),
+	.Y(n_69837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1040568 (
+	.A1_N(n_75504),
+	.A2_N(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [22]),
+	.B1(n_4726),
+	.B2(n_68937),
+	.Y(n_69838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1040569 (
+	.A1_N(n_75504),
+	.A2_N(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [28]),
+	.B1(n_4581),
+	.B2(n_68937),
+	.Y(n_69839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1040573 (
+	.A(n_13370),
+	.B(n_13843),
+	.Y(n_69843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1040574 (
+	.A(n_69845),
+	.Y(n_69847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1040576 (
+	.A(n_69843),
+	.B(n_69844),
+	.Y(n_69845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1040577 (
+	.A(n_29567),
+	.Y(n_69844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1040578 (
+	.A(n_35003),
+	.B(n_69845),
+	.Y(n_69848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1040586 (
+	.A(n_80221),
+	.B(n_91432),
+	.Y(n_69856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g1040592  (
+	.A(n_84281),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_150 ),
+	.Y(n_69862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g1040594  (
+	.A(n_84281),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_155 ),
+	.Y(n_69863), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1040610  (
+	.A(n_81343),
+	.B(FE_DBTN44_n_64842),
+	.Y(n_69877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1040631 (
+	.A(n_73576),
+	.B(n_40712),
+	.Y(n_69897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1040633 (
+	.A(n_26580),
+	.B(n_73576),
+	.Y(n_69899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1040644 (
+	.A(n_60521),
+	.B(n_58322),
+	.Y(n_69909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g1040645 (
+	.A1_N(n_24945),
+	.A2_N(n_24966),
+	.B1(n_16540),
+	.B2(n_29672),
+	.Y(n_69910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 g1040652 (
+	.A1(n_61252),
+	.A2(n_80383),
+	.B1(n_25528),
+	.Y(n_69916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1040654 (
+	.A(n_69916),
+	.B(n_61021),
+	.Y(n_69921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1040656 (
+	.A1(n_69916),
+	.A2(n_61021),
+	.B1(n_40712),
+	.Y(n_69922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1040657 (
+	.A(n_69916),
+	.B(n_80221),
+	.Y(n_69923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_4 g1040658 (
+	.A1(n_17688),
+	.A2(n_61021),
+	.B1(n_69916),
+	.X(n_69924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_2 g1040659 (
+	.A1(n_17688),
+	.A2(n_69916),
+	.A3(n_61021),
+	.B1(FE_DBTN62_n_69924),
+	.Y(n_69925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1040662 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_138),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_277),
+	.X(n_69927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1040666 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_103),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_261),
+	.X(n_69931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1040675 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_137),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_287),
+	.Y(n_69940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g1040677 (
+	.A(n_69943),
+	.Y(n_69944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1040678 (
+	.A(n_42649),
+	.B(n_48245),
+	.Y(n_69943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1040680 (
+	.A(FE_DBTN32_soc_top_u_top_u_core_id_in_ready),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [1]),
+	.Y(n_69946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1040695 (
+	.A0(n_64615),
+	.A1(n_79223),
+	.S(n_74746),
+	.X(n_69961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1040696 (
+	.A0(n_79223),
+	.A1(n_64615),
+	.S(n_74746),
+	.X(n_69962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g1040698 (
+	.A(n_61131),
+	.B(n_84501),
+	.C(n_79223),
+	.D(n_56980),
+	.Y(n_69964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g1040699 (
+	.A(n_79223),
+	.B(n_26400),
+	.Y(n_69965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1040731 (
+	.A(n_72624),
+	.Y(n_69991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1040744 (
+	.A0(n_71566),
+	.A1(n_13321),
+	.S(n_93140),
+	.X(n_70008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1040775 (
+	.A(n_41959),
+	.B(n_70042),
+	.Y(n_70043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1040776 (
+	.A(n_70040),
+	.B(n_70039),
+	.C(n_73330),
+	.Y(n_70042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1040777 (
+	.A(soc_top_u_top_u_core_lsu_wdata[16]),
+	.Y(n_70039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1040778 (
+	.A(n_13272),
+	.B(n_69391),
+	.Y(n_70040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1040780 (
+	.A1(n_70042),
+	.A2(n_70045),
+	.B1(n_70043),
+	.B2(n_72063),
+	.C1(n_14518),
+	.Y(n_70046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g1040781 (
+	.A1(FE_DBTN42_n_64880),
+	.A2(n_13946),
+	.B1(n_70044),
+	.Y(n_70045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1040782 (
+	.A(n_70040),
+	.B(n_73330),
+	.Y(n_70044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1040783 (
+	.A1(n_70042),
+	.A2(n_70040),
+	.B1(n_14556),
+	.Y(n_70047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1040784 (
+	.A(n_70042),
+	.Y(n_70048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1040793 (
+	.A(FE_DBTN142_soc_top_u_top_u_core_fp_operands_0_28),
+	.B(n_84501),
+	.C(n_80345),
+	.Y(n_70056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1040797 (
+	.A1_N(n_76799),
+	.A2_N(n_93140),
+	.B1(n_69991),
+	.B2(n_93140),
+	.Y(n_70064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1040804 (
+	.A1(n_81695),
+	.A2(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.B1(n_25236),
+	.X(n_70066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1040805  (
+	.A(n_70069),
+	.B(n_78756),
+	.Y(n_70070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1040806 (
+	.A(n_78326),
+	.B(n_70068),
+	.Y(n_70069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1040808 (
+	.A(n_62727),
+	.B(n_90625),
+	.Y(n_70068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1040809 (
+	.A_N(n_78756),
+	.B(n_70069),
+	.Y(n_70071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1040847 (
+	.A(n_70107),
+	.B(n_70108),
+	.Y(n_70109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1040848 (
+	.A(n_30712),
+	.B(n_70359),
+	.Y(n_70107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g1040849 (
+	.A(n_76252),
+	.B(n_11932),
+	.C_N(n_9874),
+	.Y(n_70108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1040866 (
+	.A(n_12355),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_351),
+	.C(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_352),
+	.Y(n_70125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1040867 (
+	.A(n_12613),
+	.B(n_12358),
+	.C(n_70126),
+	.Y(n_70127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1040868 (
+	.A(\soc_top_lsu_to_xbar[a_address] [23]),
+	.Y(n_70126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1040869 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_351),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_352),
+	.Y(n_70129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1040907 (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_86 ),
+	.B(n_57821),
+	.Y(n_70167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g1040908  (
+	.A(n_78058),
+	.B(n_80135),
+	.X(n_70169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1040911 (
+	.A(n_70173),
+	.B(n_2380),
+	.Y(n_70174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1040912 (
+	.A(n_70171),
+	.B(n_70172),
+	.Y(n_70173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1040913 (
+	.A(n_85163),
+	.B(n_4641),
+	.C(n_3838),
+	.Y(n_70171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1040914 (
+	.A(FE_DBTN68_n_54295),
+	.B(soc_top_u_top_u_core_fp_alu_op_mod),
+	.X(n_70172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1040927 (
+	.A(n_70186),
+	.B(n_70187),
+	.Y(n_70188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1040928 (
+	.A(n_1936),
+	.B(FE_DBTN36_n_74984),
+	.Y(n_70186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1040930 (
+	.A(n_74984),
+	.B(n_91432),
+	.Y(n_70187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1040935 (
+	.A(n_78794),
+	.B(n_70195),
+	.Y(n_70196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1040937 (
+	.A1(n_9604),
+	.A2(n_87802),
+	.B1(n_11709),
+	.X(n_70195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1040949 (
+	.A(n_69916),
+	.Y(n_70205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1040962 (
+	.A(n_70220),
+	.B(n_70221),
+	.Y(n_70222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1040963 (
+	.A(n_70219),
+	.B(n_87776),
+	.Y(n_70220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1040964 (
+	.A(n_80135),
+	.B(n_87775),
+	.Y(n_70219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1040965 (
+	.A_N(n_87776),
+	.B(n_80135),
+	.C(n_87775),
+	.Y(n_70221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1040972 (
+	.A(n_80135),
+	.B(n_70229),
+	.Y(n_70230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1040973 (
+	.A(n_87775),
+	.Y(n_70229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g1040993 (
+	.A(n_84569),
+	.Y(n_70249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1041027 (
+	.A(n_70284),
+	.B(n_37434),
+	.Y(n_70286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1041028 (
+	.A(n_59425),
+	.B(n_79426),
+	.Y(n_70284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1041037 (
+	.A(n_77393),
+	.B(n_70359),
+	.Y(n_70297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1041044 (
+	.A(n_77397),
+	.B(n_70359),
+	.Y(n_70303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1041046 (
+	.A(n_62781),
+	.B(n_60717),
+	.Y(n_70300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1041051 (
+	.A(n_77396),
+	.B(n_70359),
+	.Y(n_70309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1041053 (
+	.A(n_53273),
+	.B(n_60720),
+	.Y(n_70306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1041054 (
+	.A(n_86308),
+	.B(n_86306),
+	.C(n_53275),
+	.Y(n_70307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1041058 (
+	.A(n_60717),
+	.B(n_87772),
+	.Y(n_70314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1041063 (
+	.A(n_86769),
+	.B(n_13233),
+	.Y(n_70316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1041065 (
+	.A1_N(n_54295),
+	.A2_N(n_76629),
+	.B1(n_54295),
+	.B2(n_76629),
+	.Y(n_70318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g1041067 (
+	.A(n_70319),
+	.B(n_70318),
+	.C(n_70316),
+	.D(n_72497),
+	.Y(n_70320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1041068 (
+	.A(n_39598),
+	.B(n_39599),
+	.X(n_70319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1041088 (
+	.A(n_85288),
+	.B(n_70340),
+	.Y(n_70341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g1041090 (
+	.A(n_59460),
+	.B(n_59461),
+	.Y(n_70340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_2 g1041093 (
+	.A1_N(n_76846),
+	.A2_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2391 ),
+	.B1(n_76846),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2391 ),
+	.X(n_70345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1041094 (
+	.A(n_54268),
+	.B(n_70347),
+	.C(n_54271),
+	.Y(n_70348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1041095 (
+	.A(n_70346),
+	.B(n_70345),
+	.Y(n_70347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1041096 (
+	.A(n_78080),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2498 ),
+	.Y(n_70346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1041101 (
+	.A(n_70352),
+	.Y(n_70353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 g1041102 (
+	.A1(n_29396),
+	.A2(n_13850),
+	.B1(n_12910),
+	.Y(n_70352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_4 g1041108 (
+	.A(n_34953),
+	.B(n_9233),
+	.C(n_4287),
+	.D(n_1339),
+	.X(n_70359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1041109 (
+	.A(n_70362),
+	.B(n_70360),
+	.Y(n_70363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g1041110 (
+	.A1(n_9875),
+	.A2(n_31133),
+	.B1(n_12025),
+	.Y(n_70360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1041111 (
+	.A(n_70361),
+	.B(n_70359),
+	.Y(n_70362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g1041112  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_310 ),
+	.B(n_37912),
+	.Y(n_70361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1041121 (
+	.A(n_14325),
+	.B(n_14366),
+	.Y(n_70372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1041123 (
+	.A(n_70376),
+	.B(n_70375),
+	.Y(n_70377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1041124 (
+	.A(n_70374),
+	.Y(n_70375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1041125 (
+	.A1(n_13290),
+	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[9]),
+	.B1(n_12864),
+	.B2(soc_top_iccm_ctrl_addr_o[7]),
+	.X(n_70374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1041126 (
+	.A(n_70372),
+	.B(n_73806),
+	.Y(n_70376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1041143 (
+	.A(n_29388),
+	.B(n_29404),
+	.Y(n_70394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1041146 (
+	.A1(n_13290),
+	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[3]),
+	.B1(n_12864),
+	.B2(soc_top_iccm_ctrl_addr_o[1]),
+	.X(n_70395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1041149 (
+	.A(n_29391),
+	.B(n_29409),
+	.Y(n_70400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1041150 (
+	.A(n_70403),
+	.B(n_70402),
+	.Y(n_70404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1041151 (
+	.A(n_70401),
+	.Y(n_70402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1041152 (
+	.A1(n_13290),
+	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[10]),
+	.B1(n_12864),
+	.B2(soc_top_iccm_ctrl_addr_o[8]),
+	.X(n_70401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1041153 (
+	.A(n_73806),
+	.B(n_70400),
+	.Y(n_70403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1041155 (
+	.A(n_29387),
+	.B(n_29407),
+	.Y(n_70406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1041156 (
+	.A(n_70409),
+	.B(n_70408),
+	.Y(n_70410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1041157 (
+	.A(n_70407),
+	.Y(n_70408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1041158 (
+	.A1(n_13290),
+	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[6]),
+	.B1(n_12864),
+	.B2(soc_top_iccm_ctrl_addr_o[4]),
+	.X(n_70407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1041159 (
+	.A(n_73806),
+	.B(n_70406),
+	.Y(n_70409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1041161 (
+	.A(n_29386),
+	.B(n_29410),
+	.Y(n_70412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1041162 (
+	.A(n_70415),
+	.B(n_70414),
+	.Y(n_70416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1041163 (
+	.A(n_70413),
+	.Y(n_70414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1041164 (
+	.A1(n_13290),
+	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[4]),
+	.B1(n_12864),
+	.B2(soc_top_iccm_ctrl_addr_o[2]),
+	.X(n_70413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1041165 (
+	.A(n_73806),
+	.B(n_70412),
+	.Y(n_70415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1041167 (
+	.A(n_29385),
+	.B(n_29405),
+	.Y(n_70418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1041168 (
+	.A(n_70421),
+	.B(n_70420),
+	.Y(n_70422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1041169 (
+	.A(n_70419),
+	.Y(n_70420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1041170 (
+	.A1(n_13290),
+	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[8]),
+	.B1(n_12864),
+	.B2(soc_top_iccm_ctrl_addr_o[6]),
+	.X(n_70419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1041171 (
+	.A(n_73806),
+	.B(n_70418),
+	.Y(n_70421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1041173 (
+	.A(n_29390),
+	.B(n_29408),
+	.Y(n_70424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1041174 (
+	.A(n_70427),
+	.B(n_70426),
+	.Y(n_70428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1041175 (
+	.A(n_70425),
+	.Y(n_70426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1041176 (
+	.A1(n_13290),
+	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[11]),
+	.B1(n_12864),
+	.B2(soc_top_iccm_ctrl_addr_o[9]),
+	.X(n_70425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1041177 (
+	.A(n_73806),
+	.B(n_70424),
+	.Y(n_70427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1041181 (
+	.A(n_70431),
+	.Y(n_70432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1041182 (
+	.A1(n_13290),
+	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[7]),
+	.B1(n_12864),
+	.B2(soc_top_iccm_ctrl_addr_o[5]),
+	.X(n_70431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1041185 (
+	.A(n_29389),
+	.B(n_29406),
+	.Y(n_70436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1041186 (
+	.A(n_70439),
+	.B(n_70438),
+	.Y(n_70440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1041187 (
+	.A(n_70437),
+	.Y(n_70438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1041188 (
+	.A1(n_13290),
+	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[5]),
+	.B1(n_12864),
+	.B2(soc_top_iccm_ctrl_addr_o[3]),
+	.X(n_70437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1041189 (
+	.A(n_73806),
+	.B(n_70436),
+	.Y(n_70439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1041192 (
+	.A(n_69457),
+	.B(n_87793),
+	.Y(n_70443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1041222 (
+	.A(n_84521),
+	.B(n_76807),
+	.Y(n_70479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1041227 (
+	.A(n_31194),
+	.Y(n_70475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1041229 (
+	.A(n_76807),
+	.B(n_84520),
+	.Y(n_70481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1041338 (
+	.A(n_90504),
+	.B(n_70592),
+	.X(n_70594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1041340 (
+	.A(n_70590),
+	.B(n_70591),
+	.Y(n_70592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1041341 (
+	.A(n_48951),
+	.B(n_84334),
+	.Y(n_70590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g1041342 (
+	.A1_N(n_24484),
+	.A2_N(n_24924),
+	.B1(n_17070),
+	.B2(n_29620),
+	.Y(n_70591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1041344 (
+	.A1(n_16016),
+	.A2(n_70592),
+	.B1(n_71922),
+	.B2(n_70596),
+	.C1(n_29790),
+	.X(n_70597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1041345  (
+	.A(n_70592),
+	.B(n_61467),
+	.X(n_70596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g1041346  (
+	.A1_N(n_70592),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_31 ),
+	.B1(n_90504),
+	.B2(n_70598),
+	.Y(n_70599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g1041347  (
+	.A(n_70592),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_31 ),
+	.Y(n_70598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g1041348  (
+	.A(n_83980),
+	.B(n_70592),
+	.Y(n_70600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1041351 (
+	.A1(n_12976),
+	.A2(n_70592),
+	.B1(n_13847),
+	.B2(n_58897),
+	.C1(n_13462),
+	.Y(n_70603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1041352 (
+	.A1(n_10572),
+	.A2(n_70592),
+	.B1(n_31178),
+	.B2(n_9577),
+	.C1(n_38085),
+	.Y(n_70604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1041353  (
+	.A(n_70592),
+	.B(n_61467),
+	.Y(n_70605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1041354 (
+	.A(n_70592),
+	.Y(n_70606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1041355 (
+	.A0(n_70607),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[31]),
+	.S(FE_DBTN104_n_17765),
+	.X(n_70610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1041356 (
+	.A(FE_DBTN12_n_81281),
+	.B(n_26076),
+	.Y(n_70607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_4 g1041359 (
+	.A1(n_70610),
+	.A2(n_84334),
+	.B1(n_25452),
+	.X(n_54294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1041360 (
+	.A1(n_70610),
+	.A2(n_57529),
+	.B1(n_24487),
+	.B2(n_25077),
+	.C1(n_54294),
+	.C2(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.Y(n_70612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 fopt1041691 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_638 ),
+	.Y(n_70931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1041699 (
+	.A(n_71111),
+	.B(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]),
+	.Y(n_70964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1041701 (
+	.A_N(n_29318),
+	.B(n_12423),
+	.Y(n_218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1041709 (
+	.A(n_36310),
+	.Y(n_70970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1041719  (
+	.A(n_41122),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1753 ),
+	.C(n_90630),
+	.Y(n_70995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1041721 (
+	.A(n_70995),
+	.B(n_62295),
+	.Y(n_70996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_2 g1041726 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ),
+	.A2(n_71006),
+	.B1(n_71004),
+	.B2(n_71008),
+	.Y(n_71009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g68 (
+	.A(n_71002),
+	.B(n_71003),
+	.Y(n_71004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g1041730 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_638 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_51 ),
+	.B1(n_70931),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_49 ),
+	.Y(n_71006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1041731 (
+	.A1(n_70931),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_144 ),
+	.B1(n_71007),
+	.Y(n_71008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1041732 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_47 ),
+	.B(n_70931),
+	.Y(n_71007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1041735 (
+	.A(n_71012),
+	.B(n_12958),
+	.Y(n_71013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1041736 (
+	.A(n_80788),
+	.B(n_26800),
+	.Y(n_71012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 g1041737 (
+	.A1(n_69843),
+	.A2(n_29567),
+	.A3(n_42776),
+	.B1(n_13843),
+	.B2(n_71012),
+	.Y(n_71014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g1041738 (
+	.A1(n_71013),
+	.A2(n_13842),
+	.B1(n_26800),
+	.B2(n_71012),
+	.Y(n_71015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1041804 (
+	.A(n_71082),
+	.B(n_71083),
+	.Y(n_71084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1041805 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [20]),
+	.B(n_76963),
+	.Y(n_71082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1041806 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [22]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [23]),
+	.Y(n_71083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1041807 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [22]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [23]),
+	.Y(n_42563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g1041825 (
+	.A1(n_73400),
+	.A2(FE_DBTN141_soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs_2),
+	.A3(n_71109),
+	.B1(n_71110),
+	.Y(n_71111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g1041827 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]),
+	.B(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]),
+	.X(n_71109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1041828 (
+	.A_N(n_29792),
+	.B(n_218),
+	.C(n_12539),
+	.Y(n_71110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1041974 (
+	.A(n_71264),
+	.B(n_71265),
+	.Y(n_71266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1041975 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_328),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_119),
+	.Y(n_71264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1041976 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_119),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_336),
+	.Y(n_71265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1041978 (
+	.A(n_69208),
+	.B(n_69209),
+	.Y(n_71268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 g1041979 (
+	.A(n_61252),
+	.Y(n_58322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g1042055 (
+	.A(n_85122),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_8 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g1042058 (
+	.A(n_70592),
+	.B(n_61467),
+	.C(n_75798),
+	.X(n_71343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1042059 (
+	.A(n_61021),
+	.B(n_85122),
+	.X(n_71346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g1042064  (
+	.A1(n_85122),
+	.A2(n_26564),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_8 ),
+	.B2(n_70592),
+	.Y(n_71351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g1042065  (
+	.A1(\soc_top_u_top_u_core_fp_operands[0] [9]),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
+	.B1(n_70592),
+	.B2(n_83982),
+	.C1(n_85122),
+	.Y(n_71352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g1042066  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
+	.A2(n_61239),
+	.B1(n_79166),
+	.B2(n_83982),
+	.C1(n_85122),
+	.Y(n_71353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g1042068  (
+	.A1(n_62575),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
+	.B1(n_58169),
+	.B2(n_83982),
+	.C1(n_85122),
+	.Y(n_71355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g1042070  (
+	.A1(n_77843),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
+	.B1(n_61467),
+	.B2(n_83982),
+	.C1(n_85122),
+	.Y(n_71357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g1042071  (
+	.A1(n_61234),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
+	.B1(n_62568),
+	.B2(n_83982),
+	.C1(n_85122),
+	.Y(n_71358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g1042072  (
+	.A1(n_29042),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
+	.B1(n_58897),
+	.B2(n_83982),
+	.C1(n_85122),
+	.Y(n_71359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g1042073  (
+	.A1(n_43096),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
+	.B1(n_61199),
+	.B2(n_83982),
+	.C1(n_85122),
+	.Y(n_71360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g1042074  (
+	.A1(n_61246),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
+	.B1(n_87514),
+	.B2(n_83982),
+	.C1(n_85122),
+	.Y(n_71361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g1042125 (
+	.A(n_72240),
+	.B(n_71411),
+	.Y(n_71412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1042127 (
+	.A(n_85984),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_183),
+	.Y(n_71411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt1042184 (
+	.A(n_81707),
+	.Y(n_71519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1042201 (
+	.A(n_54862),
+	.Y(n_71549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1042219 (
+	.A0(n_84501),
+	.A1(n_41953),
+	.S(soc_top_u_top_u_core_alu_adder_result_ex[1]),
+	.X(n_71566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1042228 (
+	.A(n_76808),
+	.B(n_73608),
+	.Y(n_71572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1042244 (
+	.A(n_85206),
+	.B(n_68334),
+	.Y(n_71591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1042247 (
+	.A(n_68334),
+	.Y(n_68317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1042275 (
+	.A(n_17802),
+	.B(n_80345),
+	.X(n_71622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g1042280 (
+	.A(n_74974),
+	.B(n_60378),
+	.C(n_77851),
+	.D(n_60377),
+	.Y(n_71627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1042281 (
+	.A(n_74974),
+	.B(n_77851),
+	.Y(n_71628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1042298 (
+	.A(n_73918),
+	.B(n_73798),
+	.Y(n_71644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1042299 (
+	.A1_N(n_84188),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [5]),
+	.B1(n_71644),
+	.B2(n_84188),
+	.Y(n_71647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1042301 (
+	.A(n_71644),
+	.B(n_84501),
+	.Y(n_71648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g1042305 (
+	.A(n_72268),
+	.Y(n_71002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1042306 (
+	.A_N(n_71730),
+	.B(n_13788),
+	.Y(n_71003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1042307 (
+	.A(n_80221),
+	.B(n_71653),
+	.X(n_71655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g781418_1042309 (
+	.A(n_71002),
+	.B(n_71003),
+	.Y(n_71653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1042310 (
+	.A0(n_1934),
+	.A1(n_80221),
+	.S(n_71653),
+	.X(n_71656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1042330 (
+	.A(n_71680),
+	.B(n_71682),
+	.Y(n_71683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_2 g1042331 (
+	.A1(n_71678),
+	.A2(FE_DBTN34_n_72054),
+	.B1_N(n_71679),
+	.Y(n_71680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1042332 (
+	.A(n_71677),
+	.Y(n_71678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g211 (
+	.A_N(n_71676),
+	.B(n_85933),
+	.Y(n_71677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1042333 (
+	.A0(n_12672),
+	.A1(n_29721),
+	.S(soc_top_u_top_u_core_alu_operator_ex[3]),
+	.Y(n_71676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1042334 (
+	.A1(n_12728),
+	.A2(n_12690),
+	.B1(n_12738),
+	.Y(n_71679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1042335 (
+	.A(n_71681),
+	.B(n_12622),
+	.Y(n_71682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1042336 (
+	.A(FE_DBTN34_n_72054),
+	.B(n_85933),
+	.Y(n_71681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_2 g1042379 (
+	.A1(n_14747),
+	.A2(n_71728),
+	.B1(n_61499),
+	.B2(n_71730),
+	.Y(n_71731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 g1042381 (
+	.A(n_72264),
+	.Y(n_71728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1042382 (
+	.A(n_71728),
+	.B(n_75695),
+	.Y(n_71730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1042406 (
+	.A(n_71756),
+	.B(n_71760),
+	.Y(n_71761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1042407 (
+	.A(n_84288),
+	.Y(n_71756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1042408 (
+	.A(n_76810),
+	.Y(n_71760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1042411 (
+	.A(n_31278),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.Y(n_71758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1042415  (
+	.A(n_81343),
+	.B(n_56252),
+	.Y(n_71766), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1042417  (
+	.A(n_81343),
+	.B(n_36243),
+	.Y(n_71767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1042418 (
+	.A(n_81343),
+	.B(n_36239),
+	.Y(n_71768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1042420  (
+	.A(n_81343),
+	.B(n_36237),
+	.Y(n_71771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1042423  (
+	.A(n_81343),
+	.B(n_36232),
+	.Y(n_71773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1042425  (
+	.A(n_81343),
+	.B(n_36240),
+	.Y(n_71775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1042440 (
+	.A(n_72567),
+	.Y(n_71790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1042443 (
+	.A_N(n_65325),
+	.B(n_72567),
+	.Y(n_71794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_4 g1042521 (
+	.A1_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_107),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_327),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_107),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_327),
+	.Y(n_71867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1042524 (
+	.A(n_12554),
+	.Y(n_71872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1042549 (
+	.A0(n_73332),
+	.A1(n_85283),
+	.S(n_93140),
+	.X(n_68259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g1042563  (
+	.A(n_59102),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_77 ),
+	.C(n_84499),
+	.X(n_71914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1042566 (
+	.A(n_71916),
+	.B(n_71917),
+	.Y(n_71918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1042567 (
+	.A(n_60437),
+	.Y(n_71916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g1042568 (
+	.A(n_62294),
+	.B(n_62990),
+	.C(FE_DBTN47_n_63753),
+	.D(n_62285),
+	.Y(n_71917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_12 g1042572 (
+	.A(n_35125),
+	.Y(n_71922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1042573 (
+	.A(n_71924),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1311),
+	.Y(n_71925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 fopt1042574 (
+	.A(n_71923),
+	.Y(n_71924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 WALLACE_CSA_DUMMY_OP_groupi_g1042575 (
+	.A(n_72368),
+	.B(n_61482),
+	.Y(n_71923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 WALLACE_CSA_DUMMY_OP_groupi_g1042576 (
+	.A(n_71924),
+	.B(FE_DBTN52_n_61107),
+	.C(WALLACE_CSA_DUMMY_OP_groupi_n_1284),
+	.Y(n_71926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 WALLACE_CSA_DUMMY_OP_groupi_g1042577 (
+	.A(n_71924),
+	.B(FE_DBTN52_n_61107),
+	.C(n_61463),
+	.Y(n_71927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g140 (
+	.A(n_71931),
+	.B(n_71933),
+	.Y(n_71934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g1042580 (
+	.A1(n_48960),
+	.A2(FE_DBTN56_n_59622),
+	.B1(n_71930),
+	.C1(n_69637),
+	.Y(n_71931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1042581 (
+	.A_N(n_74735),
+	.B(n_54294),
+	.Y(n_71930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g1042582 (
+	.A1(n_71930),
+	.A2(FE_DBTN56_n_59622),
+	.A3(n_48960),
+	.B1(n_71932),
+	.Y(n_71933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1042583 (
+	.A(n_54294),
+	.B_N(n_74735),
+	.Y(n_71932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1042638 (
+	.A1(n_72499),
+	.A2(n_86920),
+	.B1_N(n_72002),
+	.Y(n_72003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1042640 (
+	.A1(n_40712),
+	.A2(n_75441),
+	.B1(n_7140),
+	.B2(n_77407),
+	.C1(n_9877),
+	.C2(n_73576),
+	.Y(n_72002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_2 g1042647 (
+	.A1(n_31280),
+	.A2(n_12283),
+	.B1(n_75540),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.X(n_72008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_2 g1042648 (
+	.A1(n_31279),
+	.A2(n_12283),
+	.B1(n_75250),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.X(n_72009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g1042649  (
+	.A(n_76334),
+	.B(n_72011),
+	.Y(n_72012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1042650 (
+	.A(n_72010),
+	.B(n_72008),
+	.C(n_72009),
+	.Y(n_72011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g1042651  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_42 ),
+	.Y(n_72010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g1042652  (
+	.A(n_72011),
+	.B(n_84290),
+	.Y(n_72013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g1042653  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_7 ),
+	.B(n_72011),
+	.Y(n_72014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g1042654  (
+	.A(n_72011),
+	.Y(n_72015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1042665 (
+	.A(n_72026),
+	.B(n_72028),
+	.Y(n_72029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1042666 (
+	.A(n_10041),
+	.B(n_72025),
+	.Y(n_72026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g1042667 (
+	.A1(n_597),
+	.A2(n_4460),
+	.B1(n_1509),
+	.B2(n_4043),
+	.Y(n_72025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1042668 (
+	.A1(n_36320),
+	.A2(n_72025),
+	.B1(n_72027),
+	.Y(n_72028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o311ai_1 g1042669 (
+	.A1(n_3829),
+	.A2(soc_top_u_top_u_core_fp_flush),
+	.A3(n_10208),
+	.B1(n_10273),
+	.C1(n_11423),
+	.Y(n_72027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1042670 (
+	.A(n_72025),
+	.Y(n_72030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1042673 (
+	.A(n_72033),
+	.Y(n_72034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1042674 (
+	.A(n_85557),
+	.B(n_72032),
+	.Y(n_72033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_2 g1042675 (
+	.A(n_69314),
+	.B_N(n_13241),
+	.Y(n_72032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1042676 (
+	.A(n_85929),
+	.B(n_29700),
+	.Y(n_72035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1042690 (
+	.A1(n_18743),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [6]),
+	.B1(n_19157),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [6]),
+	.C1(n_72049),
+	.Y(n_72050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1042691 (
+	.A1(n_19013),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [6]),
+	.B1(n_18502),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [6]),
+	.X(n_72049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1042693 (
+	.A(n_72051),
+	.B(n_72052),
+	.C(n_72053),
+	.Y(n_72054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1042694 (
+	.A(n_75554),
+	.B(n_29533),
+	.Y(n_72051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1042695 (
+	.A(n_84562),
+	.B(n_71872),
+	.Y(n_72052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1042696 (
+	.A(n_70125),
+	.B(n_70127),
+	.Y(n_72053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1042702 (
+	.A(n_68925),
+	.B(n_74692),
+	.Y(n_72063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1042743 (
+	.A(n_72105),
+	.B(n_72104),
+	.C(n_72103),
+	.Y(n_72106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1042744 (
+	.A(n_75417),
+	.B(n_79461),
+	.Y(n_72103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1042745 (
+	.A(n_56701),
+	.B(n_79461),
+	.Y(n_72104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1042746 (
+	.A(n_59252),
+	.B(n_30925),
+	.Y(n_72105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1042785 (
+	.A0(n_68460),
+	.A1(n_73581),
+	.S(n_93140),
+	.X(n_68466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1042786 (
+	.A(FE_DBTN37_n_68466),
+	.B(n_73688),
+	.Y(n_72165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1042798 (
+	.A0(n_13320),
+	.A1(n_74695),
+	.S(n_93140),
+	.X(n_72176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_4 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1042810 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_529),
+	.B(n_71412),
+	.Y(n_72188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1042818 (
+	.A(n_72196),
+	.Y(n_72197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1042819 (
+	.A(n_29876),
+	.B(n_76203),
+	.Y(n_72196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1042823 (
+	.A(n_71644),
+	.B(n_1143),
+	.Y(n_72199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1042826 (
+	.A1(n_71644),
+	.A2(n_1143),
+	.B1(n_268),
+	.Y(n_72204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1042863 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_216),
+	.Y(n_72240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_1 g1042879 (
+	.A(n_31544),
+	.B_N(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
+	.X(n_72256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_4 g1042880 (
+	.A1(n_12555),
+	.A2(n_12641),
+	.B1(n_12722),
+	.C1(soc_top_u_top_u_core_id_stage_i_wb_exception),
+	.X(n_72257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 g1042881 (
+	.A1(n_29524),
+	.A2(n_72260),
+	.B1(n_28885),
+	.Y(n_72261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1042882 (
+	.A(n_73397),
+	.B(n_72258),
+	.Y(n_72260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1042883 (
+	.A(n_72257),
+	.Y(n_72258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1042887 (
+	.A(n_14573),
+	.B(n_13383),
+	.Y(n_72264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1042888 (
+	.A1(n_72267),
+	.A2(n_13303),
+	.B1_N(n_14778),
+	.X(n_72268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1042889 (
+	.A(n_72265),
+	.B(n_71728),
+	.Y(n_72267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1042890 (
+	.A(n_14573),
+	.B(n_12904),
+	.Y(n_72265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g1042894 (
+	.A1(n_17445),
+	.A2(n_18291),
+	.B1(n_72270),
+	.C1(n_72271),
+	.Y(n_72272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1042895 (
+	.A(n_19332),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [1]),
+	.Y(n_72270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1042896 (
+	.A(n_35056),
+	.B(n_35055),
+	.Y(n_72271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1042902 (
+	.A(n_28817),
+	.B(n_28818),
+	.Y(n_72277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1042925 (
+	.A(n_72300),
+	.B(n_72301),
+	.Y(n_72302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1042926 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_743 ),
+	.B(n_84985),
+	.Y(n_72300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1042927 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_759 ),
+	.B(FE_DBTN80_n_84985),
+	.Y(n_72301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1042935 (
+	.A1_N(n_84188),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [5]),
+	.B1(n_73626),
+	.B2(n_84188),
+	.Y(n_72315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1042971 (
+	.A(soc_top_u_dccm_bank_sel[0]),
+	.B(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.C(n_60846),
+	.Y(n_72348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1042973 (
+	.A(soc_top_u_dccm_bank_sel[0]),
+	.B(n_17629),
+	.Y(n_58079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g1042978 (
+	.A(soc_top_u_dccm_bank_sel[0]),
+	.B(n_47758),
+	.C(soc_top_u_dccm_bank_sel[1]),
+	.D(soc_top_u_dccm_rdata4[17]),
+	.X(n_72354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1042979 (
+	.A(soc_top_u_dccm_bank_sel[0]),
+	.B(soc_top_u_dccm_bank_sel[1]),
+	.C(soc_top_u_dccm_rdata4[23]),
+	.Y(n_72355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1042981 (
+	.A(soc_top_u_dccm_bank_sel[0]),
+	.B(n_25055),
+	.C(n_25119),
+	.Y(n_72357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1042992 (
+	.A(n_37979),
+	.Y(n_72368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1043009 (
+	.A(n_73915),
+	.B(n_3079),
+	.Y(n_72390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1043034 (
+	.A1(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_132 ),
+	.A2(n_72411),
+	.B1_N(n_72412),
+	.Y(n_72413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1043035 (
+	.A(n_39598),
+	.Y(n_72411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1043036 (
+	.A(n_72568),
+	.B(n_69645),
+	.Y(n_72412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g1043044 (
+	.A(n_84334),
+	.Y(n_72421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g1043046 (
+	.A1_N(n_24484),
+	.A2_N(n_24917),
+	.B1(n_17070),
+	.B2(n_29661),
+	.Y(n_72423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1043049 (
+	.A(n_80788),
+	.B(n_71622),
+	.X(n_72426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1043050  (
+	.A(n_80788),
+	.Y(n_72427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1043055 (
+	.A(n_76817),
+	.B(n_72434),
+	.Y(n_72435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g1043058 (
+	.A1(n_10099),
+	.A2(n_1888),
+	.B1(n_9955),
+	.C1(n_9606),
+	.D1(n_12042),
+	.Y(n_72431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1043059 (
+	.A_N(n_72431),
+	.B(n_9216),
+	.Y(n_72434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1043060 (
+	.A(n_72440),
+	.Y(n_72441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1043061 (
+	.A(n_75908),
+	.B(n_72439),
+	.Y(n_72440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1043063 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_334),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1043065 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_334),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_122),
+	.Y(n_72439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1043077 (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_645 ),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1040 ),
+	.B1(n_72453),
+	.B2(n_72454),
+	.Y(n_72455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1043078 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1043 ),
+	.Y(n_72453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g1043079 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1747 ),
+	.Y(n_72454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31o_1 g1043083 (
+	.A1(n_80444),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_34 ),
+	.A3(n_72460),
+	.B1(n_72461),
+	.X(n_72462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1043084 (
+	.A(n_46205),
+	.B(n_63780),
+	.Y(n_72460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1043086 (
+	.A1_N(n_72460),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_24 ),
+	.B1(n_63780),
+	.B2(n_46205),
+	.Y(n_72461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1043087 (
+	.A1(n_72464),
+	.A2(n_77097),
+	.B1(n_72467),
+	.Y(n_72468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1043088 (
+	.A(n_73331),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_23 ),
+	.Y(n_72464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1043091 (
+	.A1(n_73331),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_25 ),
+	.B1(n_26564),
+	.B2(n_73330),
+	.Y(n_72467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1043122 (
+	.A(n_65333),
+	.B(n_72567),
+	.Y(n_72499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1043123 (
+	.A(n_72497),
+	.Y(n_65333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1043124 (
+	.A(n_35192),
+	.B(n_13111),
+	.Y(n_72497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1043148 (
+	.A0(n_72526),
+	.A1(n_73332),
+	.S(n_93140),
+	.X(n_72527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1043149 (
+	.A(n_72524),
+	.B(n_74531),
+	.Y(n_72526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1043150 (
+	.A(soc_top_u_top_u_core_alu_adder_result_ex[1]),
+	.B(n_61131),
+	.Y(n_72524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1043153 (
+	.A0(n_73336),
+	.A1(n_72526),
+	.S(n_93140),
+	.X(n_72528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1043191 (
+	.A(n_70479),
+	.Y(n_71908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1043192 (
+	.A0(FE_DBTN68_n_54295),
+	.A1(n_54295),
+	.S(n_31198),
+	.X(n_72564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1043193 (
+	.A(n_57676),
+	.B(n_72566),
+	.Y(n_72567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1043194 (
+	.A(n_71908),
+	.B(n_76861),
+	.Y(n_72566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt1043196 (
+	.A(n_72566),
+	.Y(n_72568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1043198 (
+	.A(n_71908),
+	.B(n_72564),
+	.C(n_76861),
+	.Y(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_127 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1043203 (
+	.A0(n_84501),
+	.A1(n_41953),
+	.S(n_74746),
+	.X(n_72575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g1043205  (
+	.A(FE_DBTN142_soc_top_u_top_u_core_fp_operands_0_28),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_80 ),
+	.C(n_84501),
+	.X(n_72576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1043208 (
+	.A0(n_76799),
+	.A1(n_68460),
+	.S(n_93140),
+	.X(n_72578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrbp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Done_SO_reg  (
+	.CLK(CTS_106),
+	.D(n_73962),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_unit_done ),
+	.Q_N(UNCONNECTED500),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(n_3976),
+	.SCE(n_84188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 g1043234 (
+	.A(n_72603),
+	.B(n_72604),
+	.CIN(n_72607),
+	.COUT(n_72608),
+	.SUM(n_72609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1043235 (
+	.A(n_35242),
+	.B_N(soc_top_u_top_u_core_instr_rdata_alu_id[26]),
+	.Y(n_72603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1043236 (
+	.A1(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.A2(soc_top_u_top_u_core_pc_id[6]),
+	.B1(n_29629),
+	.B2(n_12229),
+	.X(n_72604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1043237 (
+	.A(n_72606),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_73),
+	.Y(n_72607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1043238 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_add_93_45_n_81),
+	.B(n_72605),
+	.Y(n_72606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1043239 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_28),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_27),
+	.Y(n_72605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_4 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1043255 (
+	.A1_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_201),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_151),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_201),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_151),
+	.X(n_72622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1043256 (
+	.A0(soc_top_u_top_u_core_lsu_wdata[18]),
+	.A1(n_49541),
+	.S(n_74746),
+	.X(n_72624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1043371 (
+	.A(n_75544),
+	.B(n_93042),
+	.C(n_75909),
+	.Y(n_72754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1043378 (
+	.A(n_72748),
+	.B(n_25224),
+	.Y(n_72749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1043379 (
+	.A(n_29625),
+	.B(n_23967),
+	.Y(n_72748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g1043383 (
+	.A(n_93037),
+	.B(n_93036),
+	.Y(n_72751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1043516 (
+	.A0(n_72884),
+	.A1(n_72885),
+	.S(n_93140),
+	.X(n_72887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1043517 (
+	.A0(n_48960),
+	.A1(n_68914),
+	.S(n_74746),
+	.X(n_72884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1043518 (
+	.A0(n_81530),
+	.A1(n_80790),
+	.S(n_74746),
+	.X(n_72885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g1043521 (
+	.A1(n_35329),
+	.A2(n_72887),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [22]),
+	.C1(n_2749),
+	.Y(n_72890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1043522 (
+	.A(n_72887),
+	.B(n_84431),
+	.Y(n_72891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1043527 (
+	.A(n_72887),
+	.B(n_16),
+	.Y(n_72896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1043528 (
+	.A1(n_35320),
+	.A2(n_72887),
+	.B1(n_7135),
+	.B2(io_out[30]),
+	.C1(n_7297),
+	.X(n_72897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1043529 (
+	.A1(n_7097),
+	.A2(n_72887),
+	.B1(n_7099),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[58] [7]),
+	.X(n_72898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1043530 (
+	.A1(n_7103),
+	.A2(n_72887),
+	.B1(n_7121),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[22] [7]),
+	.X(n_72899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1043583 (
+	.A_N(n_29745),
+	.B(n_72953),
+	.Y(n_72954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1043584 (
+	.A(n_72952),
+	.B(n_69927),
+	.Y(n_72953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_4 g1043585 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_135),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_278),
+	.COUT(UNCONNECTED501),
+	.SUM(n_72952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1043726  (
+	.A(n_64842),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [28]),
+	.Y(n_73134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1043730  (
+	.A(n_64842),
+	.B(n_46359),
+	.Y(n_73137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1043732  (
+	.A(n_64842),
+	.B(n_60613),
+	.Y(n_73139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1043736  (
+	.A(n_64842),
+	.B(n_46253),
+	.Y(n_73143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1043737 (
+	.A(n_64842),
+	.B(n_87831),
+	.Y(n_73144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1043769 (
+	.A(FE_DBTN116_n_72527),
+	.B(n_85124),
+	.Y(n_73181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1043770 (
+	.A(FE_DBTN38_n_72887),
+	.B(n_85124),
+	.Y(n_73182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1043771 (
+	.A(FE_DBTN2_n_93141),
+	.B(n_85124),
+	.Y(n_73183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1043772 (
+	.A(n_68170),
+	.B(n_85124),
+	.Y(n_73184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1043773 (
+	.A(n_68213),
+	.B(n_85124),
+	.Y(n_73185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_2 g1043794 (
+	.A1(n_84897),
+	.A2(n_14562),
+	.B1(n_14327),
+	.B2(n_85122),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_0 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1043879 (
+	.A(n_73317),
+	.B(n_73318),
+	.Y(n_73319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g1043880  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1043 ),
+	.B(FE_DBTN87_n_31302),
+	.Y(n_73317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g1043881  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1035 ),
+	.B(n_31302),
+	.Y(n_73318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1043882 (
+	.A(n_73319),
+	.B(n_70249),
+	.Y(n_73321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g1043887 (
+	.A1(soc_top_u_top_u_core_use_fp_rs2),
+	.A2(n_25401),
+	.B1(n_24945),
+	.B2(n_24951),
+	.X(n_73326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1043888 (
+	.A1(n_10063),
+	.A2(n_75910),
+	.B1(n_31194),
+	.B2(n_9577),
+	.C1(n_11989),
+	.Y(n_73329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1043892 (
+	.A_N(n_26564),
+	.B(n_75910),
+	.Y(n_73331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1043893 (
+	.A0(n_90504),
+	.A1(n_75910),
+	.S(n_74746),
+	.X(n_73332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1043894 (
+	.A(n_75910),
+	.Y(n_73330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g1043895  (
+	.A1(n_71730),
+	.A2(n_75910),
+	.B1(n_14959),
+	.B2(n_90504),
+	.X(n_73334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1043896 (
+	.A(n_75910),
+	.B(n_26564),
+	.Y(n_73335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1043897 (
+	.A0(n_75910),
+	.A1(n_90504),
+	.S(n_74746),
+	.X(n_73336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1043954 (
+	.A(n_92779),
+	.B(soc_top_u_top_u_core_instr_valid_id),
+	.Y(n_73394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1043955 (
+	.A(n_73396),
+	.B(n_72256),
+	.Y(n_73397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1043956 (
+	.A1(n_73394),
+	.A2(soc_top_u_top_u_core_id_stage_i_lsu_req_dec),
+	.B1_N(n_73395),
+	.X(n_73396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g1043957 (
+	.A(soc_top_u_top_u_core_id_stage_i_lsu_req_dec),
+	.B(n_12727),
+	.X(n_73395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1043958 (
+	.A_N(n_72257),
+	.B(n_73396),
+	.C(n_72256),
+	.Y(n_73399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1043960 (
+	.A(n_69406),
+	.B(n_76786),
+	.C(n_69407),
+	.Y(n_73400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1043963 (
+	.A(n_534),
+	.Y(n_73404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1043964 (
+	.A(n_73400),
+	.B(FE_DBTN141_soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs_2),
+	.X(n_534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1043965 (
+	.A_N(n_29589),
+	.B(n_534),
+	.Y(n_73405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1043966 (
+	.A(n_73406),
+	.B(n_29792),
+	.Y(n_73407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1043967 (
+	.A(n_74966),
+	.B(n_73400),
+	.C(FE_DBTN141_soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs_2),
+	.Y(n_73406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1043997 (
+	.A(n_73436),
+	.B(n_73437),
+	.Y(n_73438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1043998 (
+	.A(n_61164),
+	.B(n_65222),
+	.Y(n_73436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g1043999 (
+	.A1(n_22722),
+	.A2(n_63142),
+	.B1(n_69418),
+	.C1(n_18365),
+	.Y(n_73437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_2 g1044016 (
+	.A(n_36222),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_157 ),
+	.X(n_73457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g1044020 (
+	.A1(n_37059),
+	.A2(n_75597),
+	.B1(n_521),
+	.B2(n_75003),
+	.Y(n_73462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1044021 (
+	.A(n_75003),
+	.Y(n_73460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1044036 (
+	.A(n_26046),
+	.Y(n_73478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1044037 (
+	.A(n_73438),
+	.Y(n_73479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1044038 (
+	.A(n_73481),
+	.B(n_75674),
+	.Y(n_73482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1044039 (
+	.A(n_73480),
+	.B(n_73478),
+	.C(n_73479),
+	.Y(n_73481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1044040 (
+	.A(FE_DBTN104_n_17765),
+	.B(n_81281),
+	.Y(n_73480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_2 g1044041 (
+	.A_N(n_61252),
+	.B(n_73483),
+	.C(n_75674),
+	.Y(n_73484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1032155_dup (
+	.A(n_73480),
+	.B(n_73478),
+	.C(n_73479),
+	.Y(n_73483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1044090 (
+	.A(FE_DBTN117_n_72176),
+	.B(n_74611),
+	.Y(n_73541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1044092 (
+	.A(n_75589),
+	.Y(n_73539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1044093 (
+	.A(FE_DBTN162_soc_top_xbar_to_timer_a_data_14),
+	.B(n_74611),
+	.Y(n_73542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1044094 (
+	.A(n_74611),
+	.B(n_68065),
+	.Y(n_73543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1044095 (
+	.A(n_68020),
+	.B(n_74611),
+	.Y(n_73544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1044096 (
+	.A(n_74611),
+	.B_N(n_69280),
+	.Y(n_73545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g1044100 (
+	.A(n_73548),
+	.B(n_23899),
+	.C(n_35649),
+	.D(n_76819),
+	.X(n_73550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1044101 (
+	.A1(n_18606),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [4]),
+	.B1(FE_DBTN102_n_18754),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [4]),
+	.C1(n_22614),
+	.Y(n_73548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1044131 (
+	.A(n_73484),
+	.B(n_25568),
+	.Y(n_73576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1044133 (
+	.A0(n_75516),
+	.A1(n_73576),
+	.S(n_74746),
+	.X(n_73581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1044134 (
+	.A(n_73576),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [3]),
+	.Y(n_73582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1044142 (
+	.A1(n_2795),
+	.A2(n_73912),
+	.B1(n_70205),
+	.B2(n_84501),
+	.Y(n_73588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g1044153 (
+	.A(n_73405),
+	.B(n_73604),
+	.C(n_73605),
+	.D(n_73607),
+	.Y(n_73608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1044154 (
+	.A(n_71111),
+	.B(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]),
+	.Y(n_73604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1044156 (
+	.A(n_73406),
+	.B(n_28835),
+	.Y(n_73605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1044157 (
+	.A_N(n_28830),
+	.B(n_68876),
+	.Y(n_73607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1044171 (
+	.A(n_73626),
+	.B(\soc_top_u_top_u_core_fp_operands[0] [28]),
+	.Y(n_73627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1044172 (
+	.A(n_73623),
+	.B(n_73625),
+	.Y(n_73626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1044173 (
+	.A(n_76822),
+	.B(n_73622),
+	.Y(n_73623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1044175 (
+	.A_N(n_61021),
+	.B(\soc_top_u_top_u_core_fp_operands[0] [28]),
+	.Y(n_73622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1044176 (
+	.A(FE_DBTN142_soc_top_u_top_u_core_fp_operands_0_28),
+	.B(n_61021),
+	.Y(n_73625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1044178 (
+	.A(n_73626),
+	.B(\soc_top_u_top_u_core_fp_operands[0] [28]),
+	.Y(n_73628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1044212 (
+	.A(n_59439),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1156 ),
+	.Y(n_73660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1044213 (
+	.A(n_12962),
+	.B(n_73662),
+	.Y(n_73663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1044214 (
+	.A(n_73661),
+	.B(n_73660),
+	.Y(n_73662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1044215 (
+	.A(n_63753),
+	.B_N(n_75376),
+	.Y(n_73661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1044218 (
+	.A(n_73667),
+	.B(n_64842),
+	.Y(n_73668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1044219 (
+	.A(n_73665),
+	.B(n_73666),
+	.Y(n_73667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1044220  (
+	.A(FE_DBTN78_n_81343),
+	.B(n_30818),
+	.Y(n_73665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1044221  (
+	.A(n_81343),
+	.B(n_30817),
+	.Y(n_73666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1044237 (
+	.A(n_68216),
+	.B(n_73688),
+	.Y(n_73689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1044239 (
+	.A(n_74771),
+	.Y(n_73688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1044266 (
+	.A(n_73718),
+	.B(n_46948),
+	.Y(n_73719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1044267  (
+	.A(n_73716),
+	.B(n_73717),
+	.Y(n_73718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1044268  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_859 ),
+	.B(n_64842),
+	.Y(n_73716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1044269  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_851 ),
+	.B(FE_DBTN44_n_64842),
+	.Y(n_73717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1044270  (
+	.A(n_73718),
+	.B(n_57479),
+	.Y(n_73720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1044273 (
+	.A(n_55372),
+	.B(n_55373),
+	.Y(n_73723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1044274 (
+	.A(n_73726),
+	.B(n_47042),
+	.Y(n_73727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1044275 (
+	.A(n_73724),
+	.B(n_78017),
+	.C(n_73723),
+	.Y(n_73726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g1044276 (
+	.A(n_12638),
+	.Y(n_73724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1044332 (
+	.A_N(n_29771),
+	.B(soc_top_u_top_u_core_id_in_ready),
+	.Y(n_73780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1044333 (
+	.A_N(n_29751),
+	.B(n_69946),
+	.Y(n_73781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_4 g1044336 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [0]),
+	.A2(n_73780),
+	.B1(n_73781),
+	.X(n_73782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g1044338 (
+	.A(n_29582),
+	.B(n_73782),
+	.X(n_73786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1044350 (
+	.A(FE_DBTN33_n_84501),
+	.B(n_69916),
+	.Y(n_73798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1044352 (
+	.A(n_72204),
+	.B_N(n_75913),
+	.Y(n_73801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_2 g1044355 (
+	.A1(n_75913),
+	.A2(n_268),
+	.B1(n_87598),
+	.X(n_73802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1044359 (
+	.A(n_29403),
+	.B(n_29412),
+	.Y(n_73805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1044360 (
+	.A(n_14356),
+	.Y(n_73806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1044361 (
+	.A(n_73807),
+	.B(n_70432),
+	.Y(n_73808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1044362 (
+	.A(n_73806),
+	.B(n_73805),
+	.Y(n_73807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g903062_1044409 (
+	.A(n_26979),
+	.B(n_58347),
+	.Y(n_73852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_4 g1044411 (
+	.A(n_80416),
+	.B(n_34993),
+	.C(n_26964),
+	.D(n_27025),
+	.Y(n_73857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1044412 (
+	.A(n_34993),
+	.B(n_80416),
+	.C(n_27025),
+	.Y(n_73858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1044413 (
+	.A(n_27038),
+	.B(n_80416),
+	.Y(n_73859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1044468 (
+	.A(n_1929),
+	.B(n_73576),
+	.Y(n_73912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1044470 (
+	.A(n_73913),
+	.B(n_73914),
+	.Y(n_73915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1044471 (
+	.A(n_71656),
+	.B(n_75882),
+	.Y(n_73913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1044472 (
+	.A(n_73582),
+	.B(n_61131),
+	.Y(n_73914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1044473 (
+	.A(n_73917),
+	.B(n_73588),
+	.Y(n_73918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1044474 (
+	.A(n_73912),
+	.B(n_73913),
+	.C(n_73914),
+	.Y(n_73917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g1044477 (
+	.A(n_59102),
+	.B(n_1933),
+	.COUT(n_73921),
+	.SUM(n_73920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1044479 (
+	.A(n_73923),
+	.B(n_73922),
+	.Y(n_73924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1044480 (
+	.A(n_73921),
+	.Y(n_73922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1044481 (
+	.A1(n_59102),
+	.A2(n_1933),
+	.B1(n_70188),
+	.Y(n_73923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1044486 (
+	.A(n_73932),
+	.Y(n_73933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1044487 (
+	.A(n_72034),
+	.B(n_73931),
+	.Y(n_73932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1044488 (
+	.A(FE_DBTN164_soc_top_xbar_to_timer_a_data_27),
+	.B(n_73930),
+	.Y(n_73931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1044490 (
+	.A(n_72035),
+	.B(n_85206),
+	.Y(n_73930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1044494 (
+	.A(n_73937),
+	.B(n_73939),
+	.Y(n_73940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1044495 (
+	.A(soc_top_u_top_u_core_alu_adder_result_ex[1]),
+	.B(n_92783),
+	.Y(n_73937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1044497 (
+	.A(n_74746),
+	.B(n_80221),
+	.Y(n_73939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1044499 (
+	.A0(n_93139),
+	.A1(n_73940),
+	.S(n_93140),
+	.X(n_68334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1044504 (
+	.A0(n_69961),
+	.A1(n_76827),
+	.S(n_93140),
+	.X(n_68268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1044509 (
+	.A0(n_76827),
+	.A1(n_69962),
+	.S(n_93140),
+	.X(n_70004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1044512 (
+	.A(n_73953),
+	.B(n_73954),
+	.Y(n_73955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1044513 (
+	.A(n_73806),
+	.B(n_70394),
+	.Y(n_73953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1044514 (
+	.A(n_70395),
+	.Y(n_73954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1044515 (
+	.A1(n_72622),
+	.A2(n_85283),
+	.B1(n_73956),
+	.Y(n_73957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1044516 (
+	.A(n_72622),
+	.B_N(n_73336),
+	.Y(n_73956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1044519 (
+	.A(n_75550),
+	.B(n_73961),
+	.Y(n_73962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1044521 (
+	.A(n_4609),
+	.B(n_35330),
+	.Y(n_73961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1044522 (
+	.A(n_73962),
+	.Y(n_73963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_4 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1044574 (
+	.A1_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_118),
+	.A2_N(n_74021),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_118),
+	.B2(n_74021),
+	.Y(n_74022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1044575 (
+	.A(n_75551),
+	.B(n_74020),
+	.Y(n_74021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1044578 (
+	.A(n_74019),
+	.B(n_74180),
+	.Y(n_74020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1044579 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_25),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_206),
+	.Y(n_74019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1044688 (
+	.A_N(n_85472),
+	.B(n_17928),
+	.Y(n_74156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_8 g1044690 (
+	.A(n_85472),
+	.B(n_17927),
+	.Y(n_74158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1044694 (
+	.A(n_85472),
+	.B(soc_top_u_top_u_core_rf_waddr_wb[0]),
+	.Y(n_74161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1044696 (
+	.A(n_84009),
+	.B_N(n_69931),
+	.Y(n_74164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1044698 (
+	.A(n_84009),
+	.B_N(n_69927),
+	.Y(n_74165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1044699 (
+	.A_N(n_84009),
+	.B(n_35208),
+	.C(n_29310),
+	.D(n_842),
+	.Y(n_74167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1044701 (
+	.A(n_84009),
+	.B_N(\soc_top_xbar_to_timer[a_mask] [2]),
+	.Y(n_74168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1044702 (
+	.A(n_84009),
+	.B_N(\soc_top_xbar_to_timer[a_mask] [1]),
+	.Y(n_74169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1044703 (
+	.A(n_84009),
+	.B_N(\soc_top_xbar_to_timer[a_mask] [0]),
+	.Y(n_74170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1044704 (
+	.A(n_84009),
+	.B_N(\soc_top_xbar_to_timer[a_mask] [3]),
+	.Y(n_74171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1044705 (
+	.A(n_84009),
+	.B_N(\soc_top_xbar_to_timer[a_address] [3]),
+	.Y(n_74172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1044706 (
+	.A(n_84009),
+	.B_N(\soc_top_xbar_to_timer[a_address] [6]),
+	.Y(n_74173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1044707 (
+	.A(n_84009),
+	.B_N(n_77013),
+	.Y(n_74174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1044708 (
+	.A(n_84009),
+	.B_N(\soc_top_lsu_to_xbar[a_address] [9]),
+	.Y(n_74175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1044709 (
+	.A(n_84009),
+	.B_N(\soc_top_lsu_to_xbar[a_address] [11]),
+	.Y(n_74176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1044710 (
+	.A(n_84009),
+	.B_N(n_72952),
+	.Y(n_74177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1044711 (
+	.A(n_84009),
+	.B_N(n_69940),
+	.Y(n_74178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1044712 (
+	.A(FE_DBTN115_n_72188),
+	.B(n_84009),
+	.Y(n_74179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1044713 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_282),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_252),
+	.Y(n_74180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1044715 (
+	.A(n_74181),
+	.B(n_74180),
+	.Y(n_74182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1044716 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_207),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_205),
+	.X(n_74181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1044717 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_159),
+	.B(n_74182),
+	.C(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_269),
+	.Y(n_74184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1044850 (
+	.A(n_74321),
+	.B(n_68746),
+	.Y(n_74323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1044851 (
+	.A_N(n_85181),
+	.B(n_13241),
+	.Y(n_74321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g1044853 (
+	.A(n_13769),
+	.B(n_13864),
+	.C(n_29700),
+	.Y(n_74318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1044873 (
+	.A(n_55643),
+	.Y(n_74341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_2 g1044880 (
+	.A1_N(n_16540),
+	.A2_N(n_29642),
+	.B1(n_24945),
+	.B2(n_24952),
+	.X(n_74349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1044883 (
+	.A(n_71268),
+	.B(n_58322),
+	.Y(n_74350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1044884 (
+	.A0(n_56980),
+	.A1(n_74692),
+	.S(soc_top_u_top_u_core_alu_adder_result_ex[1]),
+	.X(n_74353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g1044885  (
+	.A_N(n_74692),
+	.B(n_61234),
+	.Y(n_74354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g1044886  (
+	.A(n_61234),
+	.B_N(n_74692),
+	.Y(n_74355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g1044888 (
+	.A(n_74692),
+	.B(n_17802),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_131 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1044918 (
+	.A(n_74387),
+	.B(n_85185),
+	.Y(n_74389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1044919 (
+	.A_N(n_85181),
+	.B(n_72578),
+	.Y(n_74387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g1044957  (
+	.A(FE_DBTN88_n_31300),
+	.B(n_76790),
+	.Y(n_74425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g1044966 (
+	.A(soc_top_u_dccm_rdata1[26]),
+	.B(n_78545),
+	.C(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.D(n_60035),
+	.Y(n_74435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g1044968 (
+	.A1(n_61932),
+	.A2(n_61933),
+	.B1(soc_top_u_dccm_rdata2[3]),
+	.C1(soc_top_u_dccm_bank_sel[0]),
+	.D1(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.X(n_74436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1044969 (
+	.A(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.B(soc_top_u_dccm_bank_sel[0]),
+	.C(n_58743),
+	.Y(n_74437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g1044970 (
+	.A1(n_61932),
+	.A2(n_61933),
+	.B1(soc_top_u_dccm_rdata2[1]),
+	.C1(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.D1(soc_top_u_dccm_bank_sel[0]),
+	.X(n_74439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1044972 (
+	.A(n_63782),
+	.B(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.Y(n_74440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1044973 (
+	.A_N(n_39748),
+	.B(soc_top_u_dccm_bank_sel[0]),
+	.C(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.Y(n_74441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1044976 (
+	.A(n_24879),
+	.B(n_57670),
+	.C(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.Y(n_74444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g1044977 (
+	.A1(n_74445),
+	.A2(n_29450),
+	.B1(n_74446),
+	.B2(n_29454),
+	.Y(n_74447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1044978 (
+	.A(n_31619),
+	.B(soc_top_u_top_u_core_pc_mux_id[0]),
+	.Y(n_74445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_12 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1044986  (
+	.A(n_40850),
+	.Y(n_60435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1044988  (
+	.A(n_63865),
+	.B(n_74458),
+	.Y(n_74459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g1044989 (
+	.A(n_74457),
+	.Y(n_74458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1044990 (
+	.A(FE_DBTN72_n_90623),
+	.B(n_60435),
+	.Y(n_74457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1044992 (
+	.A(n_62859),
+	.B(n_74458),
+	.Y(n_74461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1044993 (
+	.A(n_60638),
+	.B(n_74458),
+	.Y(n_74462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1044996  (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2272 ),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1235 ),
+	.B1(n_74458),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1466 ),
+	.Y(n_74465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1044997  (
+	.A(n_74458),
+	.B(FE_DBTN60_n_58731),
+	.Y(n_74466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1044998  (
+	.A(n_41034),
+	.B(n_74458),
+	.Y(n_74467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1044999  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2314 ),
+	.B(n_74458),
+	.Y(n_74468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1045000  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2313 ),
+	.B(n_74458),
+	.Y(n_74469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1045063 (
+	.A(n_74746),
+	.B(n_74529),
+	.Y(n_74531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g1045068  (
+	.A(n_84281),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_151 ),
+	.Y(n_74537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g1045070  (
+	.A(n_84281),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_152 ),
+	.Y(n_74538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g1045072  (
+	.A(n_84281),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_154 ),
+	.Y(n_74540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g1045073  (
+	.A(n_84281),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_171 ),
+	.Y(n_74541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1045088 (
+	.A(n_74555),
+	.Y(n_74556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1045089 (
+	.A(n_90651),
+	.B(n_70341),
+	.C(n_13124),
+	.Y(n_74555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1045104 (
+	.A(n_49541),
+	.B(n_79166),
+	.X(n_74574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g1045107  (
+	.A(n_49541),
+	.B_N(n_79166),
+	.Y(n_74576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g1045108  (
+	.A_N(n_79166),
+	.B(n_49541),
+	.Y(n_74577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1045111 (
+	.A(n_79369),
+	.B(n_74579),
+	.Y(n_74529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_4 g1045113 (
+	.A1_N(n_24945),
+	.A2_N(n_24959),
+	.B1(n_16540),
+	.B2(n_29634),
+	.Y(n_74579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1045114 (
+	.A(n_74529),
+	.B(\soc_top_u_top_u_core_fp_operands[0] [9]),
+	.X(n_74582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1045141 (
+	.A(FE_DBTN3_n_93122),
+	.B(n_74611),
+	.Y(n_74610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1045143 (
+	.A(n_85557),
+	.B(n_85764),
+	.C(n_73539),
+	.Y(n_74611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1045144 (
+	.A0(n_13321),
+	.A1(n_72575),
+	.S(n_93140),
+	.X(n_74612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1045145 (
+	.A(FE_DBTN124_n_74612),
+	.B(n_74611),
+	.Y(n_74614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1045147 (
+	.A(n_60498),
+	.B(n_74617),
+	.C(n_59690),
+	.Y(n_74618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1045148 (
+	.A(\soc_top_u_top_u_core_fp_operands[0] [16]),
+	.B(n_74616),
+	.Y(n_74617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1045150 (
+	.A(n_12958),
+	.B(n_72427),
+	.Y(n_74616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g1045195 (
+	.A1(n_34774),
+	.A2(n_24495),
+	.B1(soc_top_u_dccm_rdata3[14]),
+	.C1(soc_top_u_dccm_bank_sel[1]),
+	.D1(n_78545),
+	.X(n_74660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1045196 (
+	.A_N(soc_top_u_dccm_bank_sel[0]),
+	.B(soc_top_u_dccm_bank_sel[1]),
+	.C(soc_top_u_dccm_rdata3[20]),
+	.D(n_47758),
+	.Y(n_74662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1045199 (
+	.A(n_25060),
+	.B(soc_top_u_dccm_bank_sel[1]),
+	.Y(n_74664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1045200 (
+	.A_N(n_25059),
+	.B(soc_top_u_dccm_bank_sel[1]),
+	.Y(n_74665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_4 g1045201 (
+	.A_N(soc_top_u_dccm_bank_sel[0]),
+	.B(soc_top_u_dccm_bank_sel[1]),
+	.C(soc_top_u_dccm_rdata3[23]),
+	.D(n_47758),
+	.Y(n_74666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 WALLACE_CSA_DUMMY_OP_groupi_g1045204 (
+	.A(n_77622),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1987),
+	.C(WALLACE_CSA_DUMMY_OP_groupi_n_196),
+	.X(n_74670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1045228 (
+	.A(n_74349),
+	.B(n_74350),
+	.Y(n_74692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1045229 (
+	.A0(n_56980),
+	.A1(n_74692),
+	.S(n_74746),
+	.X(n_74695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g1045232 (
+	.A(n_74692),
+	.B(n_61234),
+	.COUT(UNCONNECTED502),
+	.SUM(n_74697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1045243  (
+	.A(n_87592),
+	.B(n_74707),
+	.C(n_74708),
+	.D(n_74709),
+	.Y(n_54111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1045245 (
+	.A(n_60528),
+	.B(n_54681),
+	.Y(n_74707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1045246  (
+	.A(n_75404),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2314 ),
+	.Y(n_74708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1045247  (
+	.A1(n_90514),
+	.A2(n_86406),
+	.B1(n_56226),
+	.X(n_74709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1045248 (
+	.A(n_54111),
+	.Y(n_74712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_4 g1045249 (
+	.A(n_23997),
+	.B(n_19602),
+	.C(n_18078),
+	.X(n_74713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1045250 (
+	.A(n_54105),
+	.B(n_39936),
+	.Y(n_74714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1045252 (
+	.A(n_74716),
+	.B(n_26009),
+	.Y(n_74717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1045253 (
+	.A1(n_74714),
+	.A2(n_74713),
+	.B1(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.X(n_74716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g1045254 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_718),
+	.B(n_76664),
+	.Y(n_74718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1045255 (
+	.A(n_57103),
+	.B(n_86834),
+	.C(n_74723),
+	.Y(n_74724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g1045257 (
+	.A(n_74719),
+	.B(n_74720),
+	.Y(n_74721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g1045258 (
+	.A(n_85463),
+	.B(n_76680),
+	.Y(n_74719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 WALLACE_CSA_DUMMY_OP_groupi_g1045259 (
+	.A1(n_75687),
+	.A2(n_55477),
+	.B1(WALLACE_CSA_DUMMY_OP_groupi_n_651),
+	.Y(n_74720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1045260 (
+	.A(n_74718),
+	.B(n_74719),
+	.C(n_74720),
+	.Y(n_74723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1045263 (
+	.A(n_16272),
+	.Y(n_74726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_2 g1045265 (
+	.A1(n_36057),
+	.A2(n_74728),
+	.B1(n_16285),
+	.C1(n_35108),
+	.Y(n_74729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1045266 (
+	.A(n_74726),
+	.B(FE_DBTN108_n_71084),
+	.Y(n_74728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1045267 (
+	.A(n_86926),
+	.B(n_16289),
+	.C(n_74728),
+	.Y(n_74730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_4 g1045268 (
+	.A(n_16308),
+	.B(n_16309),
+	.C(n_75918),
+	.D(n_75090),
+	.Y(n_74733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1045273 (
+	.A1(n_70610),
+	.A2(n_58322),
+	.B1(n_25564),
+	.X(n_74735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1045276 (
+	.A0(n_69962),
+	.A1(n_76833),
+	.S(n_93140),
+	.X(n_70012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1045281 (
+	.A0(n_76833),
+	.A1(n_69961),
+	.S(n_93140),
+	.X(n_74743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_16 g1045285 (
+	.A(soc_top_u_top_u_core_alu_adder_result_ex[1]),
+	.Y(n_74746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1045311 (
+	.A(FE_DBTN30_n_85206),
+	.B(n_85205),
+	.Y(n_74771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1045314 (
+	.A(n_71591),
+	.B(n_85205),
+	.Y(n_74773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1045315 (
+	.A(n_76835),
+	.Y(n_74776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1045362  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_817 ),
+	.B(n_64842),
+	.Y(n_74823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1045367 (
+	.A(n_53283),
+	.B(n_53284),
+	.Y(n_74827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1045368 (
+	.A(n_74829),
+	.B(n_75111),
+	.Y(n_74830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1045369 (
+	.A(n_74827),
+	.B(n_74828),
+	.Y(n_74829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1045370 (
+	.A(n_63753),
+	.B(n_53295),
+	.Y(n_74828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1045379 (
+	.A(n_63860),
+	.Y(n_74839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt1045385 (
+	.A(n_80162),
+	.Y(n_74846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1045386 (
+	.A(n_80152),
+	.B(n_74847),
+	.Y(n_74848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1045387 (
+	.A(n_74846),
+	.B(n_74854),
+	.Y(n_74847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1045390 (
+	.A(n_74852),
+	.B(n_86387),
+	.Y(n_74854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1045392 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [4]),
+	.B(n_38229),
+	.Y(n_74852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1045396 (
+	.A(n_86387),
+	.B(n_74852),
+	.Y(n_74858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1045397 (
+	.A(n_88194),
+	.Y(n_74859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 fopt1045407 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [4]),
+	.Y(n_74865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1045411 (
+	.A(n_28085),
+	.B(n_64150),
+	.Y(n_74873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1045420 (
+	.A(n_15468),
+	.Y(n_74885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1045421 (
+	.A(n_74888),
+	.B(FE_DBTN20_n_79311),
+	.Y(n_74889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1045422  (
+	.A(n_74887),
+	.B(n_90489),
+	.Y(n_74888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1045424  (
+	.A(n_39909),
+	.B(FE_DBTN44_n_64842),
+	.Y(n_74887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1045425  (
+	.A(n_74888),
+	.B(n_58731),
+	.Y(n_74891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1045475 (
+	.A(n_62539),
+	.B(n_56961),
+	.Y(n_74938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1045477 (
+	.A(n_75859),
+	.B(FE_DBTN28_n_74938),
+	.Y(n_74942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1045481 (
+	.A(FE_DBTN28_n_74938),
+	.B(n_63208),
+	.Y(n_74946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1045482 (
+	.A(FE_DBTN28_n_74938),
+	.B(n_37532),
+	.Y(n_58728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1045483 (
+	.A(n_74950),
+	.Y(n_74951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1045484 (
+	.A(FE_DBTN47_n_63753),
+	.B(n_56232),
+	.Y(n_74950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1045486 (
+	.A(n_63755),
+	.Y(n_56232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1045487 (
+	.A(n_56936),
+	.B(n_74950),
+	.Y(n_74952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1045488 (
+	.A(n_78758),
+	.B(n_74950),
+	.Y(n_74953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1045491 (
+	.A(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.B_N(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Y(n_74955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1045494 (
+	.A(n_110),
+	.B(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.Y(n_74958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g1045497 (
+	.A1(n_29592),
+	.A2(n_29591),
+	.B1_N(n_12642),
+	.Y(n_74961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1045498 (
+	.A(n_18012),
+	.B(n_17693),
+	.Y(n_74962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1045500 (
+	.A(n_43246),
+	.B(soc_top_u_dccm_rdata4[14]),
+	.Y(n_74964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1045502 (
+	.A_N(n_71109),
+	.B(n_29318),
+	.Y(n_74966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g1045503 (
+	.A(n_30037),
+	.B(n_30038),
+	.Y(n_74967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g1045504 (
+	.A(n_74967),
+	.B(n_30036),
+	.Y(n_74968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1045509 (
+	.A(n_75465),
+	.B(n_76715),
+	.Y(n_74973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1045510 (
+	.A(n_26944),
+	.B(n_64887),
+	.Y(n_74974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1045511 (
+	.A(n_81721),
+	.B(n_61015),
+	.Y(n_74975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 g1045514 (
+	.A1_N(n_61179),
+	.A2_N(n_61181),
+	.B1(n_61179),
+	.B2(n_61181),
+	.Y(n_74978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1045517 (
+	.A(n_57360),
+	.B(n_57365),
+	.Y(n_74981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1045520 (
+	.A(n_87712),
+	.B(n_84084),
+	.Y(n_74984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1045523 (
+	.A(n_60100),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2401 ),
+	.Y(n_74987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1045524 (
+	.A(n_60107),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2440 ),
+	.Y(n_74988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1045525 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2590 ),
+	.B(n_56682),
+	.Y(n_74989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1045526 (
+	.A(n_62421),
+	.B(n_76727),
+	.Y(n_74990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1045527 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2778 ),
+	.B(n_78703),
+	.Y(n_74991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g1045536 (
+	.A(n_86291),
+	.B(n_86290),
+	.Y(n_75000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1045539 (
+	.A0(FE_DBTN68_n_54295),
+	.A1(n_54295),
+	.S(n_73457),
+	.X(n_75003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[19]  (
+	.CLK(CTS_126),
+	.D(n_10618),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [19]),
+	.Q_N(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_21 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[13]  (
+	.CLK(CTS_130),
+	.D(n_10610),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [13]),
+	.Q_N(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_20 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[7]  (
+	.CLK(CTS_130),
+	.D(n_10612),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [7]),
+	.Q_N(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_18 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[9]  (
+	.CLK(CTS_130),
+	.D(n_10625),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [9]),
+	.Q_N(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_17 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[10]  (
+	.CLK(CTS_130),
+	.D(n_10604),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [10]),
+	.Q_N(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_15 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[30]  (
+	.CLK(CTS_132),
+	.D(n_10650),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [30]),
+	.Q_N(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_12 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[22]  (
+	.CLK(CTS_126),
+	.D(n_10616),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [22]),
+	.Q_N(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_11 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[2]  (
+	.CLK(CTS_128),
+	.D(n_10601),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [2]),
+	.Q_N(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_10 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[30]  (
+	.CLK(CTS_132),
+	.D(n_10628),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [30]),
+	.Q_N(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_7 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[5]  (
+	.CLK(CTS_130),
+	.D(n_10599),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [5]),
+	.Q_N(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_6 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[1]  (
+	.CLK(CTS_118),
+	.D(n_10598),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [1]),
+	.Q_N(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_1 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[11]  (
+	.CLK(CTS_125),
+	.D(n_2477),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[11]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_22),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[24]  (
+	.CLK(CTS_120),
+	.D(n_2724),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[24]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_21),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[30]  (
+	.CLK(CTS_124),
+	.D(n_2742),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[30]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_20),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[31]  (
+	.CLK(CTS_124),
+	.D(n_2744),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[31]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_19),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[19]  (
+	.CLK(CTS_124),
+	.D(n_2524),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[19]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_18),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[10]  (
+	.CLK(CTS_125),
+	.D(n_2514),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[10]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_17),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[26]  (
+	.CLK(CTS_120),
+	.D(n_2789),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[26]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_16),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[15]  (
+	.CLK(CTS_125),
+	.D(n_2331),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[15]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_15),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[17]  (
+	.CLK(CTS_124),
+	.D(n_2520),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[17]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_14),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[22]  (
+	.CLK(CTS_120),
+	.D(n_2385),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[22]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_13),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[8]  (
+	.CLK(CTS_125),
+	.D(n_2334),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[8]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_12),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[21]  (
+	.CLK(CTS_124),
+	.D(n_2327),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[21]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_11),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[27]  (
+	.CLK(CTS_120),
+	.D(n_2773),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[27]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_10),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[25]  (
+	.CLK(CTS_120),
+	.D(n_2781),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[25]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_9),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[18]  (
+	.CLK(CTS_124),
+	.D(n_2326),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[18]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_8),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[3]  (
+	.CLK(CTS_127),
+	.D(n_2523),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[3]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_7),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[23]  (
+	.CLK(CTS_120),
+	.D(n_2771),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[23]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_6),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[13]  (
+	.CLK(CTS_125),
+	.D(n_2516),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[13]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_5),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[20]  (
+	.CLK(CTS_124),
+	.D(n_2548),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[20]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_4),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[4]  (
+	.CLK(CTS_125),
+	.D(n_2333),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[4]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_3),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[29]  (
+	.CLK(CTS_120),
+	.D(n_2751),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[29]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_2),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[6]  (
+	.CLK(CTS_125),
+	.D(n_2541),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[6]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_1),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[28]  (
+	.CLK(CTS_120),
+	.D(n_2725),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[28]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_0),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfsbp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[25]  (
+	.CLK(CTS_108),
+	.D(n_12063),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[25]),
+	.Q_N(n_48939),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_2 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[9]  (
+	.CLK(CTS_137),
+	.D(n_10820),
+	.Q(soc_top_u_top_u_core_csr_mtvec[9]),
+	.Q_N(n_74446),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g1045813 (
+	.A_N(n_29450),
+	.B(soc_top_u_top_u_core_pc_mux_id[0]),
+	.X(n_75064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1045816 (
+	.A(n_57702),
+	.B(FE_DBTN59_n_58812),
+	.X(n_75067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_2 g1045817 (
+	.A1(\soc_top_u_top_u_core_fp_operands[2] [5]),
+	.A2(FE_DBTN79_n_53716),
+	.B1(n_39671),
+	.C1(n_40428),
+	.X(n_75068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_4 g1045819 (
+	.A1_N(soc_top_u_top_u_core_load_store_unit_i_rdata_q[22]),
+	.A2_N(n_39587),
+	.B1(n_77601),
+	.B2(n_60984),
+	.X(n_75070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g1045820 (
+	.A(n_57529),
+	.B(n_24882),
+	.X(n_75071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1045821 (
+	.A(n_24005),
+	.B(soc_top_u_dccm_bank_sel[0]),
+	.X(n_75072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g1045832 (
+	.A(n_61073),
+	.B(n_16506),
+	.X(n_75083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g1045839 (
+	.A1(n_16247),
+	.A2(n_16272),
+	.B1(n_36049),
+	.C1(n_16307),
+	.X(n_75090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1045847 (
+	.A(n_30594),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_75098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1045851 (
+	.A(addinc_ADD_UNS_OP_2_n_1351),
+	.B(n_61403),
+	.Y(n_75102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1045852 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1743),
+	.B(n_42408),
+	.Y(n_75103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1045853 (
+	.A(n_61246),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_217 ),
+	.Y(n_75104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1045854 (
+	.A(addinc_ADD_UNS_OP_2_n_1880),
+	.B(n_76271),
+	.Y(n_75105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1045855 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1766),
+	.B(n_79526),
+	.X(n_75106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1045858 (
+	.A(n_46313),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1890),
+	.X(n_75109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1045860 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_845 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1652 ),
+	.Y(n_75111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g1045861 (
+	.A(n_69927),
+	.B(n_29745),
+	.X(n_75112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1045867 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_335 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1432 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1846 ),
+	.X(n_75118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1045871 (
+	.A1(n_84569),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1257 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1875 ),
+	.X(n_75122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1045872 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_335 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1423 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1457 ),
+	.X(n_75123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1045885 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1432 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1355 ),
+	.S(n_31304),
+	.X(n_75136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1045888 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_335 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1412 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_2239 ),
+	.X(n_75139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1045896 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_335 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1429 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1845 ),
+	.X(n_75147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1045900 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_335 ),
+	.A2(n_75278),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1518 ),
+	.X(n_75151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1045907 (
+	.A1(n_31304),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1412 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1479 ),
+	.X(n_75158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 g1045908 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_115 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_5 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_113 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_6 ),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_160 ),
+	.X(n_75159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1045909 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_135 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_132 ),
+	.S(n_74733),
+	.Y(n_75160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 g1045910 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_120 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_122 ),
+	.S(n_16340),
+	.X(n_75161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 g1045911 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_134 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_138 ),
+	.S(n_74733),
+	.X(n_75162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 g1045912 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_97 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_120 ),
+	.S(n_16340),
+	.X(n_75163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 g1045913 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_96 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_119 ),
+	.S(n_16340),
+	.X(n_75164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 g1045914 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_132 ),
+	.A2(n_16340),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_148 ),
+	.B2(n_74733),
+	.Y(n_75165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 g1045915 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_138 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_146 ),
+	.S(n_74733),
+	.X(n_75166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1045916 (
+	.A1(n_74733),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_95 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_111 ),
+	.X(n_75167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1045917 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_94 ),
+	.A2(n_16340),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_108 ),
+	.Y(n_75168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1045918 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_149 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_145 ),
+	.S(n_74733),
+	.X(n_75169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 g1045919 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_124 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_126 ),
+	.S(n_16340),
+	.X(n_75170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 g1045920 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_146 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_126 ),
+	.S(n_74733),
+	.X(n_75171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 g1045921 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_95 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_97 ),
+	.S(n_16340),
+	.X(n_75172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g1045922 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_57 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_5 ),
+	.X(n_75173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 g1045923 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_139 ),
+	.A2(n_16340),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_133 ),
+	.B2(n_74733),
+	.Y(n_75174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 g1045924 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_121 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_123 ),
+	.S(n_16340),
+	.X(n_75175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1045925 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_119 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_121 ),
+	.S(n_16340),
+	.X(n_75176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 g1045926 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_93 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_5 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_6 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_115 ),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_159 ),
+	.X(n_75177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1045927 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_139 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_130 ),
+	.S(n_16340),
+	.Y(n_75178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1045928 (
+	.A_N(n_74733),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_83 ),
+	.Y(n_75179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 g1045929 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_123 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_125 ),
+	.S(n_16340),
+	.X(n_75180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 g1045930 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_144 ),
+	.A2(n_16340),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_130 ),
+	.B2(n_74733),
+	.Y(n_75181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 g1045931 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_56 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_5 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_54 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_6 ),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_107 ),
+	.X(n_75182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 g1045932 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_147 ),
+	.A2(n_16340),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_143 ),
+	.B2(n_74733),
+	.Y(n_75183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31o_1 g1045933 (
+	.A1(n_68937),
+	.A2(n_10570),
+	.A3(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [0]),
+	.B1(n_68942),
+	.X(n_75184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_2 g1045934 (
+	.A1(n_815),
+	.A2(n_3042),
+	.B1(n_3567),
+	.X(n_75185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 g1045937 (
+	.A1(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_19 ),
+	.A2(n_31152),
+	.B1(n_37489),
+	.B2(n_1100),
+	.C1(n_1493),
+	.X(n_75188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1045938 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_88 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_82 ),
+	.S(n_71731),
+	.X(n_75189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1045939 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_80 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_91 ),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_534 ),
+	.X(n_75190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1045940 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_82 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_78 ),
+	.S(n_71731),
+	.X(n_75191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1045941 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_534 ),
+	.A2(n_71009),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_97 ),
+	.X(n_75192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g1045942 (
+	.A1(n_71731),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_78 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_534 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_75 ),
+	.X(n_75193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1045943 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_79 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_83 ),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_534 ),
+	.X(n_75194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1045944 (
+	.A0(n_71009),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_83 ),
+	.S(n_71731),
+	.X(n_75195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g1045945 (
+	.A1(n_71731),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_81 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_534 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_77 ),
+	.X(n_75196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1045946 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_534 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_88 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_96 ),
+	.X(n_75197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1045947 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_67 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_36 ),
+	.S(n_71731),
+	.X(n_75198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32a_1 g1045948 (
+	.A1(n_71004),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_534 ),
+	.A3(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_37 ),
+	.B1(n_71731),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_62 ),
+	.X(n_75199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1045949 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_534 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_91 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_94 ),
+	.X(n_75200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g1045950 (
+	.A(n_71731),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_71 ),
+	.X(n_75201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1045951 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_89 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_82 ),
+	.S(n_29261),
+	.X(n_75202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g1045952 (
+	.A1(n_29261),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_80 ),
+	.B1(n_85120),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_76 ),
+	.X(n_75203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g1045953 (
+	.A1(n_71731),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_79 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_534 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_65 ),
+	.X(n_75204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1045954 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_77 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_62 ),
+	.S(n_71731),
+	.X(n_75205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1045955 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_75 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_71 ),
+	.S(n_71731),
+	.X(n_75206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1045956 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_76 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_80 ),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_534 ),
+	.X(n_75207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g1045957 (
+	.A1(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_0 ),
+	.A2(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_68 ),
+	.B1_N(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_71 ),
+	.Y(n_75208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1045958 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_534 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_89 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_93 ),
+	.X(n_75209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1045959 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_67 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_76 ),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_534 ),
+	.X(n_75210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1045960 (
+	.A(n_39152),
+	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_53 ),
+	.Y(n_75211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1045961 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_65 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_66 ),
+	.S(n_71731),
+	.X(n_75212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1045962 (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_149 ),
+	.B(n_76280),
+	.X(n_75213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 g1045963 (
+	.A1(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.A2(n_17957),
+	.B1(soc_top_u_dccm_bank_sel[1]),
+	.B2(n_41171),
+	.C1(n_49441),
+	.X(n_75214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1045964 (
+	.A(n_58963),
+	.B(n_55389),
+	.X(n_75215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1045966 (
+	.A(n_86637),
+	.B(n_75715),
+	.X(n_75217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1045967 (
+	.A1(addinc_ADD_UNS_OP_2_n_416),
+	.A2(n_39740),
+	.B1(n_39741),
+	.X(n_75218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1045968 (
+	.A(n_56598),
+	.B(n_56601),
+	.X(n_75219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1045985 (
+	.A(n_36224),
+	.B(n_31248),
+	.X(n_75236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1045987 (
+	.A(n_58364),
+	.B(n_39162),
+	.X(n_75238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1045988 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1238 ),
+	.B(FE_DBTN1_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sll_527_36_n_535),
+	.X(n_75239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1045989 (
+	.A(n_57479),
+	.B(FE_DBTN72_n_90623),
+	.X(n_75240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_2 g1045996 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_117 ),
+	.B(n_59690),
+	.C(n_86735),
+	.X(n_75247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1045999 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1342 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_335 ),
+	.X(n_75250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_2 g1046026 (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1754 ),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_934 ),
+	.B1(n_31303),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1174 ),
+	.X(n_75277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1046027 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1276 ),
+	.B(n_85642),
+	.X(n_75278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1046092 (
+	.A(n_31300),
+	.B(n_76790),
+	.X(n_75343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1046096 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_335 ),
+	.B(FE_DBTN86_n_31303),
+	.X(n_75347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1046103 (
+	.A(n_12579),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [29]),
+	.Y(n_75354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_4 g1046111 (
+	.A1(n_73482),
+	.A2(n_72421),
+	.B1(n_25512),
+	.X(n_75362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1046114 (
+	.A(n_41660),
+	.B(n_84338),
+	.X(n_75365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1046116 (
+	.A1(n_63542),
+	.A2(n_63543),
+	.B1(soc_top_u_dccm_rdata1[17]),
+	.X(n_75367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1046119 (
+	.A(n_76874),
+	.B(addinc_ADD_UNS_OP_2_n_744),
+	.X(n_75370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_4 g1046120 (
+	.A(n_23997),
+	.B(n_18039),
+	.C(n_18065),
+	.D(n_18038),
+	.X(n_75371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31o_1 g1046121 (
+	.A1(n_57022),
+	.A2(n_79175),
+	.A3(n_39515),
+	.B1(n_81283),
+	.X(n_75372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046122 (
+	.A_N(n_17765),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[1]),
+	.Y(n_75373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1046123 (
+	.A1(n_63542),
+	.A2(n_63543),
+	.B1(soc_top_u_dccm_rdata2[19]),
+	.X(n_75374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1046124 (
+	.A(n_79425),
+	.B(n_12775),
+	.Y(n_75375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1046125 (
+	.A(FE_DBTN54_n_78756),
+	.B(n_56232),
+	.X(n_75376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1046126 (
+	.A(n_55286),
+	.B(n_28104),
+	.Y(n_75377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1046127 (
+	.A(FE_DBTN96_n_57702),
+	.B(n_80113),
+	.X(n_75378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1046129 (
+	.A(addinc_ADD_UNS_OP_2_n_1335),
+	.B(n_31048),
+	.X(n_75380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_2 g1046130 (
+	.A1(n_25494),
+	.A2(n_53485),
+	.B1(FE_DBTN103_n_71622),
+	.X(n_75381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1046131 (
+	.A(addinc_ADD_UNS_OP_2_n_973),
+	.B(addinc_ADD_UNS_OP_2_n_2041),
+	.X(n_75382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g1046132 (
+	.A(n_84903),
+	.B(n_53738),
+	.X(n_75383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1046133 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_102 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_69 ),
+	.X(n_75384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_2 g1046134 (
+	.A1(n_19598),
+	.A2(n_69424),
+	.B1_N(n_75563),
+	.X(n_75385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1046135 (
+	.A(n_87873),
+	.B(n_53994),
+	.X(n_75386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1046139 (
+	.A(n_59293),
+	.B(n_87553),
+	.X(n_75390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1046141 (
+	.A(n_55072),
+	.B(n_76854),
+	.X(n_75392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1046142 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2667 ),
+	.B(n_37563),
+	.Y(n_75393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1046147 (
+	.A(n_38994),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_50),
+	.X(n_75398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046148 (
+	.A_N(n_29777),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_n_32 ),
+	.Y(n_75399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_2 g1046149 (
+	.A1(n_55533),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2486 ),
+	.B1(n_55534),
+	.X(n_75400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1046153 (
+	.A(n_60435),
+	.B(n_90625),
+	.X(n_75404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1046154 (
+	.A(n_54041),
+	.B(n_79665),
+	.X(n_75405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1046157 (
+	.A(n_84507),
+	.B_N(n_56106),
+	.Y(n_75408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1046159 (
+	.A(n_76267),
+	.B(n_53849),
+	.X(n_75410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1046166 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1449),
+	.B_N(n_55365),
+	.Y(n_75417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1046168 (
+	.A1(n_17688),
+	.A2(n_26377),
+	.B1(n_56980),
+	.Y(n_75419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1046169 (
+	.A1(n_76613),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1989 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1991 ),
+	.X(n_75420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g1046170 (
+	.A(n_87504),
+	.B(n_78254),
+	.Y(n_75421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1046177 (
+	.A1(n_63534),
+	.A2(n_24012),
+	.B1_N(n_58073),
+	.X(n_75428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046179 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2208 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2100 ),
+	.Y(n_75430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1046181 (
+	.A1(n_58755),
+	.A2(n_56492),
+	.B1(n_78998),
+	.X(n_75432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1046185 (
+	.A(n_76864),
+	.B(n_58531),
+	.X(n_75436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g1046186 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1406 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_856 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1431 ),
+	.Y(n_75437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_4 g1046187 (
+	.A(n_58308),
+	.B_N(n_59022),
+	.Y(n_75438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1046188 (
+	.A(n_48408),
+	.B(n_58805),
+	.X(n_75439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1046189 (
+	.A(n_79525),
+	.B(n_58805),
+	.X(n_75440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g1046190 (
+	.A(n_9902),
+	.B(n_31545),
+	.X(n_75441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1046191 (
+	.A(n_59062),
+	.B(n_75825),
+	.X(n_75442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1046192 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3762 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_846 ),
+	.B1(n_59094),
+	.Y(n_75443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1046193 (
+	.A1(n_59341),
+	.A2(n_25309),
+	.B1(n_59343),
+	.X(n_75444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g1046194 (
+	.A(FE_DBTN54_n_78756),
+	.B(n_74951),
+	.X(n_75445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1046197 (
+	.A(n_27548),
+	.B(FE_DBTN70_n_87553),
+	.X(n_75448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1046199 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1484 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1144 ),
+	.X(n_75450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_4 g1046204 (
+	.A(n_78022),
+	.B(FE_DBTN97_n_60382),
+	.C(n_58164),
+	.X(n_75455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1046205 (
+	.A(n_78756),
+	.B(n_79007),
+	.X(n_75456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1046206 (
+	.A1(n_25888),
+	.A2(n_81283),
+	.B1(n_61135),
+	.X(n_75457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1046207 (
+	.A1(n_62943),
+	.A2(n_75071),
+	.B1(n_25738),
+	.X(n_75458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_2 g1046209 (
+	.A(n_61262),
+	.B_N(n_25476),
+	.Y(n_75460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1046210 (
+	.A_N(n_77600),
+	.B(n_60748),
+	.Y(n_75461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g1046214 (
+	.A(n_75419),
+	.B(n_26688),
+	.C(n_26684),
+	.D(n_84501),
+	.Y(n_75465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1046218 (
+	.A(n_62063),
+	.B(n_75858),
+	.X(n_75469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1046220 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2593 ),
+	.A2(n_78609),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2705 ),
+	.Y(n_75471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1046221 (
+	.A1(n_62309),
+	.A2(n_78725),
+	.B1(n_86706),
+	.X(n_75472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1046225 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3329 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1993 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1959 ),
+	.X(n_75476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1046226 (
+	.A1(n_62439),
+	.A2(n_62396),
+	.B1(n_77516),
+	.X(n_75477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1046231 (
+	.A(n_84903),
+	.B(n_75684),
+	.X(n_75482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1046233 (
+	.A1(n_35028),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [4]),
+	.B1(n_24001),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [4]),
+	.C1(n_66469),
+	.X(n_75484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1046234 (
+	.A1(n_62872),
+	.A2(n_62863),
+	.B1(n_63753),
+	.X(n_75485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1046237 (
+	.A(n_34778),
+	.B(n_34799),
+	.X(n_75488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1046238 (
+	.A(n_63774),
+	.B(n_58680),
+	.X(n_75489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046245 (
+	.A_N(n_43916),
+	.B(n_19600),
+	.Y(n_75496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1046252 (
+	.A1(n_29535),
+	.A2(n_69213),
+	.B1(n_69822),
+	.X(n_75503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_4 g1046253 (
+	.A1(n_9582),
+	.A2(n_7287),
+	.B1(n_9971),
+	.B2(n_79),
+	.X(n_75504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046265 (
+	.A_N(n_63773),
+	.B(n_63772),
+	.Y(n_75516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1046289 (
+	.A(n_38117),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_335 ),
+	.X(n_75540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1046293 (
+	.A(n_25626),
+	.B(n_25734),
+	.X(n_75544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_2 g1046298 (
+	.A1(n_72622),
+	.A2(n_85283),
+	.B1(n_73956),
+	.X(n_75549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g1046299 (
+	.A1(n_602),
+	.A2(n_4609),
+	.B1(n_69313),
+	.C1(n_3992),
+	.D1(n_3997),
+	.X(n_75550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1046300 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_25),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_250),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_35),
+	.X(n_75551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1046303 (
+	.A_N(\soc_top_lsu_to_xbar[a_address] [25]),
+	.B(n_143),
+	.Y(n_75554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1046304 (
+	.A(n_78899),
+	.B_N(n_35473),
+	.Y(n_75555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1046307 (
+	.A(n_43916),
+	.B_N(n_18361),
+	.Y(n_75558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g1046311 (
+	.A(n_17765),
+	.B_N(soc_top_u_top_u_core_rf_wdata_fwd_wb[28]),
+	.X(n_75562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1046312 (
+	.A(n_19562),
+	.B_N(soc_top_u_top_u_core_load_store_unit_i_rdata_q[26]),
+	.Y(n_75563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1046315 (
+	.A(n_25196),
+	.B_N(soc_top_u_dccm_rdata3[27]),
+	.Y(n_75566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1046322 (
+	.A(n_16458),
+	.B(n_16467),
+	.Y(n_75573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1046324 (
+	.A_N(n_16448),
+	.B(n_16441),
+	.Y(n_75575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g1046325 (
+	.A(n_16332),
+	.B(n_16319),
+	.X(n_75576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1046326 (
+	.A_N(n_86758),
+	.B(n_16335),
+	.Y(n_75577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1046327 (
+	.A_N(n_16335),
+	.B(n_86758),
+	.Y(n_75578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046331 (
+	.A_N(n_36065),
+	.B(n_75925),
+	.Y(n_75582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1046332 (
+	.A_N(n_36081),
+	.B(n_16281),
+	.C(n_35107),
+	.Y(n_75583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_1 g1046333 (
+	.A(n_29784),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [6]),
+	.X(n_75584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046338 (
+	.A_N(n_29411),
+	.B(n_29397),
+	.Y(n_75589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046344 (
+	.A_N(n_31196),
+	.B(FE_DBTN68_n_54295),
+	.Y(n_75595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046345 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [29]),
+	.B(FE_DBTN68_n_54295),
+	.Y(n_75596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1046346 (
+	.A0(FE_DBTN68_n_54295),
+	.A1(n_54295),
+	.S(n_31182),
+	.X(n_75597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1046347 (
+	.A(n_90647),
+	.B_N(n_30766),
+	.Y(n_75598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1046350 (
+	.A_N(n_86914),
+	.B(n_74865),
+	.Y(n_75601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046353 (
+	.A_N(n_35172),
+	.B(n_31152),
+	.Y(n_75604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046355 (
+	.A_N(n_56573),
+	.B(n_56574),
+	.Y(n_75606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g1046356 (
+	.A(n_58026),
+	.B(n_61673),
+	.X(n_75607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046357 (
+	.A_N(addinc_ADD_UNS_OP_2_n_1137),
+	.B(n_58923),
+	.Y(n_75608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046358 (
+	.A_N(addinc_ADD_UNS_OP_2_n_1133),
+	.B(n_58923),
+	.Y(n_75609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1046359 (
+	.A_N(n_79420),
+	.B(n_38366),
+	.Y(n_75610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1046360 (
+	.A_N(n_30977),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_15),
+	.Y(n_75611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1046361 (
+	.A(addinc_ADD_UNS_OP_2_n_2025),
+	.B_N(n_37995),
+	.Y(n_75612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046362 (
+	.A_N(addinc_ADD_UNS_OP_2_n_2122),
+	.B(addinc_ADD_UNS_OP_2_n_2069),
+	.Y(n_75613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1046363 (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_156 ),
+	.B(n_87507),
+	.X(n_75614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1046365 (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_227 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_410 ),
+	.Y(n_75616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g1046367 (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_87 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_202 ),
+	.C(n_75619),
+	.X(n_75618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g1046368 (
+	.A(n_30336),
+	.B(n_30278),
+	.X(n_75619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g1046369 (
+	.A(n_30302),
+	.B(n_30244),
+	.X(n_75620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g1046370 (
+	.A(n_30301),
+	.B(n_30243),
+	.X(n_75621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046373 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2647 ),
+	.B(n_59129),
+	.Y(n_75624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1046374 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2582 ),
+	.B(n_78079),
+	.Y(n_75625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1046375 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2388 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2100 ),
+	.Y(n_75626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046376 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1743 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1742 ),
+	.Y(n_75627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046379 (
+	.A_N(n_78057),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_309 ),
+	.Y(n_75630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_2 g1046388 (
+	.A(n_84291),
+	.B_N(n_31240),
+	.Y(n_75639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1046392 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_126),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_173),
+	.Y(n_75643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046396 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_856 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_669 ),
+	.Y(n_75647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1046399 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_184 ),
+	.B(FE_DBTN8_n_84026),
+	.C(n_54294),
+	.Y(n_75650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046412 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_637 ),
+	.B(FE_DBTN88_n_31300),
+	.Y(n_75663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046413 (
+	.A_N(FE_DBTN0_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_621),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_637 ),
+	.Y(n_75664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046418 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_79 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_554 ),
+	.Y(n_75669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1046420 (
+	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[27]),
+	.A1(n_24893),
+	.S(n_24414),
+	.X(n_75671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1046421 (
+	.A0(n_39867),
+	.A1(n_59284),
+	.S(n_58714),
+	.X(n_75672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1046422 (
+	.A(n_90667),
+	.B(n_87858),
+	.X(n_75673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1046423 (
+	.A_N(soc_top_u_top_u_core_rf_wdata_fwd_wb[26]),
+	.B(FE_DBTN104_n_17765),
+	.Y(n_75674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046424 (
+	.A_N(n_80707),
+	.B(n_87553),
+	.Y(n_75675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 g1046425 (
+	.A_N(\soc_top_u_top_u_core_fp_operands[2] [12]),
+	.B(n_26500),
+	.C(n_26630),
+	.D(n_26712),
+	.X(n_75676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046426 (
+	.A_N(FE_DBTN79_n_53716),
+	.B(n_26630),
+	.Y(n_75677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046428 (
+	.A_N(n_89302),
+	.B(n_80797),
+	.Y(n_75679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046430 (
+	.A_N(n_40540),
+	.B(n_40542),
+	.Y(n_75681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1046433 (
+	.A(n_40712),
+	.B_N(n_40841),
+	.Y(n_75684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1046434 (
+	.A_N(n_56608),
+	.B(FE_DBTN64_n_59187),
+	.Y(n_75685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_2 g1046436 (
+	.A1(n_88483),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_1180),
+	.B1_N(n_42853),
+	.Y(n_75687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046438 (
+	.A_N(n_17765),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[14]),
+	.Y(n_75689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1046439 (
+	.A0(FE_DBTN68_n_54295),
+	.A1(n_54295),
+	.S(n_43221),
+	.X(n_75690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046441 (
+	.A_N(n_37691),
+	.B(n_45822),
+	.Y(n_75692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1046443 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1248),
+	.B(n_46296),
+	.Y(n_75694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1046444 (
+	.A(n_90506),
+	.B(n_13465),
+	.Y(n_75695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g1046445 (
+	.A_N(n_79698),
+	.B(n_47808),
+	.X(n_75696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1046446 (
+	.A_N(n_50528),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1766),
+	.Y(n_75697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1046447 (
+	.A_N(n_60717),
+	.B(n_86308),
+	.C(n_63617),
+	.Y(n_75698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1046448 (
+	.A_N(n_76313),
+	.B(n_85000),
+	.Y(n_75699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046449 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1080 ),
+	.B(n_53333),
+	.Y(n_75700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046450 (
+	.A_N(n_37589),
+	.B(n_80286),
+	.Y(n_75701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1046451 (
+	.A_N(n_88100),
+	.B(n_61599),
+	.C(n_53642),
+	.Y(n_75702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1046453 (
+	.A(n_89587),
+	.B(n_90644),
+	.Y(n_75704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g1046454 (
+	.A(n_89587),
+	.B(n_90645),
+	.X(n_75705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1046455 (
+	.A(n_87801),
+	.B_N(WALLACE_CSA_DUMMY_OP_groupi_n_1830),
+	.Y(n_75706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1046456 (
+	.A1(n_40843),
+	.A2(FE_DBTN8_n_84026),
+	.B1(n_40712),
+	.Y(n_75707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1046457 (
+	.A(n_71922),
+	.B_N(n_16053),
+	.Y(n_75708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046458 (
+	.A_N(addinc_ADD_UNS_OP_2_n_497),
+	.B(addinc_ADD_UNS_OP_2_n_217),
+	.Y(n_75709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046459 (
+	.A_N(n_57964),
+	.B(n_57965),
+	.Y(n_75710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046463 (
+	.A_N(n_59470),
+	.B(n_54111),
+	.Y(n_75714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1046464 (
+	.A(addinc_ADD_UNS_OP_2_n_752),
+	.B(addinc_ADD_UNS_OP_2_n_732),
+	.X(n_75715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1046467 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_1201),
+	.B(n_85463),
+	.Y(n_75718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1046468 (
+	.A_N(n_57202),
+	.B(n_78000),
+	.C(n_54318),
+	.Y(n_75719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_2 g1046469 (
+	.A(FE_DBTN70_n_87553),
+	.B_N(n_28015),
+	.Y(n_75720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046470 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2373 ),
+	.B(n_54332),
+	.Y(n_75721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046471 (
+	.A_N(n_54348),
+	.B(n_54344),
+	.Y(n_75722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046477 (
+	.A_N(n_38801),
+	.B(n_62477),
+	.Y(n_75728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046479 (
+	.A_N(n_77099),
+	.B(n_54424),
+	.Y(n_75730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1046483 (
+	.A_N(n_76731),
+	.B(n_54568),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2473 ),
+	.Y(n_75734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046484 (
+	.A_N(n_54355),
+	.B(n_54356),
+	.Y(n_75735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1046485 (
+	.A(n_31112),
+	.B(n_31111),
+	.X(n_75736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046486 (
+	.A_N(n_35459),
+	.B(n_87553),
+	.Y(n_75737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046487 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_1398),
+	.B(n_55045),
+	.Y(n_75738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046488 (
+	.A_N(n_44380),
+	.B(n_85463),
+	.Y(n_75739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1046491 (
+	.A(n_55241),
+	.B_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1324 ),
+	.Y(n_75742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1046492 (
+	.A(n_55241),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1324 ),
+	.Y(n_75743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1046493 (
+	.A_N(n_55262),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2738 ),
+	.Y(n_75744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046495 (
+	.A_N(n_46319),
+	.B(n_55389),
+	.Y(n_75746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1046497 (
+	.A_N(n_55424),
+	.B(n_90609),
+	.Y(n_75748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1046498 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2828 ),
+	.B(n_59165),
+	.Y(n_75749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1046499 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2486 ),
+	.B(n_55544),
+	.X(n_75750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_4 g1046506 (
+	.A_N(n_55913),
+	.B(n_55911),
+	.C(n_79754),
+	.Y(n_75757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1046507 (
+	.A_N(n_53872),
+	.B(n_55950),
+	.Y(n_75758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046509 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3400 ),
+	.B(n_87449),
+	.Y(n_75760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1046512 (
+	.A_N(n_88454),
+	.B(n_55821),
+	.C(n_46373),
+	.Y(n_75763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1046513 (
+	.A_N(n_59284),
+	.B(n_58714),
+	.Y(n_75764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046519 (
+	.A_N(n_56762),
+	.B(n_75704),
+	.Y(n_75770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1046520 (
+	.A(n_25460),
+	.B(n_41421),
+	.Y(n_75771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046521 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2217 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2390 ),
+	.Y(n_75772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1046523 (
+	.A_N(n_57139),
+	.B(n_79778),
+	.C(n_80340),
+	.Y(n_75774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1046525 (
+	.A(n_76652),
+	.B(n_85000),
+	.Y(n_75776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046528 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2577 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2415 ),
+	.Y(n_75779), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046529 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2415 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2577 ),
+	.Y(n_75780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1046531 (
+	.A_N(n_57466),
+	.B(n_42891),
+	.C(n_55713),
+	.Y(n_75782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046532 (
+	.A_N(n_42345),
+	.B(n_42342),
+	.Y(n_75783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046533 (
+	.A_N(n_42342),
+	.B(n_42345),
+	.Y(n_75784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046536 (
+	.A_N(n_87585),
+	.B(n_87456),
+	.Y(n_75787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g1046537 (
+	.A(n_57561),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2525 ),
+	.X(n_75788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_2 g1046538 (
+	.A1(n_25886),
+	.A2(n_65222),
+	.B1_N(n_22838),
+	.Y(n_75789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1046540 (
+	.A1(FE_DBTN104_n_17765),
+	.A2(n_44408),
+	.B1(n_57080),
+	.Y(n_75791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1046541 (
+	.A(n_45837),
+	.B(n_76651),
+	.X(n_75792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1046542 (
+	.A_N(n_80282),
+	.B(n_37553),
+	.Y(n_75793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046543 (
+	.A_N(n_37553),
+	.B(n_80282),
+	.Y(n_75794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046544 (
+	.A_N(addinc_ADD_UNS_OP_2_n_439),
+	.B(n_58014),
+	.Y(n_75795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_4 g1046547 (
+	.A_N(n_58101),
+	.B(n_26669),
+	.C(n_61654),
+	.Y(n_75798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1046549 (
+	.A1(n_75748),
+	.A2(addinc_ADD_UNS_OP_2_n_900),
+	.B1_N(n_38819),
+	.X(n_75800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1046551 (
+	.A(n_56551),
+	.B(n_56558),
+	.Y(n_75802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046552 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1742 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1743 ),
+	.Y(n_75803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1046553 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2020 ),
+	.B(n_76674),
+	.X(n_75804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046556 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_834 ),
+	.B(n_37607),
+	.Y(n_75807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_2 g1046559 (
+	.A(n_75438),
+	.B_N(n_55285),
+	.Y(n_75810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1046561 (
+	.A_N(n_58716),
+	.B(n_75601),
+	.Y(n_75812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g1046563 (
+	.A(n_76619),
+	.B(addinc_ADD_UNS_OP_2_n_1629),
+	.X(n_75814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1046565 (
+	.A_N(n_58838),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_221),
+	.Y(n_75816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046566 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_221),
+	.B(n_58838),
+	.Y(n_75817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1046568 (
+	.A(soc_top_u_dccm_rdata3[24]),
+	.B(n_24877),
+	.X(n_75819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1046569 (
+	.A_N(n_17968),
+	.B(n_80232),
+	.Y(n_75820), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1046571 (
+	.A1(n_59006),
+	.A2(n_59007),
+	.B1_N(soc_top_u_dccm_rdata3[16]),
+	.Y(n_75822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1046574 (
+	.A_N(n_75606),
+	.B(n_86405),
+	.C(n_59060),
+	.Y(n_75825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1046575 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_1157),
+	.A2(n_75817),
+	.B1_N(n_59062),
+	.X(n_75826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1046577 (
+	.A(n_53769),
+	.B(n_59315),
+	.X(n_75828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1046580 (
+	.A_N(n_59639),
+	.B(n_64150),
+	.C(FE_DBTN59_n_58812),
+	.D(n_61059),
+	.Y(n_75831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046581 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2401 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2581 ),
+	.Y(n_75832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1046586 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_893),
+	.B(n_60673),
+	.C(WALLACE_CSA_DUMMY_OP_groupi_n_1296),
+	.Y(n_75837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046590 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3617 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_780 ),
+	.Y(n_75841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1046592 (
+	.A(n_60816),
+	.B_N(n_60799),
+	.Y(n_75843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046595 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1071 ),
+	.B(n_53333),
+	.Y(n_75846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046596 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_959 ),
+	.B(n_85000),
+	.Y(n_75847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g1046597 (
+	.A_N(n_43916),
+	.B(n_57067),
+	.X(n_75848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1046599 (
+	.A_N(n_16354),
+	.B(n_16391),
+	.Y(n_75850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1046605 (
+	.A_N(n_81281),
+	.B(n_73479),
+	.C(n_73478),
+	.Y(n_75856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046607 (
+	.A_N(n_74854),
+	.B(n_62064),
+	.Y(n_75858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_2 g1046608 (
+	.A(n_63209),
+	.B(n_62052),
+	.X(n_75859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046611 (
+	.A_N(n_76727),
+	.B(n_86851),
+	.Y(n_75862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1046612 (
+	.A_N(n_54563),
+	.B(n_53510),
+	.Y(n_75863), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1046613 (
+	.A(n_75862),
+	.B(n_62459),
+	.C(n_74990),
+	.Y(n_75864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046615 (
+	.A_N(n_62526),
+	.B(n_62528),
+	.Y(n_75866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1046617 (
+	.A(n_76654),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2904 ),
+	.X(n_75868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1046619 (
+	.A(n_77983),
+	.B(n_90623),
+	.X(n_75870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046620 (
+	.A_N(n_79417),
+	.B(n_63526),
+	.Y(n_75871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1046626 (
+	.A(n_87776),
+	.B_N(n_64782),
+	.Y(n_75877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_2 g1046627 (
+	.A(FE_DBTN44_n_64842),
+	.B_N(n_65309),
+	.Y(n_75878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g1046631 (
+	.A(n_61131),
+	.B(n_73582),
+	.X(n_75882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1046632 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sign_z_D ),
+	.A1(n_54294),
+	.S(n_69382),
+	.Y(n_75883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046633 (
+	.A_N(n_71346),
+	.B(n_75362),
+	.Y(n_75884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1046634 (
+	.A_N(FE_DBTN7_n_84281),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_138 ),
+	.Y(n_75885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1046648 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_11 ),
+	.B(FE_DBTN8_n_84026),
+	.X(n_75899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1046656 (
+	.A1(n_13303),
+	.A2(n_74529),
+	.B1_N(n_72267),
+	.X(n_75907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1046657 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_122),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_335),
+	.Y(n_75908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g1046658 (
+	.A(n_72751),
+	.B(n_72749),
+	.X(n_75909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1046659 (
+	.A_N(n_90591),
+	.B(n_73326),
+	.Y(n_75910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1046662 (
+	.A_N(n_56980),
+	.B(n_73918),
+	.C(n_73798),
+	.D(FE_DBTN33_n_84501),
+	.Y(n_75913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_2 g1046667 (
+	.A_N(n_16224),
+	.B(n_74726),
+	.C(FE_DBTN108_n_71084),
+	.Y(n_75918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_4 g1046669 (
+	.A1(n_54187),
+	.A2(n_90560),
+	.B1_N(n_42782),
+	.Y(n_75920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1046674 (
+	.A1(n_37645),
+	.A2(n_71922),
+	.B1_N(n_36391),
+	.Y(n_75925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1046689 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1419 ),
+	.A2(n_31304),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1460 ),
+	.Y(n_75940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g1046698 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1334 ),
+	.A2(n_31304),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1426 ),
+	.Y(n_75949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_2 g1046702 (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_225 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_69 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_112 ),
+	.Y(n_75953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 g1046718 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1254 ),
+	.A2(FE_DBTN86_n_31303),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1152 ),
+	.B2(n_31303),
+	.Y(n_75969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_2 g1046763 (
+	.A1(n_60528),
+	.A2(n_79007),
+	.B1_N(n_60531),
+	.Y(n_76014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g1046766 (
+	.A1(n_76836),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3762 ),
+	.B1(n_84998),
+	.B2(n_76313),
+	.Y(n_76017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1046768 (
+	.A1(n_75848),
+	.A2(n_74660),
+	.B1_N(n_60974),
+	.Y(n_76019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g1046769 (
+	.A1(n_61932),
+	.A2(n_61933),
+	.B1(soc_top_u_dccm_bank_sel[1]),
+	.C1(soc_top_u_dccm_rdata3[3]),
+	.D1(n_78545),
+	.X(n_76020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_2 g1046794 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[13]),
+	.A2(n_72751),
+	.B1(n_25608),
+	.C1(n_25696),
+	.D1(n_25832),
+	.Y(n_76045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1046797 (
+	.A1(n_23996),
+	.A2(soc_top_u_top_u_core_lsu_addr_last[30]),
+	.B1(n_24485),
+	.B2(n_29677),
+	.C1(n_24488),
+	.C2(soc_top_u_top_u_core_pc_id[30]),
+	.Y(n_76048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1046802 (
+	.A_N(n_24128),
+	.B(n_24738),
+	.C(n_23933),
+	.D(n_23067),
+	.Y(n_76053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1046803 (
+	.A_N(n_23356),
+	.B(n_24230),
+	.C(n_21664),
+	.D(n_21667),
+	.Y(n_76054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1046804 (
+	.A_N(n_35758),
+	.B(n_24407),
+	.C(n_21613),
+	.D(n_21611),
+	.Y(n_76055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g1046806 (
+	.A_N(n_35657),
+	.B(n_22576),
+	.C(n_22654),
+	.X(n_76057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g1046808 (
+	.A1(n_16714),
+	.A2(n_19187),
+	.B1(n_17309),
+	.B2(n_18246),
+	.C1(n_21555),
+	.Y(n_76059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1046809 (
+	.A1(n_19157),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [5]),
+	.B1(n_18743),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [5]),
+	.C1(n_22701),
+	.Y(n_76060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1046812 (
+	.A1(n_18094),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [1]),
+	.B1(n_18338),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [1]),
+	.C1(n_22417),
+	.Y(n_76063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g1046815 (
+	.A_N(n_22214),
+	.B(n_19929),
+	.C(n_20324),
+	.X(n_76066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1046816 (
+	.A1(n_18034),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [22]),
+	.B1(n_18094),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [22]),
+	.C1(n_22145),
+	.Y(n_76067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g1046817 (
+	.A_N(n_35904),
+	.B(n_20156),
+	.C(n_20190),
+	.X(n_76068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1046819 (
+	.A1(n_19237),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [20]),
+	.B1(n_18676),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [20]),
+	.C1(n_22050),
+	.Y(n_76070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1046820 (
+	.A1(n_19157),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [20]),
+	.B1(n_18638),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [20]),
+	.C1(n_22012),
+	.Y(n_76071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g1046822 (
+	.A_N(n_21931),
+	.B(n_19725),
+	.C(n_20036),
+	.X(n_76073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1046823 (
+	.A1(n_19157),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [17]),
+	.B1(n_18743),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [17]),
+	.C1(n_21787),
+	.Y(n_76074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_2 g1046824 (
+	.A1(n_18338),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [17]),
+	.B1(n_18094),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [17]),
+	.C1(n_21735),
+	.Y(n_76075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1046825 (
+	.A1(n_19332),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [3]),
+	.B1(n_18565),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [3]),
+	.C1(n_21699),
+	.Y(n_76076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1046826 (
+	.A1(n_19157),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [12]),
+	.B1(n_18743),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [12]),
+	.C1(n_21266),
+	.Y(n_76077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1046827 (
+	.A1(n_19237),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [11]),
+	.B1(n_18676),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [11]),
+	.C1(n_21198),
+	.Y(n_76078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1046828 (
+	.A1(n_19013),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [11]),
+	.B1(FE_DBTN102_n_18754),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [11]),
+	.C1(n_21151),
+	.Y(n_76079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1046829 (
+	.A1(n_18169),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [11]),
+	.B1(n_18127),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [11]),
+	.C1(n_21147),
+	.Y(n_76080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1046830 (
+	.A1(n_19138),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [11]),
+	.B1(n_19452),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [11]),
+	.C1(n_21138),
+	.Y(n_76081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1046831 (
+	.A1(n_19480),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [9]),
+	.B1(n_19106),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [9]),
+	.C1(n_21038),
+	.Y(n_76082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1046832 (
+	.A1(n_19138),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [29]),
+	.B1(n_19452),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [29]),
+	.C1(n_20973),
+	.Y(n_76083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1046835 (
+	.A1(n_18169),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [7]),
+	.B1(n_18676),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [7]),
+	.C1(n_35980),
+	.Y(n_76086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1046837 (
+	.A1(n_18169),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [28]),
+	.B1(n_18676),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [28]),
+	.C1(n_35987),
+	.Y(n_76088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1046838 (
+	.A1(n_19157),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [28]),
+	.B1(n_18743),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [28]),
+	.C1(n_20830),
+	.Y(n_76089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g1046839 (
+	.A1_N(n_18484),
+	.A2_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [5]),
+	.B1(n_16935),
+	.B2(n_18426),
+	.X(n_76090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g1046840 (
+	.A1_N(n_18910),
+	.A2_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [4]),
+	.B1(n_16976),
+	.B2(n_18138),
+	.X(n_76091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g1046841 (
+	.A1_N(n_18250),
+	.A2_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [4]),
+	.B1(n_17430),
+	.B2(n_19187),
+	.X(n_76092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 g1046842 (
+	.A1_N(n_16819),
+	.A2_N(n_19227),
+	.B1(n_18855),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [3]),
+	.X(n_76093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g1046843 (
+	.A1_N(n_18127),
+	.A2_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [2]),
+	.B1(n_16907),
+	.B2(n_18182),
+	.X(n_76094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1046845 (
+	.A1(n_19338),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [12]),
+	.B1(n_18380),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [12]),
+	.X(n_76096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g1046846 (
+	.A1_N(n_18484),
+	.A2_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [4]),
+	.B1(n_16892),
+	.B2(n_18426),
+	.X(n_76097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1046872 (
+	.A1(n_59102),
+	.A2(n_16315),
+	.B1(n_16332),
+	.Y(n_76123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1046880 (
+	.A1(n_16196),
+	.A2(n_30586),
+	.B1_N(n_16169),
+	.X(n_76131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1046883 (
+	.A1(n_31380),
+	.A2(n_71922),
+	.B1_N(n_36394),
+	.X(n_76134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1046893 (
+	.A1(n_31372),
+	.A2(n_71922),
+	.B1_N(n_15989),
+	.X(n_76144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1046896 (
+	.A1(n_31620),
+	.A2(n_13449),
+	.B1_N(n_14080),
+	.X(n_76147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1046897 (
+	.A1(n_31618),
+	.A2(n_13449),
+	.B1_N(n_14081),
+	.X(n_76148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g1046898 (
+	.A1(FE_DBTN28_n_74938),
+	.A2(n_13854),
+	.B1_N(n_13236),
+	.X(n_76149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g1046899 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [34]),
+	.A2(n_13172),
+	.B1(n_12923),
+	.B2(n_13174),
+	.C1(n_13374),
+	.Y(n_76150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1046900 (
+	.A1(n_13170),
+	.A2(n_30585),
+	.B1(n_34910),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [1]),
+	.X(n_76151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1046901 (
+	.A1(n_13170),
+	.A2(n_30584),
+	.B1_N(n_13369),
+	.X(n_76152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_4 g1046902 (
+	.A1_N(n_13295),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [35]),
+	.B1(n_13005),
+	.B2(n_13174),
+	.X(n_76153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1046903 (
+	.A1(FE_DBTN158_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_leading_zero_count_0),
+	.A2(n_73858),
+	.B1_N(n_35194),
+	.X(n_76154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1046904 (
+	.A0(FE_DBTN68_n_54295),
+	.A1(n_54295),
+	.S(n_37623),
+	.X(n_76155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g1046911 (
+	.A(n_12893),
+	.B(n_29342),
+	.C_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.Y(n_76162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g1046912 (
+	.A(n_12893),
+	.B(n_29785),
+	.C_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.Y(n_76163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_2 g1046913 (
+	.A(n_12893),
+	.B(n_29346),
+	.C_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.Y(n_76164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 g1046925 (
+	.A1(n_516),
+	.A2(n_12408),
+	.B1_N(n_12561),
+	.X(n_76176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_2 g1046947 (
+	.A1(n_31274),
+	.A2(n_12283),
+	.B1(n_31283),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.X(n_76198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1046949 (
+	.A1(n_31271),
+	.A2(n_12283),
+	.B1_N(n_12402),
+	.X(n_76200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1046951 (
+	.A1(n_31270),
+	.A2(n_12283),
+	.B1_N(n_12359),
+	.X(n_76202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1046952 (
+	.A1(n_29620),
+	.A2(n_12229),
+	.B1_N(n_12458),
+	.X(n_76203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g1046953 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_536 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_800 ),
+	.C(n_12283),
+	.X(n_76204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1046966 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [45]),
+	.A2(n_16092),
+	.B1_N(n_12124),
+	.X(n_76217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1046971 (
+	.A1(n_9875),
+	.A2(n_31125),
+	.B1_N(n_11720),
+	.X(n_76222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1046972 (
+	.A1(n_9875),
+	.A2(n_31124),
+	.B1_N(n_11666),
+	.X(n_76223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1046973 (
+	.A1(n_9875),
+	.A2(n_70481),
+	.B1_N(n_11637),
+	.X(n_76224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1046974 (
+	.A1(n_9875),
+	.A2(n_38477),
+	.B1_N(n_59598),
+	.X(n_76225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1046975 (
+	.A1(n_30125),
+	.A2(n_7060),
+	.B1_N(n_8289),
+	.X(n_76226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1046976 (
+	.A1(n_30131),
+	.A2(n_7068),
+	.B1_N(n_8285),
+	.X(n_76227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1046977 (
+	.A1(n_75614),
+	.A2(n_7068),
+	.B1_N(n_8284),
+	.X(n_76228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1046978 (
+	.A1(n_30131),
+	.A2(n_7060),
+	.B1_N(n_8283),
+	.X(n_76229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1046979 (
+	.A(n_76230),
+	.Y(n_76231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1046980 (
+	.A1(n_75614),
+	.A2(n_7060),
+	.B1(n_30134),
+	.B2(n_7068),
+	.C1(n_4509),
+	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [48]),
+	.Y(n_76230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1046981 (
+	.A1(n_30133),
+	.A2(n_7060),
+	.B1(n_30135),
+	.B2(n_7068),
+	.C1(n_6872),
+	.X(n_76232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1046982 (
+	.A1(n_30138),
+	.A2(n_7068),
+	.B1(n_30136),
+	.B2(n_7060),
+	.C1(n_6873),
+	.X(n_76233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1046983 (
+	.A1(n_30140),
+	.A2(n_7068),
+	.B1_N(n_8277),
+	.X(n_76234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4bb_1 g1046984 (
+	.A_N(soc_top_u_top_u_core_alu_operator_ex[3]),
+	.B_N(n_773),
+	.C(n_36322),
+	.D(n_1962),
+	.X(n_76235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o41ai_1 g1046985 (
+	.A1(n_73781),
+	.A2(n_36),
+	.A3(n_1509),
+	.A4(n_2303),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_unit_done ),
+	.Y(n_76236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_2 g1046986 (
+	.A1(n_38),
+	.A2(n_1237),
+	.B1(n_640),
+	.B2(n_1243),
+	.C1(n_2545),
+	.X(n_76237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_2 g1046987 (
+	.A1(n_648),
+	.A2(n_1237),
+	.B1(n_60),
+	.B2(n_1243),
+	.C1(n_2526),
+	.X(n_76238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_2 g1046988 (
+	.A1(n_649),
+	.A2(n_1237),
+	.B1(n_57),
+	.B2(n_1243),
+	.C1(n_2433),
+	.X(n_76239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_2 g1046989 (
+	.A1(n_60),
+	.A2(n_1237),
+	.B1(n_626),
+	.B2(n_1243),
+	.C1(n_2543),
+	.X(n_76240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_2 g1046990 (
+	.A1(n_90),
+	.A2(n_1237),
+	.B1(n_75),
+	.B2(n_1243),
+	.C1(n_2413),
+	.X(n_76241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_2 g1046991 (
+	.A1(n_652),
+	.A2(n_1237),
+	.B1(n_649),
+	.B2(n_1243),
+	.C1(n_2361),
+	.X(n_76242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_2 g1046992 (
+	.A1(n_626),
+	.A2(n_1237),
+	.B1(n_652),
+	.B2(n_1243),
+	.C1(n_2529),
+	.X(n_76243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1046998 (
+	.A1(n_16016),
+	.A2(n_62575),
+	.B1_N(n_15989),
+	.Y(n_76249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_2 g1047001 (
+	.A1(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_78 ),
+	.A2(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_7 ),
+	.B1(n_9876),
+	.C1(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_45 ),
+	.Y(n_76252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_2 g1047003 (
+	.A1(n_18154),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [11]),
+	.B1(n_19398),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [11]),
+	.C1(n_22465),
+	.Y(n_76254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_2 g1047004 (
+	.A1(n_19013),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [24]),
+	.B1(n_18502),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [24]),
+	.C1(n_22287),
+	.Y(n_76255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1047006 (
+	.A1(n_18502),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [23]),
+	.B1(n_19013),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [23]),
+	.C1(n_22218),
+	.Y(n_76257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g1047009 (
+	.A(n_19809),
+	.B(n_19738),
+	.C(n_20357),
+	.D(n_19810),
+	.X(n_76260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1047011 (
+	.A1(n_30130),
+	.A2(n_7068),
+	.B1(n_30128),
+	.B2(n_7060),
+	.C1(n_6870),
+	.X(n_76262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1047012 (
+	.A1(n_19503),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [15]),
+	.B1(n_19106),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [15]),
+	.Y(n_76263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a311o_1 g1047013 (
+	.A1(n_35446),
+	.A2(n_35447),
+	.A3(n_29594),
+	.B1(n_28731),
+	.C1(n_28746),
+	.X(n_76264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1047014 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_2043),
+	.A2(n_56544),
+	.B1(WALLACE_CSA_DUMMY_OP_groupi_n_124),
+	.B2(n_53728),
+	.X(n_76265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1047015 (
+	.A1(n_59290),
+	.A2(n_58387),
+	.B1(n_87140),
+	.X(n_76266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g1047016 (
+	.A1(n_53847),
+	.A2(n_53846),
+	.B1_N(n_76265),
+	.Y(n_76267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1047017 (
+	.A_N(n_58755),
+	.B(n_58922),
+	.C(addinc_ADD_UNS_OP_2_n_1129),
+	.Y(n_76268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1047018 (
+	.A_N(n_58755),
+	.B(n_58922),
+	.C(addinc_ADD_UNS_OP_2_n_969),
+	.Y(n_76269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1047019 (
+	.A_N(n_58755),
+	.B(n_58922),
+	.C(addinc_ADD_UNS_OP_2_n_1136),
+	.Y(n_76270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1047020 (
+	.A1(addinc_ADD_UNS_OP_2_n_1335),
+	.A2(addinc_ADD_UNS_OP_2_n_976),
+	.B1_N(addinc_ADD_UNS_OP_2_n_1241),
+	.X(n_76271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_4 g1047021 (
+	.A1(n_78997),
+	.A2(n_46740),
+	.B1_N(n_90603),
+	.Y(n_76272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1047022 (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_372 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_102 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_98 ),
+	.X(n_76273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1047023 (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_301 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_90 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_100 ),
+	.X(n_76274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1047024 (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_358 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_88 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_41 ),
+	.X(n_76275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1047025 (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_323 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_202 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_248 ),
+	.X(n_76276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1047026 (
+	.A1(n_87507),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_25 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_106 ),
+	.X(n_76277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1047027 (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_371 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_90 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_32 ),
+	.X(n_76278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g1047028 (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_78 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_557 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_203 ),
+	.X(n_76279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1047029 (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_348 ),
+	.A2(n_76279),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_270 ),
+	.X(n_76280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1047033 (
+	.A1(n_43935),
+	.A2(n_90597),
+	.B1(n_39946),
+	.B2(n_43936),
+	.X(n_76284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1047035 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1484 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_933 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_919 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_780 ),
+	.X(n_76286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 g1047036 (
+	.A1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1315 ),
+	.A2_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1069 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3672 ),
+	.B2(n_39972),
+	.Y(n_76287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1047037 (
+	.A1(n_85000),
+	.A2(n_57240),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1029 ),
+	.B2(n_59089),
+	.X(n_76288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1047038 (
+	.A1(n_80286),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3592 ),
+	.B1(n_78248),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3570 ),
+	.X(n_76289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g1047039 (
+	.A1(n_59646),
+	.A2(n_59504),
+	.B1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3435 ),
+	.X(n_61365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1047040 (
+	.A1(n_43935),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3589 ),
+	.B1(n_39946),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3580 ),
+	.X(n_76291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1047041 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1484 ),
+	.A2(n_76302),
+	.B1(n_76299),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_780 ),
+	.X(n_76292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1047043 (
+	.A1(n_38049),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_452 ),
+	.B1_N(n_38048),
+	.X(n_76294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1047045 (
+	.A1(n_38049),
+	.A2(n_80466),
+	.B1_N(n_80471),
+	.X(n_76296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 g1047046 (
+	.A1(n_54922),
+	.A2(n_38034),
+	.B1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_641 ),
+	.X(n_76297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1047048 (
+	.A1(n_85863),
+	.A2(n_39809),
+	.B1(n_39810),
+	.B2(n_85865),
+	.X(n_76299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1047049 (
+	.A1(n_60824),
+	.A2(n_54922),
+	.B1(FE_DBTN53_n_60824),
+	.B2(n_54921),
+	.X(n_76300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1047050 (
+	.A1(n_43925),
+	.A2(n_31066),
+	.B1(n_43930),
+	.B2(n_58891),
+	.X(n_76301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1047051 (
+	.A1(n_85863),
+	.A2(n_39961),
+	.B1(n_85865),
+	.B2(n_75381),
+	.X(n_76302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1047052 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3790 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3692 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3791 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3719 ),
+	.X(n_76303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1047053 (
+	.A1(n_85863),
+	.A2(n_53369),
+	.B1(n_85865),
+	.B2(n_53368),
+	.X(n_76304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1047054 (
+	.A1(n_26653),
+	.A2(n_43195),
+	.B1(n_31084),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_448 ),
+	.X(n_76305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1047055 (
+	.A1(n_85863),
+	.A2(n_43930),
+	.B1(n_43925),
+	.B2(n_85865),
+	.X(n_76306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1047056 (
+	.A1(n_54921),
+	.A2(n_31076),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_131 ),
+	.B2(n_54922),
+	.X(n_76307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_4 g1047057 (
+	.A1(n_58891),
+	.A2(n_31067),
+	.B1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_761 ),
+	.X(n_76308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1047058 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3672 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1092 ),
+	.B1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3436 ),
+	.Y(n_76309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor3_1 g1047060 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1731 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1729 ),
+	.C(n_76613),
+	.X(n_76311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1047061 (
+	.A1(n_80286),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3605 ),
+	.B1(n_78248),
+	.B2(n_37602),
+	.X(n_76312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1047062 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_413 ),
+	.A2(n_34713),
+	.B1(n_31082),
+	.B2(n_45897),
+	.Y(n_76313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1047069 (
+	.A0(n_31248),
+	.A1(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_7 ),
+	.S(n_72013),
+	.X(n_76320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1047070 (
+	.A_N(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_95 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_172 ),
+	.C(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_144 ),
+	.Y(n_76321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1047083 (
+	.A(n_75236),
+	.B(n_36222),
+	.C(n_36223),
+	.Y(n_76334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1047086 (
+	.A(n_29618),
+	.B(n_12229),
+	.C(n_29878),
+	.Y(n_76337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1047087 (
+	.A1(n_74182),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_269),
+	.B1_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_159),
+	.X(n_76338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1047093 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_31),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_36),
+	.B1_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_57),
+	.Y(n_76344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_2 g1047094 (
+	.A1(n_78796),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2007 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2252 ),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2064 ),
+	.Y(n_76345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1047096 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1212 ),
+	.A2(n_57479),
+	.B1(n_60636),
+	.B2(FE_DBTN20_n_79311),
+	.X(n_76347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_4 g1047097 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1013 ),
+	.B(n_62966),
+	.C(n_79760),
+	.Y(n_76348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1047099 (
+	.A1(n_47052),
+	.A2(n_64842),
+	.B1(n_47754),
+	.B2(FE_DBTN44_n_64842),
+	.X(n_76350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 g1047100 (
+	.A1_N(FE_DBTN75_n_46359),
+	.A2_N(n_64167),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2492 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [21]),
+	.Y(n_76351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1047102 (
+	.A1(n_83980),
+	.A2(n_80788),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_8 ),
+	.B2(n_61199),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
+	.C2(n_43096),
+	.Y(n_76353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1047316 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_655 ),
+	.B(n_69784),
+	.C(n_84985),
+	.Y(n_76567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1047317 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_661 ),
+	.B(n_69784),
+	.C(FE_DBTN80_n_84985),
+	.Y(n_76568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1047347 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1406 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_925 ),
+	.B1_N(n_75681),
+	.X(n_76598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1047348 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_1908),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_1836),
+	.B1_N(WALLACE_CSA_DUMMY_OP_groupi_n_1835),
+	.X(n_76599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g1047353 (
+	.A1(n_44978),
+	.A2(FE_DBTN43_n_90647),
+	.B1(n_35181),
+	.Y(n_76604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_2 g1047355 (
+	.A1(n_43099),
+	.A2(n_61059),
+	.B1(n_39671),
+	.C1(n_50559),
+	.Y(n_76606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1047360 (
+	.A1(FE_DBTN18_n_78720),
+	.A2(n_43925),
+	.B1(n_78720),
+	.B2(n_43930),
+	.X(n_76611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1047361 (
+	.A1(n_39946),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3594 ),
+	.B1(n_43935),
+	.B2(n_43936),
+	.X(n_76612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1047362 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_808 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1083 ),
+	.B1(n_59664),
+	.Y(n_76613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1047366 (
+	.A(n_76714),
+	.B(n_61401),
+	.Y(n_76617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1047367 (
+	.A(n_79541),
+	.B(n_58922),
+	.Y(n_76618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1047368 (
+	.A(n_50547),
+	.B(addinc_ADD_UNS_OP_2_n_691),
+	.C(addinc_ADD_UNS_OP_2_n_533),
+	.Y(n_76619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_2 g1047369 (
+	.A1(n_12718),
+	.A2(n_12763),
+	.B1_N(n_12758),
+	.X(n_76620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31o_1 g1047371 (
+	.A1(n_38084),
+	.A2(n_71622),
+	.A3(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_376 ),
+	.B1(n_53731),
+	.X(n_76622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1047372 (
+	.A1(n_53937),
+	.A2(n_90623),
+	.B1(n_77983),
+	.B2(FE_DBTN72_n_90623),
+	.X(n_76623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1047373 (
+	.A1(n_57992),
+	.A2(FE_DBTN63_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sll_527_36_n_957),
+	.B1(n_55868),
+	.Y(n_76624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1047374 (
+	.A1(n_54046),
+	.A2(n_54048),
+	.B1_N(n_79602),
+	.X(n_76625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1047377 (
+	.A(n_54087),
+	.B(n_79596),
+	.X(n_76628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g1047378 (
+	.A(n_36176),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_334 ),
+	.Y(n_76629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1047379 (
+	.A1(n_54337),
+	.A2(n_54338),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2315 ),
+	.X(n_76630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1047382 (
+	.A(n_54377),
+	.B(n_54378),
+	.C(n_55285),
+	.Y(n_76633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_2 g1047383 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2644 ),
+	.B(n_37561),
+	.X(n_76634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1047384 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2529 ),
+	.A2(n_87470),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2667 ),
+	.Y(n_76635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1047385 (
+	.A1(n_37601),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_780 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1484 ),
+	.B2(n_54928),
+	.X(n_76636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1047386 (
+	.A1(n_37800),
+	.A2(n_37802),
+	.B1_N(n_55086),
+	.X(n_76637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1047387 (
+	.A1(n_55093),
+	.A2(n_55094),
+	.B1_N(n_55097),
+	.X(n_76638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1047389 (
+	.A1(n_58246),
+	.A2(n_87553),
+	.B1(n_58271),
+	.X(n_76640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1047391 (
+	.A1(n_54700),
+	.A2(n_54703),
+	.B1_N(n_55692),
+	.X(n_76642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1047394 (
+	.A1(n_38899),
+	.A2(n_60542),
+	.B1_N(n_56619),
+	.X(n_76645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g1047398 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2217 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2390 ),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2393 ),
+	.X(n_57127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1047399 (
+	.A1(n_79778),
+	.A2(n_80340),
+	.B1_N(n_57139),
+	.X(n_76650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1047400 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2511 ),
+	.A2(n_57236),
+	.B1(n_42341),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2288 ),
+	.X(n_76651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1047401 (
+	.A1(n_31082),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_456 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_413 ),
+	.B2(n_77846),
+	.X(n_76652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1047403 (
+	.A1(n_57286),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2783 ),
+	.B1_N(n_57289),
+	.X(n_76654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_4 g1047404 (
+	.A1_N(n_57302),
+	.A2_N(n_57303),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2577 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2415 ),
+	.X(n_76655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g1047410 (
+	.A(n_23997),
+	.B(n_19609),
+	.C(n_18083),
+	.X(n_76661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g1047411 (
+	.A(n_87153),
+	.B(n_87457),
+	.Y(n_76662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1047413 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1868),
+	.B(n_59578),
+	.C(WALLACE_CSA_DUMMY_OP_groupi_n_326),
+	.Y(n_76664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1047414 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_1412),
+	.A2(n_58314),
+	.B1_N(n_57896),
+	.X(n_76665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g1047419 (
+	.A(n_49544),
+	.B(n_16794),
+	.C(n_81283),
+	.Y(n_76670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g1047420 (
+	.A(n_58815),
+	.B(n_57702),
+	.C(n_27847),
+	.D(n_59125),
+	.Y(n_76671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g1047421 (
+	.A(n_75247),
+	.B(n_72427),
+	.C(n_12958),
+	.D(n_46205),
+	.Y(n_76672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1047423 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3330 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1415 ),
+	.Y(n_76674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g1047424 (
+	.A(n_60934),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1643 ),
+	.C(n_76676),
+	.X(n_76675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1047425 (
+	.A1(n_59646),
+	.A2(n_58448),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_808 ),
+	.B2(n_58451),
+	.X(n_76676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_4 g1047426 (
+	.A_N(n_27937),
+	.B(n_27978),
+	.C(n_27979),
+	.Y(n_76677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1047427 (
+	.A(n_78899),
+	.B(n_35473),
+	.C(n_58525),
+	.Y(n_76678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1047428 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1420 ),
+	.B(n_75807),
+	.C(n_58537),
+	.Y(n_76679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g1047429 (
+	.A_N(n_58590),
+	.B(n_87878),
+	.C(n_55477),
+	.X(n_76680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g1047431 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1755),
+	.B(n_57265),
+	.C(n_78534),
+	.D(n_79417),
+	.Y(n_76682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_2 g1047432 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_1931),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_1248),
+	.B1(n_58976),
+	.C1(n_58978),
+	.Y(n_76683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1047433 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1024),
+	.B(n_59062),
+	.C(n_75816),
+	.Y(n_76684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g1047435 (
+	.A(n_80335),
+	.B(n_59327),
+	.C(n_80113),
+	.D(n_87553),
+	.Y(n_76686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1047436 (
+	.A(n_79624),
+	.B(n_78264),
+	.C(n_46259),
+	.Y(n_76687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1047438 (
+	.A1(n_59668),
+	.A2(FE_DBTN44_n_64842),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_863 ),
+	.B2(n_64842),
+	.X(n_76689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1047439 (
+	.A1(n_59290),
+	.A2(n_76865),
+	.B1_N(n_78089),
+	.X(n_76690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1047442 (
+	.A1(n_34715),
+	.A2(n_90594),
+	.B1(n_90595),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_265 ),
+	.X(n_76693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1047443 (
+	.A1(n_60783),
+	.A2(n_60785),
+	.B1_N(n_78480),
+	.X(n_76694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_2 g1047446 (
+	.A(n_60860),
+	.B(n_60863),
+	.C(n_60866),
+	.X(n_76697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1047447 (
+	.A(n_60873),
+	.B(n_60877),
+	.Y(n_76698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1047448 (
+	.A1(n_60882),
+	.A2(n_60888),
+	.B1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1826 ),
+	.X(n_76699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1047450 (
+	.A1(n_61216),
+	.A2(n_61222),
+	.B1(n_39995),
+	.B2(FE_DBTN18_n_78720),
+	.X(n_76701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g1047451 (
+	.A(n_61214),
+	.B(n_61228),
+	.Y(n_76702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1047453 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_314 ),
+	.A2(FE_DBTN8_n_84026),
+	.B1_N(FE_DBTN122_n_59102),
+	.X(n_76704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1047456 (
+	.A(n_77103),
+	.B(n_76881),
+	.X(n_76707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1047461 (
+	.A1(n_61326),
+	.A2(n_77105),
+	.B1(n_37825),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1285 ),
+	.X(n_76712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1047462 (
+	.A1(n_61354),
+	.A2(n_61357),
+	.B1_N(n_61363),
+	.X(n_76713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1047463 (
+	.A1(addinc_ADD_UNS_OP_2_n_1335),
+	.A2(n_75685),
+	.B1_N(n_80745),
+	.X(n_76714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1047464 (
+	.A1(n_75419),
+	.A2(n_26688),
+	.B1(n_26684),
+	.B2(n_84501),
+	.X(n_76715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1047465 (
+	.A(n_79423),
+	.B(n_75465),
+	.C(n_75419),
+	.Y(n_76716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1047467 (
+	.A(n_81219),
+	.B(n_89298),
+	.C(n_27510),
+	.Y(n_76718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1047468 (
+	.A(n_86168),
+	.B(n_87553),
+	.C(n_78233),
+	.Y(n_76719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_2 g1047469 (
+	.A(n_42343),
+	.B(n_42345),
+	.C(n_42342),
+	.X(n_76720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1047471 (
+	.A1(n_78908),
+	.A2(n_55100),
+	.B1(n_55106),
+	.Y(n_76722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_2 g1047474 (
+	.A1(n_58303),
+	.A2(n_79410),
+	.A3(n_87553),
+	.B1(n_28312),
+	.B2(FE_DBTN70_n_87553),
+	.X(n_76725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g1047476 (
+	.A1(n_53341),
+	.A2(n_53360),
+	.B1(n_53361),
+	.C1(n_53362),
+	.D1(n_53357),
+	.Y(n_76727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1047477 (
+	.A1(n_62427),
+	.A2(n_62428),
+	.B1(n_62435),
+	.Y(n_76728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1047478 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1782 ),
+	.A2(n_62431),
+	.B1_N(n_62433),
+	.X(n_76729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g1047480 (
+	.A(n_62428),
+	.B(n_76732),
+	.Y(n_76731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g1047481 (
+	.A(n_62427),
+	.B(n_76729),
+	.Y(n_76732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1047482 (
+	.A1(n_62489),
+	.A2(n_62490),
+	.B1(n_59655),
+	.X(n_76733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1047483 (
+	.A1(n_60133),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2591 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2580 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2440 ),
+	.X(n_76734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1047485 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2527 ),
+	.A2(n_57583),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2492 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2524 ),
+	.X(n_76736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1047487 (
+	.A(n_76738),
+	.Y(n_76739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1047488 (
+	.A1(n_68957),
+	.A2(n_68938),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [2]),
+	.B2(n_75504),
+	.C1(n_86648),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [2]),
+	.Y(n_76738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1047489 (
+	.A(n_76740),
+	.Y(n_76741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1047490 (
+	.A1(n_68967),
+	.A2(n_68938),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [3]),
+	.B2(n_75504),
+	.C1(n_86648),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [3]),
+	.Y(n_76740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1047491 (
+	.A(n_76742),
+	.Y(n_76743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1047492 (
+	.A1(n_68977),
+	.A2(n_68938),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [4]),
+	.B2(n_75504),
+	.C1(n_86648),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [4]),
+	.Y(n_76742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1047493 (
+	.A(n_76744),
+	.Y(n_76745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1047494 (
+	.A1(n_68987),
+	.A2(n_68938),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [5]),
+	.B2(n_75504),
+	.C1(n_86648),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [5]),
+	.Y(n_76744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1047495 (
+	.A(n_76746),
+	.Y(n_76747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1047496 (
+	.A1(n_68997),
+	.A2(n_68938),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [6]),
+	.B2(n_75504),
+	.C1(n_86648),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [6]),
+	.Y(n_76746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1047497 (
+	.A(n_76748),
+	.Y(n_76749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1047498 (
+	.A1(n_69007),
+	.A2(n_68938),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [7]),
+	.B2(n_75504),
+	.C1(n_86648),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [7]),
+	.Y(n_76748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1047499 (
+	.A(n_76750),
+	.Y(n_76751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1047500 (
+	.A1(n_69017),
+	.A2(n_68938),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [8]),
+	.B2(n_75504),
+	.C1(n_86648),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [8]),
+	.Y(n_76750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1047501 (
+	.A(n_76752),
+	.Y(n_76753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1047502 (
+	.A1(n_69027),
+	.A2(n_68938),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [9]),
+	.B2(n_75504),
+	.C1(n_86648),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [9]),
+	.Y(n_76752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1047503 (
+	.A(n_76754),
+	.Y(n_76755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1047504 (
+	.A1(n_69037),
+	.A2(n_68938),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [15]),
+	.B2(n_75504),
+	.C1(n_86648),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [15]),
+	.Y(n_76754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1047505 (
+	.A(n_76756),
+	.Y(n_76757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1047506 (
+	.A1(n_69047),
+	.A2(n_68938),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [17]),
+	.B2(n_75504),
+	.C1(n_86648),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [17]),
+	.Y(n_76756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1047507 (
+	.A(n_76758),
+	.Y(n_76759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1047508 (
+	.A1(n_69057),
+	.A2(n_68938),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [18]),
+	.B2(n_75504),
+	.C1(n_86648),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [18]),
+	.Y(n_76758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1047509 (
+	.A(n_76760),
+	.Y(n_76761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1047510 (
+	.A1(n_69067),
+	.A2(n_68938),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [19]),
+	.B2(n_75504),
+	.C1(n_86648),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [19]),
+	.Y(n_76760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1047511 (
+	.A(n_76762),
+	.Y(n_76763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1047512 (
+	.A1(n_69077),
+	.A2(n_68938),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [20]),
+	.B2(n_75504),
+	.C1(n_86648),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [20]),
+	.Y(n_76762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1047513 (
+	.A(n_76764),
+	.Y(n_76765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1047514 (
+	.A1(n_69087),
+	.A2(n_68938),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [21]),
+	.B2(n_75504),
+	.C1(n_86648),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [21]),
+	.Y(n_76764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1047515 (
+	.A(n_76766),
+	.Y(n_76767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1047516 (
+	.A1(n_69097),
+	.A2(n_68938),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [23]),
+	.B2(n_75504),
+	.C1(n_86648),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [23]),
+	.Y(n_76766), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1047517 (
+	.A(n_76768),
+	.Y(n_76769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1047518 (
+	.A1(n_69107),
+	.A2(n_68938),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [24]),
+	.B2(n_75504),
+	.C1(n_86648),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [24]),
+	.Y(n_76768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1047519 (
+	.A(n_76770),
+	.Y(n_76771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1047520 (
+	.A1(n_68938),
+	.A2(n_69118),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [26]),
+	.B2(n_75504),
+	.C1(n_86648),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [26]),
+	.Y(n_76770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1047521 (
+	.A(n_76772),
+	.Y(n_76773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1047522 (
+	.A1(n_68938),
+	.A2(n_69128),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [27]),
+	.B2(n_75504),
+	.C1(n_86648),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [27]),
+	.Y(n_76772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1047523 (
+	.A(n_76774),
+	.Y(n_76775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1047524 (
+	.A1(n_68938),
+	.A2(n_69138),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [29]),
+	.B2(n_75504),
+	.C1(n_86648),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [29]),
+	.Y(n_76774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1047525 (
+	.A(n_76776),
+	.Y(n_76777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1047526 (
+	.A1(n_68938),
+	.A2(n_69148),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [30]),
+	.B2(n_75504),
+	.C1(n_86648),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [30]),
+	.Y(n_76776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1047527 (
+	.A(n_76778),
+	.Y(n_76779), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1047528 (
+	.A1(n_68938),
+	.A2(n_69158),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [31]),
+	.B2(n_75504),
+	.C1(n_86648),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [31]),
+	.Y(n_76778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1047529 (
+	.A(n_76780),
+	.Y(n_76781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1047530 (
+	.A1(n_69167),
+	.A2(n_68938),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [16]),
+	.B2(n_75504),
+	.C1(n_86648),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [16]),
+	.Y(n_76780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1047531 (
+	.A(n_76782),
+	.Y(n_76783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1047532 (
+	.A1(n_69177),
+	.A2(n_68938),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [25]),
+	.B2(n_75504),
+	.C1(n_86648),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [25]),
+	.Y(n_76782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g1047533 (
+	.A1(n_8617),
+	.A2(n_11234),
+	.B1(n_69227),
+	.C1(n_69229),
+	.D1(n_76785),
+	.Y(n_76784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1047534 (
+	.A1(n_9891),
+	.A2(n_9900),
+	.B1(n_69231),
+	.Y(n_76785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 g1047535 (
+	.A1_N(\soc_top_timer_to_xbar[d_valid] ),
+	.A2_N(n_68743),
+	.B1(n_28865),
+	.B2(n_28661),
+	.Y(n_76786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1047539 (
+	.A1(n_16448),
+	.A2(n_16506),
+	.B1(n_69782),
+	.Y(n_76790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g1047540 (
+	.A1(n_10546),
+	.A2(n_9215),
+	.B1_N(n_9606),
+	.X(n_76791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_2 g1047542 (
+	.A_N(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_133 ),
+	.B(n_84281),
+	.C(n_36221),
+	.Y(n_76793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1047548 (
+	.A1(soc_top_u_top_u_core_alu_adder_result_ex[1]),
+	.A2(n_73576),
+	.B1(n_74746),
+	.B2(n_75516),
+	.X(n_76799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1047553 (
+	.A1(n_69457),
+	.A2(n_87793),
+	.B1(n_70443),
+	.Y(n_76804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_2 g1047556 (
+	.A1(n_31194),
+	.A2(n_54295),
+	.B1(n_70475),
+	.B2(FE_DBTN68_n_54295),
+	.X(n_76807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_2 g1047557 (
+	.A1(n_218),
+	.A2(n_73400),
+	.B1(n_73407),
+	.C1(n_70964),
+	.Y(n_76808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1047559 (
+	.A1(n_31269),
+	.A2(n_12283),
+	.B1_N(n_71758),
+	.X(n_76810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1047566 (
+	.A_N(n_72431),
+	.B(n_10997),
+	.C(n_10324),
+	.Y(n_76817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1047568 (
+	.A1(n_19157),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [4]),
+	.B1(n_18743),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [4]),
+	.C1(n_22619),
+	.Y(n_76819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_4 g1047571 (
+	.A1(n_73924),
+	.A2(n_75884),
+	.B1(n_4079),
+	.X(n_76822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_2 g1047572 (
+	.A(n_73782),
+	.B(soc_top_u_top_u_core_fp_alu_operator[0]),
+	.C_N(n_12980),
+	.X(n_76823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 g1047574 (
+	.A1(n_73918),
+	.A2(n_73798),
+	.A3(FE_DBTN33_n_84501),
+	.B1(n_71648),
+	.B2(n_56980),
+	.Y(n_76825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1047576 (
+	.A1(soc_top_u_top_u_core_alu_adder_result_ex[1]),
+	.A2(n_41959),
+	.B1(n_74746),
+	.B2(n_74735),
+	.X(n_76827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1047582 (
+	.A1(soc_top_u_top_u_core_alu_adder_result_ex[1]),
+	.A2(n_74735),
+	.B1(n_74746),
+	.B2(n_41959),
+	.X(n_76833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1047584 (
+	.A_N(n_75589),
+	.B(n_85557),
+	.C(n_70064),
+	.D(n_85764),
+	.Y(n_76835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1047585 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_448 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_413 ),
+	.B1(n_43195),
+	.B2(n_31082),
+	.X(n_76836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_2 g1047592 (
+	.A1(n_75238),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_758 ),
+	.B1_N(n_54683),
+	.Y(n_76843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_4 g1047594 (
+	.A(n_63878),
+	.B(n_55285),
+	.C(n_28555),
+	.X(n_76845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1047595 (
+	.A1(n_57930),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2298 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2150 ),
+	.B2(n_57928),
+	.Y(n_76846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1047596 (
+	.A1(n_63887),
+	.A2(n_48439),
+	.B1(n_81762),
+	.Y(n_76847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 g1047598 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1571 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_758 ),
+	.B1(n_62561),
+	.B2(FE_DBTN63_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sll_527_36_n_957),
+	.Y(n_76849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1047600 (
+	.A1(n_78317),
+	.A2(FE_DBTN72_n_90623),
+	.B1(n_75870),
+	.X(n_76851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1047601 (
+	.A1(n_75728),
+	.A2(n_54406),
+	.B1(n_60806),
+	.X(n_76852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1047602 (
+	.A1(n_54444),
+	.A2(n_54445),
+	.B1(n_76634),
+	.X(n_76853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1047603 (
+	.A1(n_78218),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_197),
+	.B1(n_55071),
+	.X(n_76854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1047605 (
+	.A1(n_86835),
+	.A2(n_74989),
+	.B1(n_87718),
+	.X(n_76856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1047606 (
+	.A1(n_86836),
+	.A2(n_75772),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2393 ),
+	.X(n_76857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1047607 (
+	.A1(n_57225),
+	.A2(n_57227),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2511 ),
+	.X(n_76858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1047609 (
+	.A1(n_75783),
+	.A2(n_75784),
+	.B1(n_42343),
+	.X(n_76860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g1047610 (
+	.A(n_36145),
+	.B(n_29284),
+	.C(n_29283),
+	.X(n_76861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1047611 (
+	.A1(n_58169),
+	.A2(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.B1(n_26217),
+	.Y(n_76862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1047612 (
+	.A1(n_62451),
+	.A2(n_53506),
+	.B1(n_77482),
+	.X(n_76863), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1047613 (
+	.A1(n_42745),
+	.A2(n_55507),
+	.B1(WALLACE_CSA_DUMMY_OP_groupi_n_307),
+	.X(n_76864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_2 g1047614 (
+	.A1(n_59923),
+	.A2(FE_DBTN66_n_79636),
+	.B1(n_78088),
+	.X(n_76865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_4 g1047615 (
+	.A(n_9215),
+	.B(n_31545),
+	.C(n_9902),
+	.X(n_76866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1047616 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_245),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_332),
+	.B1(n_59304),
+	.X(n_76867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1047617 (
+	.A1(n_74987),
+	.A2(n_75832),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2526 ),
+	.X(n_76868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1047618 (
+	.A1(n_60772),
+	.A2(n_86378),
+	.B1(n_60777),
+	.X(n_76869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_2 g1047619 (
+	.A1(FE_DBTN25_n_78248),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1135 ),
+	.B1(n_80285),
+	.B2(n_77230),
+	.Y(n_76870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g1047620 (
+	.A1(n_15948),
+	.A2(n_15880),
+	.B1_N(n_16342),
+	.Y(n_76871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1047623 (
+	.A1(addinc_ADD_UNS_OP_2_n_1335),
+	.A2(n_46350),
+	.B1(n_61393),
+	.X(n_76874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1047625 (
+	.A1(n_62483),
+	.A2(n_62484),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1876 ),
+	.X(n_76876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1047626 (
+	.A1(n_59178),
+	.A2(n_88146),
+	.B1(n_55019),
+	.X(n_76877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_4 g1047627 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1890 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1893 ),
+	.B1_N(n_78796),
+	.Y(n_76878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor3_1 g1047630 (
+	.A(n_77105),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1285 ),
+	.C(n_61310),
+	.X(n_76881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1047649 (
+	.A(n_76900),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_430 ),
+	.Y(n_76901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1047650 (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_53 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_70 ),
+	.X(n_76900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1047652 (
+	.A(addinc_ADD_UNS_OP_2_n_303),
+	.B(addinc_ADD_UNS_OP_2_n_391),
+	.X(n_76902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g1047653 (
+	.A1(n_62751),
+	.A2(FE_DBTN63_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sll_527_36_n_957),
+	.B1(n_76904),
+	.Y(n_76905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1047654 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1546 ),
+	.B(n_74462),
+	.Y(n_76904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1047668 (
+	.A(n_78545),
+	.B(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.C(soc_top_u_dccm_rdata1[25]),
+	.Y(n_76918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1047687 (
+	.A1(n_16990),
+	.A2(n_18641),
+	.B1(n_76938),
+	.X(n_76939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1047688 (
+	.A1(n_18674),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [1]),
+	.B1(n_21401),
+	.Y(n_76938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1047711 (
+	.A1(n_76962),
+	.A2(n_35125),
+	.B1(n_35414),
+	.Y(n_76963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1047712 (
+	.A(n_58418),
+	.B(n_84038),
+	.X(n_76962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1047741 (
+	.A1(n_9579),
+	.A2(n_76992),
+	.B1(n_10665),
+	.X(n_76993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1047742 (
+	.A1(n_70316),
+	.A2(n_65334),
+	.B1(n_71794),
+	.Y(n_76992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_2 g1047743 (
+	.A1(n_9579),
+	.A2(n_76994),
+	.B1(n_10664),
+	.X(n_76995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1047744 (
+	.A1(n_65331),
+	.A2(n_76155),
+	.B1(n_65330),
+	.Y(n_76994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1047747 (
+	.A(n_76998),
+	.B(addinc_ADD_UNS_OP_2_n_348),
+	.Y(n_76999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1047748 (
+	.A1(addinc_ADD_UNS_OP_2_n_788),
+	.A2(addinc_ADD_UNS_OP_2_n_1615),
+	.B1_N(addinc_ADD_UNS_OP_2_n_361),
+	.X(n_76998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g1047749 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3574 ),
+	.A2(n_37607),
+	.B1(n_77000),
+	.Y(n_77001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_2 g1047750 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3731 ),
+	.B_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3591 ),
+	.Y(n_77000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_4 g1047761 (
+	.A(n_77012),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_298),
+	.Y(n_77013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1047762 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_76),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_51),
+	.Y(n_77012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1047789 (
+	.A(n_77040),
+	.B(n_75669),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_621 ),
+	.Y(n_77041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1047790 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_477 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_549 ),
+	.Y(n_77040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1047793 (
+	.A(n_78713),
+	.B(n_62143),
+	.Y(n_77045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1047799 (
+	.A(n_77050),
+	.B(n_15443),
+	.Y(n_77051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1047800 (
+	.A1(n_90647),
+	.A2(n_41606),
+	.B1(n_41607),
+	.Y(n_77050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1047803 (
+	.A(n_77054),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3719 ),
+	.Y(n_77055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1047804 (
+	.A(n_71622),
+	.B(n_26564),
+	.X(n_77054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1047805 (
+	.A1(n_87521),
+	.A2(n_53793),
+	.B1(n_35125),
+	.X(n_77057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1047807 (
+	.A(n_77058),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1826),
+	.Y(n_77059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1047808 (
+	.A_N(n_87508),
+	.B(n_86778),
+	.Y(n_77058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1047810 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2373 ),
+	.B(n_54342),
+	.X(n_77060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1047811 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_868 ),
+	.A2(FE_DBTN44_n_64842),
+	.B1(n_77062),
+	.X(n_77063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1047812 (
+	.A(FE_DBTN44_n_64842),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_834 ),
+	.Y(n_77062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1047813 (
+	.A(n_77064),
+	.B(n_90527),
+	.Y(n_77065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1047814 (
+	.A1(n_58922),
+	.A2(n_55299),
+	.B1(n_55300),
+	.Y(n_77064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_2 g1047821 (
+	.A1(n_75430),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2388 ),
+	.B1(n_77072),
+	.X(n_77073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1047822 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2100 ),
+	.B_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2208 ),
+	.Y(n_77072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_2 g1047823 (
+	.A1(n_59646),
+	.A2(n_37591),
+	.B1(n_77074),
+	.X(n_77075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1047824 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_869 ),
+	.B_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_808 ),
+	.Y(n_77074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1047825 (
+	.A1(n_77076),
+	.A2(n_39936),
+	.B1(n_24023),
+	.X(n_77077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1047826 (
+	.A(n_23581),
+	.B(n_18001),
+	.Y(n_77076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1047829 (
+	.A(n_78717),
+	.B(n_86902),
+	.Y(n_77081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g1047831 (
+	.A(n_87524),
+	.B(n_62443),
+	.Y(n_77083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1047834 (
+	.A(n_89671),
+	.B(n_86822),
+	.C(n_59038),
+	.Y(n_77084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_2 g1047845 (
+	.A(n_77096),
+	.B(n_64815),
+	.C(n_41959),
+	.X(n_77097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1047846 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_66 ),
+	.A2(n_68916),
+	.B1(n_68917),
+	.Y(n_77096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1047847 (
+	.A1(n_77098),
+	.A2(n_62459),
+	.B1(n_75864),
+	.Y(n_77099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1047848 (
+	.A(n_76727),
+	.B(n_62421),
+	.X(n_77098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_2 g1047849 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2296 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2078 ),
+	.CIN(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2132 ),
+	.COUT(n_77101),
+	.SUM(n_77100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_2 g1047850 (
+	.A(n_77104),
+	.B(n_47598),
+	.CIN(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2205 ),
+	.COUT(n_77103),
+	.SUM(n_77102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 g1047851 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1207 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3550 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1862 ),
+	.COUT(n_77105),
+	.SUM(n_77104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 g1047854 (
+	.A(n_79519),
+	.B(n_40009),
+	.CI(n_80312),
+	.COUT(n_77110),
+	.SUM(n_77111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1047855 (
+	.A(n_77111),
+	.Y(n_77112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_b_norm_DP_reg[6]  (
+	.CLK(CTS_116),
+	.D(n_11361),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g1047862 (
+	.A(n_26620),
+	.B(n_48960),
+	.X(n_34707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1047864  (
+	.A(n_77245),
+	.B(n_78720),
+	.X(n_59501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1047866  (
+	.A(n_45897),
+	.B(n_77220),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3457 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1047874 (
+	.A(n_71622),
+	.B(n_58897),
+	.X(n_43195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1047876  (
+	.A(n_57932),
+	.B(n_61992),
+	.X(n_45815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1047880 (
+	.A(n_69916),
+	.B(n_71730),
+	.X(n_1929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1047882 (
+	.A(n_1966),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_0 ),
+	.X(n_3288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048036 (
+	.A(n_77122),
+	.B(n_77120),
+	.Y(n_77123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048037 (
+	.A(FE_DBTN27_n_77115),
+	.B(n_77119),
+	.Y(n_77120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1048039 (
+	.A(n_77114),
+	.B(n_77113),
+	.Y(n_77115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048040 (
+	.A(n_59231),
+	.B(n_60115),
+	.Y(n_77113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048041 (
+	.A(n_60122),
+	.B(n_60106),
+	.Y(n_77114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1048042 (
+	.A(n_77117),
+	.B(n_77118),
+	.Y(n_77119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048043 (
+	.A(n_78502),
+	.B(n_58775),
+	.Y(n_77117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048044 (
+	.A(n_78501),
+	.B(n_55774),
+	.Y(n_77118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048045 (
+	.A(n_90528),
+	.B(n_77115),
+	.Y(n_77122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g214 (
+	.A(n_87599),
+	.B(n_77154),
+	.Y(n_77155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048063 (
+	.A(n_86870),
+	.B(n_77153),
+	.Y(n_77154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g220 (
+	.A1(n_62128),
+	.A2(n_78609),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2705 ),
+	.X(n_77153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048068 (
+	.A(n_59023),
+	.B(n_64035),
+	.Y(n_77157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048069 (
+	.A(n_55337),
+	.B(n_55552),
+	.Y(n_77158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1048070 (
+	.A1(n_59023),
+	.A2(n_90560),
+	.B1(n_78718),
+	.Y(n_77165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048073 (
+	.A(n_53424),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2706 ),
+	.Y(n_77160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g225 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2500 ),
+	.B(n_87545),
+	.Y(n_77161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1048074 (
+	.A_N(n_27865),
+	.B(n_46644),
+	.Y(n_77163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1048075 (
+	.A(n_77169),
+	.Y(n_77170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g219 (
+	.A(n_77168),
+	.B(n_77163),
+	.Y(n_77169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048076 (
+	.A(n_59023),
+	.B(n_90560),
+	.Y(n_77168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048094 (
+	.A(n_77192),
+	.B(n_80420),
+	.Y(n_77195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048095 (
+	.A(n_27080),
+	.B(n_77454),
+	.Y(n_77192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g316 (
+	.A(n_77199),
+	.B(n_79424),
+	.Y(n_77202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1048097 (
+	.A(n_77917),
+	.Y(n_77199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt331 (
+	.A(n_79424),
+	.Y(n_77200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048098 (
+	.A(n_77203),
+	.B(n_77204),
+	.Y(n_77205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048099 (
+	.A(n_79424),
+	.B(n_79423),
+	.Y(n_77203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt1048100 (
+	.A(n_86876),
+	.Y(n_77204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 g1048102 (
+	.A1_N(n_77917),
+	.A2_N(n_77200),
+	.B1(n_77204),
+	.B2(n_77203),
+	.Y(n_77208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048103 (
+	.A(n_77226),
+	.B(n_77232),
+	.Y(n_77233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1048104 (
+	.A(n_77214),
+	.B(FE_DBTN25_n_78248),
+	.C(n_77225),
+	.Y(n_77226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1048105 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_747 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_769 ),
+	.Y(n_77214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048108 (
+	.A(n_92785),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3719 ),
+	.Y(n_77215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g237 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_271 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3692 ),
+	.Y(n_77216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1048109 (
+	.A(n_87730),
+	.Y(n_77225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1048111 (
+	.A(n_17802),
+	.B(n_74529),
+	.Y(n_77220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g210 (
+	.A(n_78248),
+	.B(n_77231),
+	.Y(n_77232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1048113 (
+	.A(n_77230),
+	.Y(n_77231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1048114 (
+	.A1_N(n_44401),
+	.A2_N(n_77220),
+	.B1(n_44401),
+	.B2(n_77220),
+	.Y(n_77230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1048115 (
+	.A(n_86618),
+	.B(FE_DBTN103_n_71622),
+	.Y(n_77228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g4 (
+	.A(n_77228),
+	.Y(n_44401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 g235 (
+	.A(n_59502),
+	.Y(n_77245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g236 (
+	.A(n_71622),
+	.B(n_61199),
+	.Y(n_59502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1048135 (
+	.A(n_61178),
+	.Y(n_77289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048136 (
+	.A(n_77285),
+	.B(n_77287),
+	.Y(n_61178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g80 (
+	.A(n_77276),
+	.B(n_77277),
+	.C(n_77282),
+	.D(n_77284),
+	.Y(n_77285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1048137 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_749 ),
+	.B(n_39751),
+	.Y(n_77276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g92 (
+	.A(n_38034),
+	.B(n_34713),
+	.Y(n_77277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1048138 (
+	.A(n_77281),
+	.Y(n_77282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g91 (
+	.A(n_77278),
+	.B(n_86871),
+	.Y(n_77281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g93 (
+	.A(n_34728),
+	.B(n_26653),
+	.Y(n_77278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048140 (
+	.A(n_38049),
+	.B(n_45897),
+	.Y(n_77284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1048142 (
+	.A(n_38038),
+	.B(n_77286),
+	.C(n_77281),
+	.Y(n_77287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048143 (
+	.A(n_38049),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_265 ),
+	.Y(n_77286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g84 (
+	.A(n_77277),
+	.B(n_77284),
+	.Y(n_77290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g82 (
+	.A(n_77276),
+	.B(n_77282),
+	.Y(n_77291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g83 (
+	.A(n_77286),
+	.B(n_38038),
+	.Y(n_77292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g165 (
+	.A(n_64772),
+	.B(n_87786),
+	.Y(n_77328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048161 (
+	.A(n_86308),
+	.B(n_63618),
+	.Y(n_77332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g154 (
+	.A(n_86308),
+	.B(n_63618),
+	.C(n_93073),
+	.Y(n_77336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g459 (
+	.A(n_77399),
+	.B(n_77425),
+	.Y(n_77426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g1048193 (
+	.A(n_77389),
+	.B(n_80823),
+	.C(n_77395),
+	.D(n_77398),
+	.Y(n_77399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g467 (
+	.A(n_77387),
+	.B(n_86311),
+	.Y(n_77389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1048194 (
+	.A(n_69651),
+	.B(n_40537),
+	.Y(n_77387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g488 (
+	.A(n_62662),
+	.B(n_62664),
+	.Y(n_77391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g474 (
+	.A(n_77393),
+	.B(n_77394),
+	.Y(n_77395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g500 (
+	.A(n_77328),
+	.B(n_86872),
+	.Y(n_77393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048198 (
+	.A(n_93074),
+	.B(n_77336),
+	.Y(n_77394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g472 (
+	.A(n_77396),
+	.B(n_77397),
+	.Y(n_77398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048199 (
+	.A(n_70306),
+	.B(n_70307),
+	.Y(n_77396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048200 (
+	.A(n_70300),
+	.B(n_75698),
+	.Y(n_77397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g460 (
+	.A1(n_77409),
+	.A2(n_77413),
+	.B1(n_77418),
+	.C1(n_77423),
+	.D1(n_77424),
+	.X(n_77425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g1048201 (
+	.A(n_37623),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [29]),
+	.C(n_77400),
+	.D(n_77408),
+	.X(n_77409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g476 (
+	.A(n_3984),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [23]),
+	.C(n_76629),
+	.X(n_77400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g1048202 (
+	.A(n_77402),
+	.B(n_77405),
+	.C(n_77406),
+	.D(n_77407),
+	.X(n_77408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_4 g1048203 (
+	.A0(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_186 ),
+	.A1(n_77401),
+	.S(n_36177),
+	.Y(n_77402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g502 (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_186 ),
+	.Y(n_77401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_2 g1048204 (
+	.A1(n_36587),
+	.A2(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_187 ),
+	.B1(n_77403),
+	.B2(n_77404),
+	.Y(n_77405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g501 (
+	.A(n_36587),
+	.Y(n_77403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1048205 (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_187 ),
+	.Y(n_77404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g1048206 (
+	.A1_N(n_36172),
+	.A2_N(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_194 ),
+	.B1(n_36172),
+	.B2(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_194 ),
+	.Y(n_77406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g481 (
+	.A(n_36175),
+	.B(n_69863),
+	.Y(n_77407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g471 (
+	.A1(n_77410),
+	.A2(n_77411),
+	.B1(n_77412),
+	.X(n_77413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1048207 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mid_pipe_info_q[0][is_inf] ),
+	.B(n_39238),
+	.Y(n_77410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1048208 (
+	.A(n_36333),
+	.B(n_2317),
+	.X(n_77411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1048209 (
+	.A(n_31275),
+	.B(n_29575),
+	.Y(n_77412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1048210 (
+	.A1(n_77415),
+	.A2(n_77416),
+	.B1(n_77417),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[UF] ),
+	.Y(n_77418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1048211 (
+	.A(n_77414),
+	.Y(n_77415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1048212 (
+	.A_N(n_1530),
+	.B(n_84186),
+	.C(n_3162),
+	.D(n_2215),
+	.Y(n_77414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1048213 (
+	.A1(n_3345),
+	.A2(n_9735),
+	.B1(n_8580),
+	.Y(n_77416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 g1048214 (
+	.A_N(n_29507),
+	.B(n_84186),
+	.C(n_4277),
+	.D(soc_top_u_top_u_core_is_fp_instr),
+	.X(n_77417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g464 (
+	.A(n_77422),
+	.Y(n_77423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1048215 (
+	.A1(n_77419),
+	.A2(n_77420),
+	.B1_N(n_77421),
+	.Y(n_77422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g1048216 (
+	.A(soc_top_u_top_u_core_fp_alu_operator[1]),
+	.B(soc_top_u_top_u_core_fp_alu_operator[3]),
+	.X(n_77419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g1048217 (
+	.A1(n_668),
+	.A2(soc_top_u_top_u_core_fp_alu_operator[3]),
+	.B1(soc_top_u_top_u_core_fp_alu_operator[2]),
+	.B2(soc_top_u_top_u_core_fp_alu_operator[1]),
+	.Y(n_77420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g1048218 (
+	.A1(n_592),
+	.A2(n_84186),
+	.B1(n_1509),
+	.B2(n_6720),
+	.Y(n_77421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1048219 (
+	.A1(n_7165),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_fflags_q[UF] ),
+	.B1(n_7166),
+	.B2(n_10941),
+	.Y(n_77424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1048239 (
+	.A(n_80417),
+	.B(n_77452),
+	.C(n_80418),
+	.Y(n_77454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048242 (
+	.A(n_87747),
+	.B(n_26957),
+	.Y(n_77446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g159 (
+	.A(n_60382),
+	.B(n_42641),
+	.Y(n_77452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g125 (
+	.A(n_86917),
+	.B(n_87526),
+	.C(n_77468),
+	.Y(n_77469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g139 (
+	.A(n_26408),
+	.B(n_26282),
+	.Y(n_77457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1048248 (
+	.A(n_77462),
+	.Y(n_77463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1048249 (
+	.A(n_77458),
+	.B(n_77460),
+	.C(n_77461),
+	.Y(n_77462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048250 (
+	.A(n_43178),
+	.B(n_57529),
+	.Y(n_77458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048251 (
+	.A(n_26377),
+	.B(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.Y(n_77460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1048253 (
+	.A1(n_24598),
+	.A2(n_24599),
+	.B1(n_24487),
+	.Y(n_77461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048255 (
+	.A(n_61022),
+	.B(n_60089),
+	.Y(n_77464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1048256 (
+	.A(n_42640),
+	.B(n_26638),
+	.Y(n_77468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048258 (
+	.A(n_77501),
+	.B(n_77503),
+	.Y(n_77504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g299 (
+	.A_N(n_78641),
+	.B(n_59545),
+	.Y(n_77501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048263 (
+	.A(n_86878),
+	.B(n_77481),
+	.Y(n_77482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048265 (
+	.A(n_78814),
+	.B(n_77476),
+	.Y(n_77477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1048266 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2520 ),
+	.Y(n_77473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048267 (
+	.A(n_78811),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2520 ),
+	.Y(n_77476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1048270 (
+	.A(n_78814),
+	.B(n_77476),
+	.C(n_54591),
+	.Y(n_77481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048272 (
+	.A(n_77497),
+	.B(n_77499),
+	.Y(n_59545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048273 (
+	.A(n_78805),
+	.B(n_77496),
+	.Y(n_77497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1048274 (
+	.A(n_77495),
+	.Y(n_77496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_4 g1048275 (
+	.A1_N(n_62457),
+	.A2_N(n_77493),
+	.B1(n_62457),
+	.B2(n_77493),
+	.Y(n_77495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1048276 (
+	.A(n_77492),
+	.Y(n_77493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048277 (
+	.A(n_77490),
+	.B(n_78813),
+	.Y(n_77492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048278 (
+	.A(n_77489),
+	.B(n_54591),
+	.Y(n_77490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048279 (
+	.A(n_78811),
+	.B(n_77473),
+	.Y(n_77489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048282 (
+	.A(n_77498),
+	.B(n_77495),
+	.Y(n_77499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1048283 (
+	.A(n_78805),
+	.Y(n_77498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1048284 (
+	.A_N(n_77502),
+	.B(n_77499),
+	.C(n_78641),
+	.Y(n_77503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g305 (
+	.A(n_77495),
+	.B(n_77498),
+	.Y(n_77502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g301 (
+	.A1(n_78805),
+	.A2(n_77506),
+	.B1(n_77508),
+	.X(n_77509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1048286 (
+	.A_N(n_62457),
+	.B(n_77493),
+	.Y(n_77506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1048287 (
+	.A(n_77493),
+	.B_N(n_62457),
+	.Y(n_77508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g265 (
+	.A(n_77518),
+	.B(n_77519),
+	.Y(n_77520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g267 (
+	.A(n_62439),
+	.B(n_77517),
+	.Y(n_77518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1048288 (
+	.A(n_87528),
+	.Y(n_62439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g275 (
+	.A(n_53355),
+	.B(n_53354),
+	.Y(n_77510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g274 (
+	.A(n_86381),
+	.B(n_62378),
+	.Y(n_77511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g272 (
+	.A(n_77516),
+	.Y(n_77517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_2 g273 (
+	.A1(n_77110),
+	.A2(n_62387),
+	.B1(n_62388),
+	.X(n_77516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g266 (
+	.A(n_87528),
+	.B(n_77516),
+	.Y(n_77519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1048313 (
+	.A(n_77552),
+	.B(n_77549),
+	.Y(n_77553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1048314 (
+	.A(n_85509),
+	.B(n_77208),
+	.C(FE_DBTN26_n_77195),
+	.Y(n_77549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g170 (
+	.A(n_77550),
+	.B(n_77551),
+	.Y(n_77552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g173 (
+	.A(n_85509),
+	.B(FE_DBTN26_n_77195),
+	.Y(n_77550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g171 (
+	.A(n_77202),
+	.B(n_77205),
+	.Y(n_77551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g143 (
+	.A(n_77582),
+	.B(n_77587),
+	.Y(n_77588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g146 (
+	.A1(n_56722),
+	.A2(n_38242),
+	.B1(n_38243),
+	.X(n_77582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048333 (
+	.A(n_77585),
+	.B(n_79673),
+	.Y(n_77587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g145 (
+	.A(n_89285),
+	.B(n_77584),
+	.Y(n_77585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048335 (
+	.A(n_77962),
+	.B(n_23718),
+	.Y(n_77584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g85 (
+	.A(n_77589),
+	.B(n_77590),
+	.Y(n_77591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048340 (
+	.A(n_49555),
+	.B(n_63142),
+	.Y(n_77589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1048341 (
+	.A1(n_35040),
+	.A2(\soc_top_timer_to_xbar[d_data] [13]),
+	.B1(n_17826),
+	.B2(\soc_top_uart_to_xbar[d_data] [13]),
+	.C1(n_19628),
+	.Y(n_77590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1048342 (
+	.A1(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.A2(n_18006),
+	.B1(n_18362),
+	.Y(n_77594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1048343 (
+	.A_N(n_77596),
+	.B(n_77077),
+	.C(n_61279),
+	.Y(n_77597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048344 (
+	.A(n_18007),
+	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.Y(n_77596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048346 (
+	.A(n_61279),
+	.B(n_77077),
+	.Y(n_77600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1048347 (
+	.A(n_77594),
+	.Y(n_77601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048357 (
+	.A(FE_DBTN23_n_78660),
+	.B(n_77621),
+	.Y(n_77622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g42 (
+	.A(n_77620),
+	.Y(n_77621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g43 (
+	.A(n_77618),
+	.B(n_77619),
+	.Y(n_77620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048359 (
+	.A(n_77616),
+	.B(n_77617),
+	.Y(n_77618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048360 (
+	.A(n_64721),
+	.B(n_64722),
+	.Y(n_77616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g1048361 (
+	.A(n_64729),
+	.B(n_76736),
+	.X(n_77617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048362 (
+	.A(n_76736),
+	.B(n_64729),
+	.Y(n_77619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1048363 (
+	.A(n_77620),
+	.B(n_78660),
+	.Y(n_77625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt85 (
+	.A(n_54185),
+	.Y(n_77641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1048379 (
+	.A(n_27408),
+	.B(n_87553),
+	.Y(n_54185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1048460 (
+	.A(n_77816),
+	.Y(n_77817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048461 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_685 ),
+	.B(n_80460),
+	.Y(n_77816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1048465 (
+	.A1(n_77821),
+	.A2(FE_DBTN104_n_17765),
+	.B1_N(n_25467),
+	.Y(n_77822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1048466 (
+	.A(n_84334),
+	.B(n_58675),
+	.X(n_77821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1048468 (
+	.A(n_77825),
+	.B(n_77828),
+	.Y(n_77829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048469 (
+	.A(n_57706),
+	.B(FE_DBTN96_n_57702),
+	.Y(n_77825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048470 (
+	.A(n_78201),
+	.B(n_57702),
+	.Y(n_77828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048474 (
+	.A(n_77834),
+	.B(n_77835),
+	.Y(n_77836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g20 (
+	.A(n_77831),
+	.B(n_54566),
+	.Y(n_77834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g22 (
+	.A(n_54579),
+	.B(n_54580),
+	.Y(n_77831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt1048476 (
+	.A(n_54566),
+	.Y(n_77832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1048477 (
+	.A(n_54579),
+	.B(n_77832),
+	.C(n_54580),
+	.Y(n_77835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g52 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3557 ),
+	.B(n_77281),
+	.Y(n_77839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g13 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_456 ),
+	.Y(n_77846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g14 (
+	.A(n_71622),
+	.B(n_77843),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_456 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1048481 (
+	.A(n_26407),
+	.B(n_25483),
+	.Y(n_77843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1048484 (
+	.A(n_77843),
+	.Y(n_77847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048485 (
+	.A(n_64644),
+	.B(n_77851),
+	.Y(n_77852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1048486 (
+	.A(n_87594),
+	.B(FE_DBTN98_n_26214),
+	.Y(n_77851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g1048488 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1316 ),
+	.A2(n_87531),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1315 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_904 ),
+	.Y(n_77856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1048492 (
+	.A1(n_17626),
+	.A2(n_39535),
+	.B1(n_87532),
+	.Y(n_77860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_4 g1048496 (
+	.A_N(n_78545),
+	.B(soc_top_u_dccm_bank_sel[1]),
+	.C(n_24877),
+	.Y(n_77861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048497 (
+	.A(n_77862),
+	.B(n_77865),
+	.Y(n_77866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048498 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_463 ),
+	.B(FE_DBTN53_n_60824),
+	.Y(n_77862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048499 (
+	.A(n_77864),
+	.B(n_60824),
+	.Y(n_77865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1048500 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_463 ),
+	.Y(n_77864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048501 (
+	.A(n_17802),
+	.B(n_68914),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_463 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048503 (
+	.A(n_25746),
+	.B(n_63142),
+	.Y(n_77867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1048504 (
+	.A(n_60748),
+	.B(n_18009),
+	.Y(n_77868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048505 (
+	.A(n_77881),
+	.B(n_77882),
+	.Y(n_77883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g138 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2146 ),
+	.A2(n_79597),
+	.B1(n_77880),
+	.Y(n_77881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1048507 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2146 ),
+	.Y(n_77870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1048509 (
+	.A(n_79597),
+	.Y(n_77872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g1048510 (
+	.A1_N(n_77874),
+	.A2_N(n_77879),
+	.B1(n_77874),
+	.B2(n_77879),
+	.Y(n_77880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1048511 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3540 ),
+	.Y(n_77874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048512 (
+	.A(n_77876),
+	.B(n_77878),
+	.Y(n_77879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048513 (
+	.A(n_77875),
+	.B(n_59649),
+	.Y(n_77876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1048514 (
+	.A(n_76291),
+	.Y(n_77875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048515 (
+	.A(n_76291),
+	.B(n_77877),
+	.Y(n_77878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1048516 (
+	.A(n_59649),
+	.Y(n_77877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g149 (
+	.A(n_79597),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2146 ),
+	.Y(n_77882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g1048517 (
+	.A1_N(n_77884),
+	.A2_N(n_77889),
+	.B1(n_77884),
+	.B2(n_77889),
+	.Y(n_77890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1048518 (
+	.A(n_77880),
+	.Y(n_77884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g142 (
+	.A(n_77886),
+	.B(n_77888),
+	.Y(n_77889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048519 (
+	.A(n_79597),
+	.B(n_77870),
+	.Y(n_77886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048521 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2146 ),
+	.B(n_77872),
+	.Y(n_77888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1048523 (
+	.A1(n_77892),
+	.A2(n_77874),
+	.B1(n_77893),
+	.Y(n_77894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1048524 (
+	.A(n_59649),
+	.B(n_76291),
+	.Y(n_77892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048526 (
+	.A(n_76291),
+	.B(n_59649),
+	.Y(n_77893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048527 (
+	.A(n_77895),
+	.B(n_61142),
+	.Y(n_77896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1048528 (
+	.A(n_61140),
+	.B(FE_DBTN10_n_85255),
+	.C(n_75457),
+	.Y(n_77895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1048529 (
+	.A(n_77897),
+	.B(n_53648),
+	.C(n_87553),
+	.Y(n_77899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1048530 (
+	.A(n_54181),
+	.B(n_80113),
+	.Y(n_77897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g29 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1237 ),
+	.B(n_87429),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3596 ),
+	.Y(n_77901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g30 (
+	.A(n_87429),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1237 ),
+	.Y(n_77904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048534 (
+	.A(n_27078),
+	.B(n_27084),
+	.Y(n_77906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1048536 (
+	.A(addinc_ADD_UNS_OP_2_n_186),
+	.B(n_89920),
+	.Y(n_77910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1048539 (
+	.A(n_27906),
+	.B(n_77912),
+	.C(FE_DBTN96_n_57702),
+	.Y(n_77913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1048540 (
+	.A(n_77911),
+	.Y(n_77912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048541 (
+	.A(n_27832),
+	.B(n_55646),
+	.Y(n_77911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048543 (
+	.A(n_62597),
+	.B(n_62596),
+	.Y(n_77914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048544 (
+	.A(n_79423),
+	.B(n_86876),
+	.Y(n_77917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048548 (
+	.A(n_77918),
+	.B(n_77919),
+	.Y(n_77920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1048549 (
+	.A(n_78140),
+	.Y(n_77918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1048550 (
+	.A(n_38054),
+	.Y(n_77919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048551 (
+	.A(n_77924),
+	.B(n_77927),
+	.Y(n_77928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048552 (
+	.A(n_38055),
+	.B(n_77923),
+	.Y(n_77924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048553 (
+	.A(n_78145),
+	.B(n_86687),
+	.Y(n_77923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048556 (
+	.A(n_78146),
+	.B(n_77926),
+	.Y(n_77927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1048558 (
+	.A(n_86687),
+	.Y(n_77926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048559 (
+	.A(n_78140),
+	.B(n_38054),
+	.Y(n_77930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1048560 (
+	.A(n_77934),
+	.B(n_77935),
+	.Y(n_77936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048561 (
+	.A(n_77933),
+	.B(n_78140),
+	.Y(n_77934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1048562 (
+	.A(n_77932),
+	.Y(n_77933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g1048563 (
+	.A1_N(n_77919),
+	.A2_N(n_77928),
+	.B1(n_77919),
+	.B2(n_77928),
+	.Y(n_77932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048564 (
+	.A(n_77932),
+	.B(n_77918),
+	.Y(n_77935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_4 g1048565 (
+	.A1_N(n_77937),
+	.A2_N(n_77940),
+	.B1(n_77937),
+	.B2(n_77940),
+	.Y(n_77941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1048566 (
+	.A(n_38055),
+	.Y(n_77937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1048567 (
+	.A(n_77938),
+	.B(n_77939),
+	.Y(n_77940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048568 (
+	.A(n_78145),
+	.B(n_77926),
+	.Y(n_77938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048569 (
+	.A(n_86687),
+	.B(n_78146),
+	.Y(n_77939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1048572 (
+	.A(n_58225),
+	.B(n_87553),
+	.Y(n_77942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1048573 (
+	.A(n_79714),
+	.Y(n_77946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048575 (
+	.A(n_77952),
+	.B(n_86879),
+	.Y(n_77957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048576 (
+	.A(n_77949),
+	.B(n_77110),
+	.Y(n_77952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g1048577 (
+	.A1_N(n_62369),
+	.A2_N(n_62364),
+	.B1(n_62369),
+	.B2(n_62364),
+	.Y(n_77949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt60 (
+	.A(n_62369),
+	.Y(n_77947), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048581 (
+	.A(n_62363),
+	.B(n_77947),
+	.Y(n_77954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048583 (
+	.A(n_62369),
+	.B(n_62364),
+	.Y(n_77955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1048585 (
+	.A(n_62597),
+	.B(n_62596),
+	.C(n_77958),
+	.Y(n_77959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1048586 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2904 ),
+	.Y(n_77958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 fopt1048587 (
+	.A(n_77962),
+	.Y(n_77963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048588 (
+	.A(n_77961),
+	.B(n_28568),
+	.Y(n_77962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048589 (
+	.A(n_59203),
+	.B(FE_DBTN70_n_87553),
+	.Y(n_77961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048591 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1899 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1730 ),
+	.Y(n_77966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1048594 (
+	.A(n_40630),
+	.Y(n_77967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1048595 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1730 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1899 ),
+	.Y(n_77968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048600 (
+	.A(n_77976),
+	.B(n_42357),
+	.Y(n_77977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048601 (
+	.A(n_53707),
+	.B(n_53704),
+	.Y(n_77976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1048602 (
+	.A(n_86881),
+	.B(n_55141),
+	.C(n_55821),
+	.Y(n_77980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1048606 (
+	.A(n_73668),
+	.B(n_65306),
+	.Y(n_77981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048607 (
+	.A(n_77983),
+	.B(n_74952),
+	.Y(n_77984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1048608 (
+	.A(n_63019),
+	.B(n_63020),
+	.Y(n_77983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048609 (
+	.A(n_77985),
+	.B(n_77986),
+	.Y(n_77987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048610 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1980 ),
+	.B(n_54681),
+	.Y(n_77985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_4 g1048611 (
+	.A(n_43389),
+	.B(n_74465),
+	.C(n_43391),
+	.X(n_77986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_4 g1048613 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2601 ),
+	.B_N(n_61989),
+	.Y(n_77989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1048614 (
+	.A(n_61992),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2601 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1048622 (
+	.A(n_86690),
+	.B(n_77999),
+	.Y(n_78000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048624 (
+	.A(n_86691),
+	.B(n_87864),
+	.Y(n_77999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1048627 (
+	.A(n_57777),
+	.B(n_78003),
+	.Y(n_78004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048628 (
+	.A(n_59252),
+	.B(n_55166),
+	.Y(n_78003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048631 (
+	.A(n_78007),
+	.B(n_55588),
+	.Y(n_78008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048632 (
+	.A(n_55589),
+	.B(FE_DBTN96_n_57702),
+	.Y(n_78007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1048640 (
+	.A(n_80162),
+	.B(n_86387),
+	.C(n_74852),
+	.Y(n_78017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1048647 (
+	.A(FE_DBTN97_n_60382),
+	.B(n_58164),
+	.C(n_78022),
+	.Y(n_78023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1048648 (
+	.A(n_27018),
+	.B(n_26955),
+	.Y(n_78022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048649 (
+	.A(n_78027),
+	.B(n_58059),
+	.Y(n_78028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048650 (
+	.A(FE_DBTN22_n_78025),
+	.B(n_55310),
+	.Y(n_78027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1048652 (
+	.A(n_64736),
+	.B(n_64745),
+	.Y(n_78025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 fopt1048655 (
+	.A(n_78035),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_376 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1048656 (
+	.A(n_17802),
+	.B(n_78034),
+	.Y(n_78035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048657 (
+	.A(n_25553),
+	.B(n_78033),
+	.Y(n_78034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048658 (
+	.A(n_58322),
+	.B(n_78032),
+	.Y(n_78033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048660 (
+	.A(n_26207),
+	.B(n_78031),
+	.Y(n_78032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g1048661 (
+	.A(n_16651),
+	.B(n_17765),
+	.X(n_78031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 fopt1048662 (
+	.A(n_78034),
+	.Y(n_78039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048663 (
+	.A(n_78042),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_502),
+	.Y(n_78043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1048664 (
+	.A(n_78041),
+	.Y(n_78042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048665 (
+	.A(n_59146),
+	.B(n_78040),
+	.Y(n_78041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1048666 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_50),
+	.B(n_88114),
+	.C(n_78271),
+	.Y(n_78040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1048669 (
+	.A(n_57894),
+	.B(n_56666),
+	.Y(n_78044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048670 (
+	.A(n_78048),
+	.B(n_77155),
+	.Y(n_78050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048671 (
+	.A(n_77165),
+	.B(n_78047),
+	.Y(n_78048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1048672 (
+	.A(n_77157),
+	.B(n_77158),
+	.Y(n_78047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048675 (
+	.A(n_57103),
+	.B(n_78051),
+	.Y(n_78052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048676 (
+	.A(n_57467),
+	.B(n_75782),
+	.Y(n_78051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1048677 (
+	.A(n_70167),
+	.B(n_78057),
+	.Y(n_78058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048678 (
+	.A(n_40534),
+	.B(n_87777),
+	.Y(n_78057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048684 (
+	.A(n_60675),
+	.B(n_75837),
+	.Y(n_78059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048685 (
+	.A(n_78066),
+	.B(FE_DBTN70_n_87553),
+	.Y(n_78067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048686 (
+	.A(n_28181),
+	.B(n_82015),
+	.Y(n_78066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048688 (
+	.A(n_38878),
+	.B(n_44420),
+	.Y(n_78064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g207 (
+	.A(n_78072),
+	.B(n_78075),
+	.Y(n_78076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048691 (
+	.A(n_78071),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_994),
+	.Y(n_78072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048692 (
+	.A(n_42887),
+	.B(n_78070),
+	.Y(n_78071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1048693 (
+	.A1(n_56508),
+	.A2(n_44464),
+	.B1_N(WALLACE_CSA_DUMMY_OP_groupi_n_733),
+	.Y(n_78070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1048696 (
+	.A(n_42887),
+	.B(n_78073),
+	.C(n_78074),
+	.Y(n_78075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048697 (
+	.A(n_56508),
+	.B(n_44464),
+	.Y(n_78073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1048698 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_994),
+	.B_N(WALLACE_CSA_DUMMY_OP_groupi_n_733),
+	.Y(n_78074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g1048699 (
+	.A(n_78079),
+	.Y(n_78080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048700 (
+	.A(n_78078),
+	.B(n_57920),
+	.Y(n_78079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048701 (
+	.A(n_78077),
+	.B(FE_DBTN70_n_87553),
+	.Y(n_78078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g36 (
+	.A(n_63872),
+	.B(n_34980),
+	.Y(n_78077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1048704 (
+	.A(n_78082),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2879 ),
+	.Y(n_78083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048705 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2886 ),
+	.B(n_87542),
+	.Y(n_78082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1048707 (
+	.A(n_78088),
+	.B(FE_DBTN66_n_79636),
+	.C(n_59923),
+	.Y(n_78089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048708 (
+	.A(n_62087),
+	.B(n_78087),
+	.Y(n_78088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048709 (
+	.A(n_81760),
+	.B(n_62088),
+	.Y(n_78087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1048711 (
+	.A(n_78090),
+	.B(n_28448),
+	.C(n_78120),
+	.Y(n_78092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1048712 (
+	.A(n_28417),
+	.B(n_28370),
+	.Y(n_78090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g1048715 (
+	.A(n_78090),
+	.B(n_28448),
+	.Y(n_78095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1048717 (
+	.A(n_78097),
+	.Y(n_78098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1048718 (
+	.A(n_78638),
+	.B(n_78634),
+	.Y(n_78097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1048720 (
+	.A(n_78120),
+	.Y(n_78121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g1048721 (
+	.A1(n_78102),
+	.A2(n_78118),
+	.B1(n_78119),
+	.Y(n_78120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048722 (
+	.A(FE_DBTN130_csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_add_365_53_n_2898),
+	.B(n_78101),
+	.Y(n_78102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1048723 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2598 ),
+	.Y(n_78101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1048724 (
+	.A(n_86883),
+	.Y(n_78118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_4 g1048728 (
+	.A1(n_79379),
+	.A2(n_78106),
+	.B1(n_78115),
+	.Y(n_78116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt248 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2599 ),
+	.Y(n_78106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1048730 (
+	.A(n_78114),
+	.Y(n_78115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048731 (
+	.A(n_78110),
+	.B(n_86884),
+	.Y(n_78114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g222 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2487 ),
+	.B(n_78109),
+	.Y(n_78110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048732 (
+	.A(n_78107),
+	.B(n_86692),
+	.Y(n_78109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt246 (
+	.A(n_79374),
+	.Y(n_78107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1048735 (
+	.A(n_86692),
+	.Y(n_78112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1048736 (
+	.A(n_78101),
+	.B(FE_DBTN130_csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_add_365_53_n_2898),
+	.Y(n_78119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g198 (
+	.A(n_78128),
+	.B(n_78131),
+	.Y(n_78132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g199 (
+	.A(n_78125),
+	.B(FE_DBTN130_csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_add_365_53_n_2898),
+	.Y(n_78128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g201 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2598 ),
+	.A2(n_86883),
+	.B1(n_78124),
+	.Y(n_78125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1048737 (
+	.A(n_78122),
+	.B(n_78123),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2598 ),
+	.Y(n_78124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1048738 (
+	.A(n_78116),
+	.Y(n_78122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1048742 (
+	.A(n_78129),
+	.B(n_78130),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2898 ),
+	.Y(n_78131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1048743 (
+	.A(n_78122),
+	.B(n_78123),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2598 ),
+	.Y(n_78129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048744 (
+	.A(n_78118),
+	.B(n_78101),
+	.Y(n_78130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1048745 (
+	.A(n_78138),
+	.B(n_78139),
+	.Y(n_78140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048746 (
+	.A(n_78136),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2599 ),
+	.Y(n_78138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1048747 (
+	.A(n_78135),
+	.Y(n_78136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048748 (
+	.A(n_78133),
+	.B(n_79378),
+	.Y(n_78135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048749 (
+	.A(n_79379),
+	.B(n_78114),
+	.Y(n_78133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048752 (
+	.A(n_78135),
+	.B(n_78106),
+	.Y(n_78139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1048753 (
+	.A(n_78145),
+	.Y(n_78146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g1048754 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2487 ),
+	.B(n_78144),
+	.Y(n_78145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048755 (
+	.A(n_78142),
+	.B(n_78143),
+	.Y(n_78144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048756 (
+	.A(n_78107),
+	.B(n_78112),
+	.Y(n_78142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048758 (
+	.A(n_79374),
+	.B(n_86692),
+	.Y(n_78143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1048759 (
+	.A(n_78148),
+	.B(n_59929),
+	.C(n_38327),
+	.Y(n_78149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048760 (
+	.A(n_88150),
+	.B(n_80340),
+	.Y(n_78148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048762 (
+	.A(n_78151),
+	.B(FE_DBTN70_n_87553),
+	.Y(n_78152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048763 (
+	.A(n_59298),
+	.B(n_78150),
+	.Y(n_78151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1048764 (
+	.A(n_28443),
+	.B(n_64035),
+	.Y(n_78150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1048766 (
+	.A(n_88188),
+	.Y(n_78158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1048768 (
+	.A(n_78155),
+	.Y(n_78156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048769 (
+	.A(n_86814),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_805),
+	.Y(n_78155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048771 (
+	.A(n_88189),
+	.B(n_78161),
+	.Y(n_78162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048772 (
+	.A(n_89286),
+	.B(n_78160),
+	.Y(n_78161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1048774 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_228),
+	.Y(n_78160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1048776 (
+	.A(FE_DBTN76_n_78163),
+	.B(addinc_ADD_UNS_OP_2_n_1164),
+	.C(n_63884),
+	.Y(n_78165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1048778 (
+	.A(addinc_ADD_UNS_OP_2_n_1169),
+	.B(addinc_ADD_UNS_OP_2_n_1093),
+	.Y(n_78163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1048779 (
+	.A(n_64177),
+	.B(n_78168),
+	.Y(n_78169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048780 (
+	.A(n_78167),
+	.B(FE_DBTN85_n_59637),
+	.Y(n_78168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048781 (
+	.A(n_58821),
+	.B(n_58820),
+	.Y(n_78167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1048782 (
+	.A(n_78171),
+	.B(n_56922),
+	.Y(n_78172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048783 (
+	.A(n_78170),
+	.B(FE_DBTN44_n_64842),
+	.Y(n_78171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048784 (
+	.A(n_53525),
+	.B(n_44557),
+	.Y(n_78170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048786 (
+	.A(n_78756),
+	.B(n_78176),
+	.Y(n_78177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048788 (
+	.A(n_60429),
+	.B(n_78175),
+	.Y(n_78176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048789 (
+	.A(n_58647),
+	.B(n_90623),
+	.Y(n_78175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 fopt1048793 (
+	.A(n_78182),
+	.Y(n_78183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g1048794 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_244),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_229),
+	.C(n_78181),
+	.X(n_78182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048795 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_99),
+	.B(n_58582),
+	.Y(n_78181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1048797 (
+	.A1(n_90647),
+	.A2(n_78194),
+	.B1(n_78195),
+	.Y(n_78196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1048798 (
+	.A(n_78193),
+	.Y(n_78194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g1048799 (
+	.A(n_54682),
+	.B(n_76843),
+	.C(n_87535),
+	.D(n_54695),
+	.Y(n_78193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1048801 (
+	.A(n_54692),
+	.B(n_86406),
+	.Y(n_78188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048806 (
+	.A(n_54111),
+	.B(n_62295),
+	.Y(n_78195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048808 (
+	.A(n_78201),
+	.B(n_57163),
+	.Y(n_78204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt62 (
+	.A(n_78201),
+	.Y(n_78202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1048809 (
+	.A(n_78200),
+	.B(n_27838),
+	.C(n_27748),
+	.Y(n_78201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1048810 (
+	.A(n_58491),
+	.B(n_89299),
+	.C(n_53716),
+	.Y(n_78200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1048816 (
+	.A(n_78210),
+	.B(n_59240),
+	.Y(n_78211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048817 (
+	.A(n_78242),
+	.B(n_58491),
+	.Y(n_78210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1048819 (
+	.A(n_59637),
+	.B(n_42418),
+	.C(n_60574),
+	.Y(n_78208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1048820 (
+	.A(n_78218),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_197),
+	.C(n_55071),
+	.Y(n_78219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1048821 (
+	.A(n_78217),
+	.Y(n_78218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1048822 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_123),
+	.B(n_79671),
+	.Y(n_78217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1048828 (
+	.A(n_79671),
+	.Y(n_78220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1048829 (
+	.A(n_78224),
+	.B(n_78204),
+	.Y(n_78225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048830 (
+	.A(n_78222),
+	.B(n_80113),
+	.Y(n_78224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1048831 (
+	.A(n_78221),
+	.B(n_57707),
+	.Y(n_78222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1048832 (
+	.A(n_59073),
+	.B(FE_DBTN96_n_57702),
+	.Y(n_78221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1048834 (
+	.A(n_78232),
+	.B(n_59431),
+	.Y(n_78233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048835 (
+	.A(n_56422),
+	.B(n_78231),
+	.Y(n_78232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1048836 (
+	.A(n_78230),
+	.B(n_58491),
+	.Y(n_78231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048837 (
+	.A(n_78226),
+	.B(n_78229),
+	.Y(n_78230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048838 (
+	.A(n_27628),
+	.B(FE_DBTN85_n_59637),
+	.Y(n_78226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048839 (
+	.A(FE_DBTN93_n_27649),
+	.B(n_59637),
+	.Y(n_78229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048842 (
+	.A(n_56422),
+	.B(n_78231),
+	.Y(n_78234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g112 (
+	.A(n_78208),
+	.B(n_78241),
+	.Y(n_78242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048843 (
+	.A(FE_DBTN85_n_59637),
+	.B(n_78240),
+	.Y(n_78241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g114 (
+	.A(n_87537),
+	.B(n_78239),
+	.Y(n_78240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g116 (
+	.A(n_78238),
+	.B(n_61059),
+	.Y(n_78239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1048846 (
+	.A(n_85102),
+	.B_N(n_39671),
+	.Y(n_78238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048847 (
+	.A(n_75624),
+	.B(n_78244),
+	.Y(n_78245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g1048848 (
+	.A(n_28360),
+	.B(n_59128),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2647 ),
+	.D(n_78243),
+	.Y(n_78244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048849 (
+	.A(n_50585),
+	.B(n_90565),
+	.Y(n_78243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1048850 (
+	.A(n_78246),
+	.Y(n_78247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1048851 (
+	.A(n_59128),
+	.B(n_28360),
+	.C(n_78243),
+	.Y(n_78246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1048852 (
+	.A1_N(n_78252),
+	.A2_N(n_78253),
+	.B1(n_78252),
+	.B2(n_78253),
+	.Y(n_78254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048853 (
+	.A(n_78250),
+	.B(n_78251),
+	.Y(n_78252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1048854 (
+	.A(n_77214),
+	.B(FE_DBTN25_n_78248),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3568 ),
+	.Y(n_78250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1048856 (
+	.A(n_77216),
+	.B(n_77215),
+	.Y(n_78248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048857 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3605 ),
+	.B(n_78248),
+	.Y(n_78251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1048858 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3504 ),
+	.Y(n_78253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048861 (
+	.A(n_78864),
+	.B(n_78260),
+	.Y(n_78261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048863 (
+	.A(n_78257),
+	.B(n_38408),
+	.Y(n_78258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048864 (
+	.A(n_77899),
+	.B(n_54185),
+	.Y(n_78257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1048865 (
+	.A(n_63537),
+	.Y(n_78260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048866 (
+	.A(n_62345),
+	.B(n_78265),
+	.Y(n_78266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1048867 (
+	.A(n_78264),
+	.B(n_62326),
+	.C(addinc_ADD_UNS_OP_2_n_556),
+	.Y(n_78265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048868 (
+	.A(n_62329),
+	.B(n_87753),
+	.Y(n_78264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048871 (
+	.A(n_78269),
+	.B(n_39008),
+	.Y(n_78271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1048872 (
+	.A(n_78268),
+	.B(n_28560),
+	.Y(n_78269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048873 (
+	.A(n_78267),
+	.B(FE_DBTN70_n_87553),
+	.Y(n_78268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048874 (
+	.A(n_53632),
+	.B(n_28182),
+	.Y(n_78267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048876 (
+	.A(n_78276),
+	.B(n_90623),
+	.Y(n_78277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1048877 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1293 ),
+	.B(n_78275),
+	.Y(n_78276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048878 (
+	.A(n_78274),
+	.B(FE_DBTN60_n_58731),
+	.Y(n_78275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048879 (
+	.A(n_78273),
+	.B(n_41212),
+	.Y(n_78274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1048880 (
+	.A(n_78272),
+	.Y(n_78273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048881 (
+	.A(n_39286),
+	.B(n_79505),
+	.Y(n_78272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048883 (
+	.A(n_78280),
+	.B(n_78283),
+	.Y(n_78284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1048884 (
+	.A(n_78279),
+	.Y(n_78280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048885 (
+	.A(n_46259),
+	.B(n_78264),
+	.Y(n_78279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048886 (
+	.A(n_80325),
+	.B(addinc_ADD_UNS_OP_2_n_556),
+	.Y(n_78283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048890 (
+	.A(n_39610),
+	.B(n_78286),
+	.Y(n_78287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1048891 (
+	.A(n_78285),
+	.B(n_57185),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2927 ),
+	.Y(n_78286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1048892 (
+	.A(n_31014),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2885 ),
+	.Y(n_78285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048898 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_682 ),
+	.B(n_45028),
+	.Y(n_78291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1048900 (
+	.A(n_78296),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1154 ),
+	.Y(n_78297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1048901 (
+	.A1(n_45536),
+	.A2(n_78295),
+	.B1(FE_DBTN72_n_90623),
+	.Y(n_78296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048902 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_694 ),
+	.B(n_44478),
+	.Y(n_78295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1048903 (
+	.A(n_54675),
+	.B(n_57829),
+	.C(n_78301),
+	.Y(n_78302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1048904 (
+	.A(n_60435),
+	.B(n_78300),
+	.Y(n_78301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1048905 (
+	.A(n_78299),
+	.B(n_62762),
+	.Y(n_78300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1048906 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1497 ),
+	.B(n_79323),
+	.Y(n_78299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048907 (
+	.A(n_78305),
+	.B(n_87540),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1708 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048908 (
+	.A(n_78304),
+	.B(FE_DBTN60_n_58731),
+	.Y(n_78305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048909 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1402 ),
+	.B(n_78303),
+	.Y(n_78304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048910 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1175 ),
+	.B(FE_DBTN72_n_90623),
+	.Y(n_78303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1048916 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1045 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1140 ),
+	.B1(FE_DBTN20_n_79311),
+	.X(n_78311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048918 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1045 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1140 ),
+	.Y(n_78314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048919 (
+	.A(n_78317),
+	.B(n_74953),
+	.Y(n_78318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1048920 (
+	.A(n_62801),
+	.B(n_78316),
+	.Y(n_78317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048921 (
+	.A(n_78315),
+	.B(n_57479),
+	.Y(n_78316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048922 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1053 ),
+	.B(n_64231),
+	.Y(n_78315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048924 (
+	.A(n_78320),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1626 ),
+	.Y(n_78321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048925 (
+	.A(n_79319),
+	.B(n_90623),
+	.Y(n_78320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048927 (
+	.A(n_78325),
+	.B(FE_DBTN72_n_90623),
+	.Y(n_78326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048928 (
+	.A(n_84552),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1489 ),
+	.Y(n_78325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048937 (
+	.A(n_56840),
+	.B(n_41737),
+	.Y(n_78329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1048938 (
+	.A(n_27408),
+	.B(n_87553),
+	.Y(n_78330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g2625 (
+	.A(n_78345),
+	.B(n_78352),
+	.Y(n_78353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g2632 (
+	.A(n_78344),
+	.Y(n_78345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g2637 (
+	.A1(n_78339),
+	.A2(n_78342),
+	.B1(n_78343),
+	.Y(n_78344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g2690 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3479 ),
+	.Y(n_78339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g2646 (
+	.A(n_78341),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3482 ),
+	.Y(n_78342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fahcin_1 g2739 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1950 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2061 ),
+	.CIN(n_78340),
+	.COUT(UNCONNECTED503),
+	.SUM(n_78341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g2716 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3489 ),
+	.Y(n_78340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g2648 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3482 ),
+	.B(n_78341),
+	.Y(n_78343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g2671 (
+	.A(n_87543),
+	.Y(n_78352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g2619 (
+	.A(n_78383),
+	.B(n_78385),
+	.Y(n_78386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g2626 (
+	.A_N(n_78355),
+	.B(n_78373),
+	.C(n_78382),
+	.Y(n_78383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 g2725 (
+	.A(n_78404),
+	.B(n_40546),
+	.CI(n_78354),
+	.COUT(UNCONNECTED504),
+	.SUM(n_78355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt2737 (
+	.A(n_60923),
+	.Y(n_78354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g2635 (
+	.A1(n_78361),
+	.A2(n_78366),
+	.B1(n_78372),
+	.X(n_78373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g2651 (
+	.A1(n_78356),
+	.A2(n_78357),
+	.B1(n_78360),
+	.Y(n_78361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g2677 (
+	.A(n_60887),
+	.B(n_60889),
+	.Y(n_78356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g2679 (
+	.A(n_60885),
+	.B(n_60890),
+	.Y(n_78357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g2655 (
+	.A(n_86888),
+	.Y(n_78360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g2642 (
+	.A1_N(n_87732),
+	.A2_N(n_60907),
+	.B1(n_78365),
+	.B2(n_78357),
+	.Y(n_78366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g2649 (
+	.A(n_60887),
+	.B(n_60889),
+	.C(n_86888),
+	.Y(n_78365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g2661 (
+	.A1(n_78367),
+	.A2(n_78370),
+	.B1(n_86377),
+	.Y(n_78372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g2700 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2061 ),
+	.Y(n_78367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g2685 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1950 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3489 ),
+	.Y(n_78370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g2634 (
+	.A(n_78377),
+	.B(n_78366),
+	.C(n_78381),
+	.Y(n_78382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g2652 (
+	.A(n_86890),
+	.B(n_78360),
+	.Y(n_78377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g2662 (
+	.A(n_78379),
+	.B(n_86377),
+	.Y(n_78381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g2667 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1950 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3489 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2061 ),
+	.Y(n_78379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g2628 (
+	.A(n_78384),
+	.B(n_78355),
+	.Y(n_78385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g2633 (
+	.A(n_78373),
+	.B(n_78382),
+	.Y(n_78384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g2627 (
+	.A(n_78344),
+	.B(n_87543),
+	.Y(n_78388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g2614 (
+	.A(n_78394),
+	.B(n_78397),
+	.Y(n_78398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g2616 (
+	.A(n_78392),
+	.B(n_78393),
+	.Y(n_78394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g2620 (
+	.A(n_86390),
+	.B(n_86391),
+	.Y(n_78392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g2618 (
+	.A(n_78386),
+	.Y(n_78393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g2615 (
+	.A(n_86390),
+	.B(n_86391),
+	.C(n_78386),
+	.Y(n_78397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g2654 (
+	.A(n_78372),
+	.Y(n_78399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g2636 (
+	.A1_N(n_78366),
+	.A2_N(n_78361),
+	.B1(n_78407),
+	.B2(n_78410),
+	.Y(n_78411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g2660 (
+	.A1(n_78401),
+	.A2(n_86392),
+	.B1(n_78406),
+	.Y(n_78407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g2723 (
+	.A(n_78404),
+	.Y(n_78401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g2664 (
+	.A_N(n_60923),
+	.B(n_78404),
+	.C(n_78405),
+	.Y(n_78406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g2698 (
+	.A(n_40546),
+	.Y(n_78405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g2644 (
+	.A(n_78408),
+	.B(n_78409),
+	.C(n_78399),
+	.Y(n_78410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g2669 (
+	.A(n_78401),
+	.B(n_78405),
+	.C(n_60923),
+	.Y(n_78408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g2668 (
+	.A_N(n_60923),
+	.B(n_78401),
+	.C(n_40546),
+	.Y(n_78409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g2645 (
+	.A1_N(n_78341),
+	.A2_N(n_78413),
+	.B1(n_78341),
+	.B2(n_78413),
+	.Y(n_78415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt2731 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3482 ),
+	.Y(n_78413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g2639 (
+	.A(n_78415),
+	.B(n_78339),
+	.Y(n_78418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g2659 (
+	.A(n_87546),
+	.B(n_86392),
+	.Y(n_78423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g2670 (
+	.A(n_60907),
+	.B(n_87732),
+	.Y(n_78424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1048944 (
+	.A(n_80820),
+	.B(n_78429),
+	.Y(n_78430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1048945 (
+	.A(n_77387),
+	.B(n_86312),
+	.C(n_9605),
+	.Y(n_78429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1048950 (
+	.A1(n_18362),
+	.A2(FE_DBTN11_n_81301),
+	.B1(n_78435),
+	.Y(n_78436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1048951 (
+	.A(n_78434),
+	.B(n_18365),
+	.Y(n_78435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1048952 (
+	.A1(n_78431),
+	.A2(n_25509),
+	.B1(n_78433),
+	.Y(n_78434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1048953 (
+	.A_N(n_23998),
+	.B(n_63142),
+	.Y(n_78431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1048954 (
+	.A1(n_86702),
+	.A2(n_63142),
+	.B1_N(n_22727),
+	.Y(n_78433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1048956 (
+	.A(n_78439),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1973),
+	.C(n_78158),
+	.Y(n_78440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1048957 (
+	.A(n_53852),
+	.B(n_78438),
+	.C(n_81241),
+	.Y(n_78439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048958 (
+	.A(n_55083),
+	.B(n_78437),
+	.Y(n_78438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g66 (
+	.A(n_59311),
+	.B(n_76854),
+	.C(n_76867),
+	.Y(n_78437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048961 (
+	.A(n_78446),
+	.B(n_27548),
+	.Y(n_78447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1048962 (
+	.A(n_78443),
+	.B(n_78445),
+	.C(n_27930),
+	.Y(n_78446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048963 (
+	.A(n_78442),
+	.B(n_27408),
+	.Y(n_78443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048964 (
+	.A(n_57164),
+	.B(n_63870),
+	.Y(n_78442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048965 (
+	.A(n_78444),
+	.B(n_27408),
+	.Y(n_78445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1048966 (
+	.A(n_86400),
+	.Y(n_78444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048980 (
+	.A(addinc_ADD_UNS_OP_2_n_200),
+	.B(addinc_ADD_UNS_OP_2_n_229),
+	.Y(n_78458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1048982 (
+	.A(n_54033),
+	.Y(n_78463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1048985 (
+	.A(n_78458),
+	.Y(n_78467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1048986 (
+	.A(n_87007),
+	.B(n_78479),
+	.Y(n_78480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1048989 (
+	.A1(n_78470),
+	.A2(n_78471),
+	.B1(n_78478),
+	.Y(n_78479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1048990 (
+	.A(n_60793),
+	.Y(n_78470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1048991 (
+	.A(n_77075),
+	.Y(n_78471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_2 g1048992 (
+	.A(n_86379),
+	.B(n_60795),
+	.C(n_78471),
+	.Y(n_78478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1048995 (
+	.A(n_76836),
+	.Y(n_78472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1048999 (
+	.A(n_86379),
+	.Y(n_78483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1049002 (
+	.A(n_78488),
+	.Y(n_78489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049003 (
+	.A(n_78487),
+	.B(n_57456),
+	.Y(n_78488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1049004 (
+	.A(n_78486),
+	.B(n_55551),
+	.C(n_55554),
+	.Y(n_78487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049005 (
+	.A(n_78485),
+	.B(n_55552),
+	.Y(n_78486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049006 (
+	.A(n_78484),
+	.B(n_28033),
+	.Y(n_78485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049007 (
+	.A(n_50014),
+	.B(n_64150),
+	.Y(n_78484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049008 (
+	.A(n_78493),
+	.B(n_57824),
+	.Y(n_78494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049009 (
+	.A(n_86892),
+	.B(n_57283),
+	.Y(n_78493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049011 (
+	.A(n_58504),
+	.B(FE_DBTN70_n_87553),
+	.Y(n_78490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt1049013 (
+	.A(n_78495),
+	.Y(n_78496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049014 (
+	.A(n_78490),
+	.B(n_58503),
+	.Y(n_78495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049015 (
+	.A(n_78499),
+	.B(n_64050),
+	.Y(n_78500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049016 (
+	.A(n_78498),
+	.B(n_76734),
+	.Y(n_78499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1049017 (
+	.A(n_65034),
+	.B(n_78497),
+	.C(n_49432),
+	.Y(n_78498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049018 (
+	.A(n_59224),
+	.B(n_87553),
+	.Y(n_78497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt1049019 (
+	.A(n_78501),
+	.Y(n_78502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049020 (
+	.A(n_78497),
+	.B(n_65034),
+	.Y(n_78501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049021 (
+	.A(n_78506),
+	.B(n_61621),
+	.Y(n_78507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049022 (
+	.A(n_78505),
+	.B(FE_DBTN72_n_90623),
+	.Y(n_78506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049023 (
+	.A(n_78504),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1295 ),
+	.Y(n_78505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049024 (
+	.A(n_78503),
+	.B(n_46948),
+	.Y(n_78504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049025 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1087 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1072 ),
+	.Y(n_78503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049030 (
+	.A(n_78521),
+	.B(n_78523),
+	.Y(n_78524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049031 (
+	.A(n_78519),
+	.B(n_78520),
+	.Y(n_78521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049032 (
+	.A(n_78516),
+	.B(FE_DBTN19_n_78517),
+	.Y(n_78519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049033 (
+	.A(n_58926),
+	.B(n_90560),
+	.Y(n_78516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049035 (
+	.A(n_77084),
+	.B(n_78330),
+	.Y(n_78517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049036 (
+	.A(n_55540),
+	.B(n_55541),
+	.Y(n_78520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1049037 (
+	.A(FE_DBTN19_n_78517),
+	.B(n_78516),
+	.C(n_78522),
+	.Y(n_78523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1049038 (
+	.A(n_78520),
+	.Y(n_78522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1049040 (
+	.A_N(n_78529),
+	.B(n_79421),
+	.Y(n_78534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049041 (
+	.A(n_78527),
+	.B(n_78528),
+	.Y(n_78529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049042 (
+	.A(n_78526),
+	.B(n_78097),
+	.Y(n_78527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1049043 (
+	.A(n_77504),
+	.Y(n_78526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049044 (
+	.A(n_78098),
+	.B(n_77504),
+	.Y(n_78528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g1049050 (
+	.A(n_78529),
+	.Y(n_78536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049052 (
+	.A(n_78537),
+	.B(n_78538),
+	.Y(n_78539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049053 (
+	.A(n_27140),
+	.B(n_81757),
+	.Y(n_78537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 g1049054 (
+	.A1_N(n_78023),
+	.A2_N(n_80358),
+	.B1(n_26981),
+	.B2(n_40688),
+	.Y(n_78538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1049055 (
+	.A(n_78542),
+	.Y(n_78543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049056 (
+	.A(n_78540),
+	.B(n_78541),
+	.Y(n_78542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049057 (
+	.A(n_63918),
+	.B(n_63917),
+	.Y(n_78540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049058 (
+	.A(n_80358),
+	.B(n_78023),
+	.Y(n_78541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt14 (
+	.A(soc_top_u_dccm_bank_sel[0]),
+	.Y(n_78545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g669 (
+	.A(n_78601),
+	.B(n_78602),
+	.Y(n_78603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g673 (
+	.A(n_78558),
+	.B(n_86894),
+	.Y(n_78601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g714 (
+	.A(n_87547),
+	.B(n_86380),
+	.Y(n_78558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g680 (
+	.A(n_78575),
+	.B(n_78577),
+	.Y(n_78578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g684 (
+	.A(n_78572),
+	.B(n_86898),
+	.Y(n_78575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g689 (
+	.A(n_86895),
+	.B(n_86897),
+	.Y(n_78572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 g700 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1614 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1666 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1668 ),
+	.COUT(n_78623),
+	.SUM(n_78559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1049070 (
+	.A(n_86705),
+	.Y(n_78564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g685 (
+	.A(n_86895),
+	.B(n_86897),
+	.C(n_78576),
+	.Y(n_78577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g711 (
+	.A(n_86898),
+	.Y(n_78576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g678 (
+	.A(n_78598),
+	.Y(n_78599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g686 (
+	.A1(n_78588),
+	.A2(n_78596),
+	.B1(n_78597),
+	.Y(n_78598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g695 (
+	.A(n_87549),
+	.Y(n_78588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g724 (
+	.A(n_78580),
+	.B(n_78581),
+	.Y(n_78582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1049071 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1678 ),
+	.Y(n_78580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g742 (
+	.A(n_77856),
+	.Y(n_78581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g692 (
+	.A(n_86899),
+	.B(n_87550),
+	.Y(n_78596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g690 (
+	.A(n_87550),
+	.B(n_86899),
+	.Y(n_78597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g677 (
+	.A(n_78578),
+	.B(n_78598),
+	.Y(n_78602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g670 (
+	.A(n_78606),
+	.B(n_78608),
+	.Y(n_78609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g671 (
+	.A(n_78604),
+	.B(n_87547),
+	.C(n_86380),
+	.Y(n_78606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g675 (
+	.A1_N(n_78599),
+	.A2_N(n_78578),
+	.B1(n_78599),
+	.B2(n_78578),
+	.Y(n_78604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g672 (
+	.A(n_78607),
+	.B(n_78558),
+	.Y(n_78608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g674 (
+	.A(n_78604),
+	.Y(n_78607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g688 (
+	.A(n_87549),
+	.B(n_87550),
+	.Y(n_78610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g705 (
+	.A(n_87552),
+	.B(n_78621),
+	.Y(n_78404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g708 (
+	.A(n_86376),
+	.B(n_86375),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1835 ),
+	.Y(n_78621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1049078 (
+	.A(n_78634),
+	.B(n_78638),
+	.C(n_78642),
+	.Y(n_78643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt353 (
+	.A(n_78633),
+	.Y(n_78634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049079 (
+	.A(n_78631),
+	.B(n_78632),
+	.Y(n_78633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049080 (
+	.A(n_78630),
+	.B(n_50585),
+	.Y(n_78631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1049081 (
+	.A(n_56745),
+	.B(FE_DBTN70_n_87553),
+	.X(n_78630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g351 (
+	.A(n_78234),
+	.B(n_42781),
+	.Y(n_78632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049084 (
+	.A(n_78636),
+	.B(n_78637),
+	.Y(n_78638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g1049085 (
+	.A1(n_50585),
+	.A2(n_56745),
+	.B1(FE_DBTN70_n_87553),
+	.Y(n_78636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g350 (
+	.A(n_78234),
+	.B(n_36814),
+	.Y(n_78637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1049086 (
+	.A(n_78641),
+	.Y(n_78642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049087 (
+	.A(n_78639),
+	.B(n_78640),
+	.Y(n_78641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049088 (
+	.A(n_86877),
+	.B(n_77482),
+	.Y(n_78639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g348 (
+	.A(n_62452),
+	.B(n_77083),
+	.Y(n_78640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1049092 (
+	.A(n_88170),
+	.B(n_62882),
+	.C(n_62881),
+	.Y(n_78647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049094 (
+	.A(n_88169),
+	.B(n_78649),
+	.Y(n_78650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1049096 (
+	.A(n_88170),
+	.Y(n_78649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049097 (
+	.A(n_78656),
+	.B(n_78659),
+	.Y(n_78660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1049098 (
+	.A(n_78652),
+	.B(FE_DBTN55_n_78653),
+	.C(n_78655),
+	.Y(n_78656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g1049099 (
+	.A(n_28343),
+	.B(n_87553),
+	.Y(n_78652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049101 (
+	.A(n_59227),
+	.B(n_78330),
+	.Y(n_78653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1049102 (
+	.A(n_60106),
+	.B(n_60115),
+	.X(n_78655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049103 (
+	.A(n_78657),
+	.B(n_78658),
+	.Y(n_78659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049104 (
+	.A(FE_DBTN55_n_78653),
+	.B(n_78652),
+	.Y(n_78657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1049105 (
+	.A(n_78655),
+	.Y(n_78658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1049120 (
+	.A(n_78675),
+	.B(n_78676),
+	.C(n_78677),
+	.Y(n_78678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049121 (
+	.A(\soc_top_xbar_to_lsu[d_data] [19]),
+	.B(n_18005),
+	.Y(n_78675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049122 (
+	.A(\soc_top_xbar_to_lsu[d_data] [27]),
+	.B(n_60748),
+	.Y(n_78676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049123 (
+	.A(n_18009),
+	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_q[27]),
+	.Y(n_78677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049124 (
+	.A(n_76625),
+	.B(n_54065),
+	.Y(n_78680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049125 (
+	.A(n_78692),
+	.B(n_78693),
+	.Y(n_78694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g1049126 (
+	.A1_N(n_78691),
+	.A2_N(n_78680),
+	.B1(n_78691),
+	.B2(n_78680),
+	.Y(n_78692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g191 (
+	.A(n_78690),
+	.Y(n_78691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049127 (
+	.A(n_78687),
+	.B(n_78689),
+	.Y(n_78690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049128 (
+	.A(n_78685),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3652 ),
+	.Y(n_78687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049129 (
+	.A(n_78682),
+	.B(n_86901),
+	.Y(n_78685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049130 (
+	.A(n_78681),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2474 ),
+	.Y(n_78682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1049131 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2264 ),
+	.Y(n_78681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g196 (
+	.A(n_86901),
+	.B(n_78682),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3653 ),
+	.Y(n_78689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1049135 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2779 ),
+	.Y(n_78693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049136 (
+	.A(n_78693),
+	.B(n_78691),
+	.Y(n_78695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049137 (
+	.A(n_78680),
+	.B(n_78691),
+	.Y(n_78696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g184 (
+	.A(n_57267),
+	.B(n_87554),
+	.Y(n_78701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049140 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3652 ),
+	.B(n_78681),
+	.Y(n_78697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049142 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2778 ),
+	.B(n_87554),
+	.Y(n_78702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g193 (
+	.A(n_87554),
+	.Y(n_78703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g187 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2779 ),
+	.B(n_78690),
+	.X(n_78706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049146 (
+	.A(n_78050),
+	.B(n_78710),
+	.Y(n_78711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049147 (
+	.A(n_78708),
+	.B(n_78709),
+	.Y(n_78710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049148 (
+	.A(n_77160),
+	.B(n_77161),
+	.Y(n_78708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049149 (
+	.A(n_77170),
+	.B(n_78047),
+	.Y(n_78709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049150 (
+	.A(n_78709),
+	.B(n_78712),
+	.Y(n_78713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1049151 (
+	.A(n_77081),
+	.Y(n_78712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049153 (
+	.A(n_78716),
+	.B(n_78708),
+	.Y(n_78717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1049154 (
+	.A(n_77155),
+	.Y(n_78716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1049155 (
+	.A_N(n_78708),
+	.B(n_77163),
+	.Y(n_78718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049160 (
+	.A(n_90504),
+	.B(n_17802),
+	.Y(n_78720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1049161 (
+	.A(n_81664),
+	.Y(n_78722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049162 (
+	.A(FE_DBTN18_n_78720),
+	.B(n_78726),
+	.Y(n_78727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g1049163 (
+	.A(n_78725),
+	.Y(n_78726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g1049164 (
+	.A(n_17802),
+	.B(n_78722),
+	.Y(n_78725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g123 (
+	.A1(n_78738),
+	.A2(n_78739),
+	.B1(n_78740),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3537 ),
+	.Y(n_78741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049168 (
+	.A(n_86903),
+	.B(n_78737),
+	.Y(n_78738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1049170 (
+	.A1(n_78720),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3791 ),
+	.B1(n_78732),
+	.Y(n_78733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049171 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3791 ),
+	.B(n_78720),
+	.Y(n_78732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049173 (
+	.A(n_78725),
+	.B(FE_DBTN18_n_78720),
+	.Y(n_78737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1049174 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3537 ),
+	.Y(n_78739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1049175 (
+	.A(n_78738),
+	.Y(n_78740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049176 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3537 ),
+	.B(n_78738),
+	.Y(n_78745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1049177 (
+	.A_N(n_78750),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3433 ),
+	.Y(n_78751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1049178 (
+	.A1(n_78732),
+	.A2(n_78749),
+	.B1_N(n_78725),
+	.Y(n_78750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049179 (
+	.A(FE_DBTN18_n_78720),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3790 ),
+	.Y(n_78749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049181 (
+	.A(n_78752),
+	.B(n_78755),
+	.Y(n_78756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049182 (
+	.A(n_47216),
+	.B(n_12737),
+	.Y(n_78752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1049183 (
+	.A(n_78753),
+	.B(n_78754),
+	.Y(n_78755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1049184 (
+	.A(n_80113),
+	.B(n_56961),
+	.Y(n_78753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1049185 (
+	.A(n_12760),
+	.B(n_12763),
+	.Y(n_78754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049186 (
+	.A(FE_DBTN72_n_90623),
+	.B(n_78756),
+	.Y(n_78758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g1049190 (
+	.A1(n_81301),
+	.A2(n_78760),
+	.B1(n_78762),
+	.Y(n_78763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1049191 (
+	.A(n_17944),
+	.Y(n_78760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1049192 (
+	.A(n_78761),
+	.Y(n_78762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1049193 (
+	.A1(soc_top_u_top_u_core_load_store_unit_i_rdata_q[9]),
+	.A2(n_39587),
+	.B1(soc_top_u_top_u_core_load_store_unit_i_rdata_q[17]),
+	.B2(n_18365),
+	.C1(n_20200),
+	.C2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[25]),
+	.Y(n_78761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049194 (
+	.A(n_81282),
+	.B(n_65222),
+	.Y(n_78764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049195 (
+	.A(n_49507),
+	.B(n_86708),
+	.Y(n_78778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1049198 (
+	.A(soc_top_u_dccm_bank_sel[0]),
+	.B(soc_top_u_dccm_bank_sel[1]),
+	.C(n_78768),
+	.Y(n_78769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1049199 (
+	.A(n_78767),
+	.Y(n_78768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049200 (
+	.A(n_47758),
+	.B(soc_top_u_dccm_rdata4[22]),
+	.Y(n_78767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049206 (
+	.A(n_49507),
+	.B(n_86708),
+	.Y(n_78779), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1049207 (
+	.A(n_78781),
+	.Y(n_78782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049208 (
+	.A(n_90685),
+	.B(n_78780),
+	.Y(n_78781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g1049209 (
+	.A(soc_top_u_dccm_bank_sel[0]),
+	.B(n_47758),
+	.C(soc_top_u_dccm_rdata4[18]),
+	.D(soc_top_u_dccm_bank_sel[1]),
+	.Y(n_78780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049217 (
+	.A(n_78430),
+	.B(n_78793),
+	.Y(n_78794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1049218 (
+	.A(n_78791),
+	.B(n_78792),
+	.Y(n_78793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049219 (
+	.A(n_77393),
+	.B(n_77394),
+	.Y(n_78791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049220 (
+	.A(n_77397),
+	.B(n_77396),
+	.Y(n_78792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049221 (
+	.A(n_44964),
+	.B(n_78798),
+	.Y(n_78799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1049222 (
+	.A(n_78795),
+	.B(n_78797),
+	.Y(n_78798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1049223 (
+	.A(n_78796),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1967 ),
+	.Y(n_78795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1049224 (
+	.A(n_78796),
+	.B(n_42363),
+	.Y(n_78797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1049226 (
+	.A1_N(n_78804),
+	.A2_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2722 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2722 ),
+	.B2(n_78804),
+	.Y(n_78805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1049227 (
+	.A1(n_78801),
+	.A2(n_78802),
+	.B1(n_78803),
+	.Y(n_78804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049228 (
+	.A(n_86709),
+	.B(n_57394),
+	.Y(n_78801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 g1049230 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3483 ),
+	.B(n_57405),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2472 ),
+	.COUT(n_78802),
+	.SUM(n_78810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049231 (
+	.A(n_78801),
+	.B(n_78802),
+	.Y(n_78803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1049232 (
+	.A1(n_78807),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2722 ),
+	.B1(n_78808),
+	.X(n_78809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1049233 (
+	.A_N(n_78802),
+	.B(n_78806),
+	.Y(n_78807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1049234 (
+	.A(n_78801),
+	.Y(n_78806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1049235 (
+	.A(n_78803),
+	.Y(n_78808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049236 (
+	.A(n_78810),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2520 ),
+	.Y(n_78813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1049238 (
+	.A(n_78810),
+	.Y(n_78811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049239 (
+	.A(n_78810),
+	.B(n_77473),
+	.Y(n_78814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 g1049240 (
+	.A(n_78817),
+	.Y(n_78818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049241 (
+	.A(n_78815),
+	.B(n_78816),
+	.Y(n_78817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1049242 (
+	.A(n_58239),
+	.B(n_58236),
+	.Y(n_78815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049243 (
+	.A(addinc_ADD_UNS_OP_2_n_1447),
+	.B(n_79002),
+	.Y(n_78816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049248 (
+	.A(n_78848),
+	.B(n_78851),
+	.Y(n_78852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049249 (
+	.A(n_81897),
+	.B(n_78847),
+	.Y(n_78848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049252 (
+	.A(n_55747),
+	.B(n_60090),
+	.Y(n_78847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049253 (
+	.A(n_78849),
+	.B(n_81896),
+	.Y(n_78851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 fopt74 (
+	.A(n_78847),
+	.Y(n_78849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049255 (
+	.A(n_78849),
+	.B(n_81897),
+	.Y(n_78855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049266 (
+	.A(n_78258),
+	.B(n_75920),
+	.Y(n_78864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1049272 (
+	.A(n_78872),
+	.B(n_78875),
+	.Y(n_78876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049273 (
+	.A(n_75866),
+	.B(n_62527),
+	.Y(n_78872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049274 (
+	.A(n_78873),
+	.B(n_78874),
+	.Y(n_78875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049275 (
+	.A(n_77959),
+	.B(n_76654),
+	.Y(n_78873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049276 (
+	.A(n_77914),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2904 ),
+	.Y(n_78874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049287 (
+	.A(n_78897),
+	.B(n_78900),
+	.Y(n_78901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1049288 (
+	.A(n_54474),
+	.B(n_59421),
+	.C(n_54477),
+	.Y(n_78897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049289 (
+	.A(n_78898),
+	.B(n_78899),
+	.Y(n_78900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049290 (
+	.A(n_59418),
+	.B(n_54464),
+	.Y(n_78898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049291 (
+	.A(n_80772),
+	.B(n_80771),
+	.Y(n_78899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g1049293 (
+	.A(n_78903),
+	.B(n_78904),
+	.Y(n_78905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049294 (
+	.A(n_78329),
+	.B(n_78330),
+	.Y(n_78903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1049296 (
+	.A(n_81201),
+	.B(n_58229),
+	.C(FE_DBTN61_n_58241),
+	.Y(n_78904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1049299 (
+	.A(n_78908),
+	.Y(n_78909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049300 (
+	.A(n_54450),
+	.B(n_76853),
+	.Y(n_78908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049307 (
+	.A(n_78924),
+	.B(n_78925),
+	.Y(n_78926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049308 (
+	.A(n_78917),
+	.B(n_78922),
+	.Y(n_78924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049309 (
+	.A(n_54558),
+	.B(n_54560),
+	.Y(n_78917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049311 (
+	.A(n_78918),
+	.B(n_86906),
+	.Y(n_78922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049312 (
+	.A(n_77831),
+	.B(n_54566),
+	.Y(n_78918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049316 (
+	.A(n_54557),
+	.B(n_54559),
+	.Y(n_78925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049324 (
+	.A(n_78933),
+	.B(n_78934),
+	.Y(n_78935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049325 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_840 ),
+	.B(n_64842),
+	.Y(n_78933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049326 (
+	.A(n_78291),
+	.B(FE_DBTN44_n_64842),
+	.Y(n_78934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049334 (
+	.A(n_78951),
+	.B(n_78962),
+	.Y(n_78963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049335 (
+	.A(n_78948),
+	.B(FE_DBTN72_n_90623),
+	.Y(n_78951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1049336 (
+	.A1(FE_DBTN60_n_58731),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1261 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1350 ),
+	.Y(n_78948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049339 (
+	.A(n_78960),
+	.B(n_90623),
+	.Y(n_78962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1049340 (
+	.A1(n_79311),
+	.A2(n_78957),
+	.B1(n_78959),
+	.Y(n_78960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1049343 (
+	.A(n_78956),
+	.Y(n_78957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g1049344 (
+	.A(n_43777),
+	.B(n_79756),
+	.C(n_58054),
+	.D(n_79507),
+	.Y(n_78956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049345 (
+	.A(n_79311),
+	.B(n_78958),
+	.Y(n_78959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049346 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1075 ),
+	.B(n_79750),
+	.Y(n_78958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049374 (
+	.A(n_78999),
+	.B(FE_DBTN71_n_79000),
+	.Y(n_79002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049375 (
+	.A(n_78996),
+	.B(n_78998),
+	.Y(n_78999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1049376 (
+	.A(n_78165),
+	.B(FE_DBTN65_n_58755),
+	.C(addinc_ADD_UNS_OP_2_n_1274),
+	.Y(n_78996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1049377 (
+	.A(n_78997),
+	.Y(n_78998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049378 (
+	.A(n_57864),
+	.B(FE_DBTN69_n_53970),
+	.Y(n_78997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1049380 (
+	.A(FE_DBTN133_n_90635),
+	.B(n_53787),
+	.C(n_78463),
+	.Y(n_79000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049381 (
+	.A(n_79005),
+	.B(n_79007),
+	.Y(n_79008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049382 (
+	.A(n_79003),
+	.B(n_79004),
+	.Y(n_79005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049383 (
+	.A(n_78172),
+	.B(n_62795),
+	.Y(n_79003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049384 (
+	.A(n_77981),
+	.B(n_65309),
+	.Y(n_79004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 fopt1049385 (
+	.A(n_78796),
+	.Y(n_79007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049386 (
+	.A(FE_DBTN47_n_63753),
+	.B(n_56232),
+	.Y(n_78796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049390 (
+	.A(n_79016),
+	.B(n_79020),
+	.Y(n_79021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049391 (
+	.A(n_85640),
+	.B(n_79015),
+	.Y(n_79016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049393 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1502 ),
+	.B(n_90623),
+	.Y(n_79015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g90 (
+	.A(n_86907),
+	.B(n_63755),
+	.Y(n_79019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 fopt98 (
+	.A(n_79019),
+	.Y(n_79020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1049406 (
+	.A_N(n_79034),
+	.B(n_79035),
+	.Y(n_79036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1049407 (
+	.A1(n_66462),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [3]),
+	.B1(n_75558),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [3]),
+	.C1(n_24906),
+	.X(n_79034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g51 (
+	.A(n_58189),
+	.B(n_75848),
+	.Y(n_79035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049408 (
+	.A(n_79037),
+	.B(n_79038),
+	.Y(n_79039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1049409 (
+	.A(n_60574),
+	.B(n_42418),
+	.C(FE_DBTN85_n_59637),
+	.Y(n_79037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1049410 (
+	.A(n_49286),
+	.B(n_59637),
+	.C(n_79719),
+	.Y(n_79038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049412 (
+	.A(n_78539),
+	.B(n_78543),
+	.Y(n_79040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1049413 (
+	.A(n_77454),
+	.B(n_27084),
+	.X(n_79041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1049417 (
+	.A(n_79048),
+	.B(n_79049),
+	.C(n_79050),
+	.Y(n_79051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049418 (
+	.A(n_79046),
+	.B(n_79007),
+	.Y(n_79048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049419 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1873 ),
+	.B(n_62703),
+	.Y(n_79046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049421 (
+	.A(n_53570),
+	.B(n_79007),
+	.Y(n_79049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049422 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1877 ),
+	.B(n_79007),
+	.Y(n_79050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049434 (
+	.A(n_79063),
+	.B(n_87008),
+	.Y(n_79068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049435 (
+	.A(n_80707),
+	.B(n_64035),
+	.Y(n_79063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049446 (
+	.A(n_79075),
+	.B(n_79076),
+	.Y(n_79077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049447 (
+	.A(FE_DBTN92_n_27674),
+	.B(n_53695),
+	.Y(n_79075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049448 (
+	.A(FE_DBTN84_n_84225),
+	.B(n_74341),
+	.Y(n_79076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049449 (
+	.A(n_79081),
+	.B(n_79083),
+	.Y(n_79084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049450 (
+	.A(n_79078),
+	.B(n_79079),
+	.Y(n_79081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049451 (
+	.A(addinc_ADD_UNS_OP_2_n_1897),
+	.B(n_76272),
+	.Y(n_79078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1049453 (
+	.A_N(n_90574),
+	.B(n_79616),
+	.Y(n_79079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049454 (
+	.A(n_79082),
+	.B(addinc_ADD_UNS_OP_2_n_1897),
+	.Y(n_79083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_2 g1049455 (
+	.A(n_79079),
+	.B_N(n_76272),
+	.Y(n_79082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049457 (
+	.A(n_79085),
+	.B(n_79086),
+	.Y(n_79087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g54 (
+	.A(n_55919),
+	.B(n_55929),
+	.Y(n_79085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049458 (
+	.A(n_55927),
+	.B(n_55922),
+	.Y(n_79086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049463 (
+	.A(n_79093),
+	.B(n_79095),
+	.Y(n_79096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049464 (
+	.A(n_58634),
+	.B(FE_DBTN44_n_64842),
+	.Y(n_79093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049465 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_830 ),
+	.B(n_64842),
+	.Y(n_79095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1049478 (
+	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[7]),
+	.A1(n_79112),
+	.S(n_1494),
+	.X(n_79113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1049479 (
+	.A_N(n_79109),
+	.B(n_64142),
+	.Y(n_79112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1049480 (
+	.A(n_64143),
+	.B(n_64145),
+	.C(n_64144),
+	.Y(n_79109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1049482 (
+	.A(n_64142),
+	.Y(n_79110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1049483 (
+	.A(n_79116),
+	.Y(n_79117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049484 (
+	.A(n_79114),
+	.B(n_79115),
+	.Y(n_79116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1049485 (
+	.A1(n_79109),
+	.A2(n_79110),
+	.B1(n_17765),
+	.Y(n_79114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g1049486 (
+	.A(n_64183),
+	.B(n_17765),
+	.X(n_79115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g1049488 (
+	.A(n_56100),
+	.B(n_90656),
+	.C(n_59477),
+	.D(n_70996),
+	.Y(n_79119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1049493 (
+	.A(n_79119),
+	.Y(n_79122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049494 (
+	.A(n_79126),
+	.B(n_79128),
+	.Y(n_79129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g57 (
+	.A(n_79125),
+	.B(n_79122),
+	.Y(n_79126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1049495 (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_138 ),
+	.Y(n_79125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049496 (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_138 ),
+	.B(n_79119),
+	.Y(n_79128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1049498 (
+	.A(n_79132),
+	.B(n_35518),
+	.C(n_79133),
+	.Y(n_79134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049499 (
+	.A(soc_top_u_dccm_bank_sel[1]),
+	.B(n_79131),
+	.Y(n_79132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1049501 (
+	.A(n_25059),
+	.Y(n_79131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1049502 (
+	.A(soc_top_u_dccm_bank_sel[0]),
+	.B(n_24004),
+	.Y(n_79133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049503 (
+	.A(FE_DBTN20_n_79311),
+	.B(n_79135),
+	.Y(n_79136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049504 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1049 ),
+	.B(n_64224),
+	.Y(n_79135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049505 (
+	.A(n_79135),
+	.B(n_57479),
+	.Y(n_79137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049506 (
+	.A(n_79138),
+	.B(n_62552),
+	.Y(n_79139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1049507 (
+	.A(n_62549),
+	.B(n_62550),
+	.Y(n_79138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049512 (
+	.A(FE_DBTN44_n_64842),
+	.B(n_87739),
+	.Y(n_79144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049513 (
+	.A(n_86172),
+	.B(n_79146),
+	.Y(n_79147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1049514 (
+	.A_N(FE_DBTN14_n_80113),
+	.B(n_79145),
+	.Y(n_79146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1049515 (
+	.A(n_58246),
+	.Y(n_79145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049516 (
+	.A(n_48523),
+	.B(n_78052),
+	.Y(n_79148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049517 (
+	.A(FE_DBTN44_n_64842),
+	.B(n_79148),
+	.Y(n_79150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049519 (
+	.A(n_64842),
+	.B(n_79148),
+	.Y(n_79151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049520 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2492 ),
+	.B(n_79148),
+	.Y(n_79152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049522 (
+	.A(n_26021),
+	.B(n_79154),
+	.Y(n_79155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049523 (
+	.A(\soc_top_xbar_to_lsu[d_data] [19]),
+	.B(n_38823),
+	.Y(n_79154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1049526 (
+	.A(n_78545),
+	.B(soc_top_u_dccm_bank_sel[1]),
+	.C(n_79156),
+	.Y(n_79157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1049527 (
+	.A(n_49441),
+	.B(soc_top_u_dccm_rdata3[0]),
+	.X(n_79156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049533 (
+	.A(n_61652),
+	.B(n_79165),
+	.Y(n_79166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049534 (
+	.A(n_49539),
+	.B(n_84334),
+	.Y(n_79165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1049537 (
+	.A(n_79171),
+	.Y(n_79172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g1049538 (
+	.A1(n_79170),
+	.A2(n_39513),
+	.B1(n_39515),
+	.Y(n_79171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049539 (
+	.A(n_86853),
+	.B(n_64625),
+	.Y(n_79170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1049541 (
+	.A(n_79174),
+	.Y(n_79175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1049542 (
+	.A(n_39513),
+	.B(n_79170),
+	.Y(n_79174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 fopt1049543 (
+	.A(n_58079),
+	.Y(n_39513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1049545 (
+	.A_N(n_79178),
+	.B(n_87560),
+	.Y(n_79181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1049546 (
+	.A(n_79176),
+	.B(n_79177),
+	.Y(n_79178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1049547 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2658 ),
+	.Y(n_79176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1049548 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2753 ),
+	.Y(n_79177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1049553 (
+	.A(n_79189),
+	.Y(n_79190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_4 g1049554 (
+	.A(n_79185),
+	.B(n_79188),
+	.Y(n_79189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1049555 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2812 ),
+	.Y(n_79185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049556 (
+	.A(n_79186),
+	.B(n_79187),
+	.Y(n_79188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049557 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2753 ),
+	.B(n_79176),
+	.Y(n_79186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049558 (
+	.A(n_79177),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2658 ),
+	.Y(n_79187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049559 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3127 ),
+	.B(n_79189),
+	.Y(n_79192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049561 (
+	.A(FE_DBTN129_csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_add_365_53_n_3093),
+	.B(n_79189),
+	.Y(n_79193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049562 (
+	.A(n_79194),
+	.B(n_25413),
+	.Y(n_79195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1049563 (
+	.A(n_24972),
+	.B(n_78545),
+	.C(n_25119),
+	.Y(n_79194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g1049564 (
+	.A(n_25132),
+	.B(n_25119),
+	.C(n_78545),
+	.D(n_24972),
+	.Y(n_79196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049570 (
+	.A(n_80166),
+	.B(n_58322),
+	.Y(n_79202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049573 (
+	.A(n_79203),
+	.B(n_79204),
+	.Y(n_79205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt136 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3490 ),
+	.Y(n_79203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1049574 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2302 ),
+	.Y(n_79204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049575 (
+	.A(n_60871),
+	.B(n_86911),
+	.Y(n_79208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049579 (
+	.A(n_79215),
+	.B(n_79218),
+	.Y(n_79219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049580 (
+	.A(n_79213),
+	.B(n_79203),
+	.Y(n_79215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1049581 (
+	.A1_N(n_79204),
+	.A2_N(n_79208),
+	.B1(n_79204),
+	.B2(n_79208),
+	.Y(n_79213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049582 (
+	.A(n_79216),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3490 ),
+	.Y(n_79218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g1049583 (
+	.A1_N(n_79204),
+	.A2_N(n_79208),
+	.B1(n_79204),
+	.B2(n_79208),
+	.Y(n_79216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1049590 (
+	.A1(n_49006),
+	.A2(n_61252),
+	.B1(n_25535),
+	.Y(n_79223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g1049592 (
+	.A(n_26214),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_75 ),
+	.C(n_87563),
+	.X(n_79230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049596 (
+	.A(n_79231),
+	.B(n_79232),
+	.Y(n_79233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g1049597 (
+	.A(n_61248),
+	.B(n_58705),
+	.C(n_69717),
+	.D(n_36724),
+	.Y(n_79231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1049598 (
+	.A(n_58706),
+	.Y(n_79232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049599 (
+	.A(n_79235),
+	.B(n_42656),
+	.Y(n_79236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g1049600 (
+	.A1(soc_top_u_dccm_bank_sel[1]),
+	.A2(n_25060),
+	.B1(n_24413),
+	.C1(soc_top_u_dccm_bank_sel[0]),
+	.Y(n_79235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049606 (
+	.A(n_62770),
+	.B(n_79242),
+	.Y(n_79243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049607 (
+	.A(n_78321),
+	.B(n_78756),
+	.Y(n_79242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049608 (
+	.A(n_56508),
+	.B(n_79244),
+	.Y(n_79245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1049609 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1165),
+	.B(n_76684),
+	.Y(n_79244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049611 (
+	.A(n_43209),
+	.B(n_79770),
+	.Y(n_79249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049615 (
+	.A(n_79249),
+	.B(n_64842),
+	.Y(n_79251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1049616 (
+	.A(n_59245),
+	.B(n_56625),
+	.C(n_79253),
+	.Y(n_79254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1049617 (
+	.A(n_62651),
+	.B(n_60536),
+	.C(n_79252),
+	.Y(n_79253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1049618 (
+	.A(n_75410),
+	.Y(n_79252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049619 (
+	.A(n_79255),
+	.B(FE_DBTN72_n_90623),
+	.Y(n_79256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049620 (
+	.A(n_62638),
+	.B(n_42691),
+	.Y(n_79255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049622 (
+	.A(n_79258),
+	.B(n_57103),
+	.Y(n_79259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1049623 (
+	.A1(n_55041),
+	.A2(n_55043),
+	.B1(n_75738),
+	.Y(n_79258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049624 (
+	.A(n_56407),
+	.B(n_90634),
+	.Y(n_79262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049629 (
+	.A(n_79266),
+	.B(n_78756),
+	.Y(n_79267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049630 (
+	.A(n_79265),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1616 ),
+	.Y(n_79266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1049631 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1227 ),
+	.Y(n_79265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049632 (
+	.A(n_79266),
+	.B(n_79020),
+	.Y(n_79268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049633 (
+	.A(n_79266),
+	.B(n_75376),
+	.Y(n_79269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1049638 (
+	.A1(n_56975),
+	.A2(n_80356),
+	.B1(n_20010),
+	.Y(n_79271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049640 (
+	.A(n_79277),
+	.B(n_79279),
+	.Y(n_79280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g1049641 (
+	.A1(n_79276),
+	.A2(n_46707),
+	.B1_N(FE_DBTN104_n_17765),
+	.Y(n_79277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1049642 (
+	.A1(n_19562),
+	.A2(n_47595),
+	.B1(n_25920),
+	.Y(n_79276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1049643 (
+	.A1(n_81280),
+	.A2(n_17765),
+	.B1_N(n_17963),
+	.Y(n_79279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1049645 (
+	.A(n_79276),
+	.B(n_46707),
+	.Y(n_79281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g1049676 (
+	.A(n_12801),
+	.B(n_58728),
+	.Y(n_79311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049677 (
+	.A(n_79318),
+	.B(n_62646),
+	.Y(n_79319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049678 (
+	.A(n_79315),
+	.B(FE_DBTN20_n_79311),
+	.Y(n_79318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049679 (
+	.A(n_79313),
+	.B(n_79314),
+	.Y(n_79315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049680 (
+	.A(n_62684),
+	.B(n_64842),
+	.Y(n_79313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049681 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_823 ),
+	.B(FE_DBTN44_n_64842),
+	.Y(n_79314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g1049685 (
+	.A(n_90625),
+	.Y(n_79323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049690 (
+	.A(n_79330),
+	.B(FE_DBTN63_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sll_527_36_n_957),
+	.Y(n_79331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049691 (
+	.A(n_79328),
+	.B(n_79329),
+	.Y(n_79330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g127 (
+	.A(n_79327),
+	.B(n_79323),
+	.Y(n_79328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049692 (
+	.A(n_78311),
+	.B(n_46949),
+	.Y(n_79327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049693 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1440 ),
+	.B(n_90623),
+	.Y(n_79329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049694 (
+	.A(n_79330),
+	.B(FE_DBTN54_n_78756),
+	.Y(n_79332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g128 (
+	.A(n_79327),
+	.B(n_90623),
+	.Y(n_79333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1049716 (
+	.A1(n_79357),
+	.A2(n_43191),
+	.B1(n_17765),
+	.Y(n_79358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1049717 (
+	.A(n_81178),
+	.B(FE_DBTN12_n_81281),
+	.C(n_79356),
+	.Y(n_79357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1049719 (
+	.A(n_78436),
+	.Y(n_79356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1049720 (
+	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[25]),
+	.A1(n_79357),
+	.S(n_1494),
+	.X(n_79360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1049724 (
+	.A(n_87720),
+	.Y(n_79363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 g1049727 (
+	.A1(FE_DBTN104_n_17765),
+	.A2(n_79363),
+	.B1(n_79364),
+	.Y(n_79365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049728 (
+	.A(FE_DBTN104_n_17765),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[9]),
+	.Y(n_79364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049729 (
+	.A(n_79365),
+	.B(n_84334),
+	.Y(n_79368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049730 (
+	.A(n_79365),
+	.B(n_58322),
+	.Y(n_79369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1049731  (
+	.A(n_79370),
+	.B(n_79371),
+	.CI(n_79372),
+	.COUT(n_79373),
+	.SUM(n_79377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1049732  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_656 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2112 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2163 ),
+	.COUT(n_79370),
+	.SUM(n_79374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1049733  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_637 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1801 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2181 ),
+	.COUT(n_79375),
+	.SUM(n_79371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1049734  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2169 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2281 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2182 ),
+	.COUT(n_79372),
+	.SUM(n_79376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049735 (
+	.A(n_79377),
+	.B(n_78115),
+	.Y(n_79378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1049736 (
+	.A(n_79377),
+	.Y(n_79379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049737 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2599 ),
+	.B(n_79377),
+	.Y(n_78123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1049741 (
+	.A1(n_25987),
+	.A2(n_25826),
+	.B1(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.X(n_79382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1049742 (
+	.A1(n_62831),
+	.A2(n_65222),
+	.B1_N(n_22834),
+	.Y(n_79383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1049747 (
+	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[2]),
+	.A1(n_79393),
+	.S(n_1494),
+	.X(n_79395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_2 g1049749 (
+	.A1(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.A2(n_79390),
+	.B1(n_79391),
+	.C1(n_79392),
+	.Y(n_79393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 g1049750 (
+	.A1(n_53817),
+	.A2(n_60757),
+	.B1(n_25930),
+	.B2(n_18005),
+	.Y(n_79390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_2 g1049751 (
+	.A1(n_25930),
+	.A2(n_65222),
+	.B1_N(n_22833),
+	.Y(n_79391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049752 (
+	.A(n_60750),
+	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.Y(n_79392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049753 (
+	.A(n_79393),
+	.B(n_17765),
+	.Y(n_79396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1049755 (
+	.A_N(n_54187),
+	.B(n_28481),
+	.C(n_87819),
+	.Y(n_79402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049761 (
+	.A(n_79406),
+	.B(FE_DBTN14_n_80113),
+	.Y(n_79407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049762 (
+	.A(n_79404),
+	.B(n_79405),
+	.Y(n_79406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049763 (
+	.A(n_55250),
+	.B(n_44420),
+	.Y(n_79404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049764 (
+	.A(n_27813),
+	.B(n_75067),
+	.Y(n_79405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049765 (
+	.A(n_79406),
+	.B(n_56745),
+	.Y(n_79408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049766 (
+	.A(n_79406),
+	.B(n_27510),
+	.Y(n_79410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_2 g1049768 (
+	.A1(FE_DBTN70_n_87553),
+	.A2(n_79406),
+	.B1(n_87864),
+	.C1(n_79411),
+	.Y(n_79412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049769 (
+	.A(n_79406),
+	.B(n_59371),
+	.Y(n_79411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049770 (
+	.A(n_79406),
+	.B(n_46646),
+	.Y(n_79413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g1049771 (
+	.A(n_79416),
+	.B(n_78529),
+	.Y(n_79417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049772 (
+	.A(n_79414),
+	.B(n_79415),
+	.Y(n_79416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049773 (
+	.A(n_78864),
+	.B(n_87556),
+	.Y(n_79414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049774 (
+	.A(n_56698),
+	.B(n_56693),
+	.Y(n_79415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1049775 (
+	.A(n_78536),
+	.B(n_79416),
+	.Y(n_79419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g1049777 (
+	.A(n_79416),
+	.B(n_78529),
+	.Y(n_79420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 fopt1049779 (
+	.A(n_79416),
+	.Y(n_79421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049780 (
+	.A(n_61412),
+	.B(n_61406),
+	.Y(n_79423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1049781 (
+	.A(n_61421),
+	.B(FE_DBTN97_n_60382),
+	.X(n_79424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1049782 (
+	.A_N(n_86876),
+	.B(n_79425),
+	.Y(n_79426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049783 (
+	.A(n_79423),
+	.B(n_79424),
+	.Y(n_79425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g1049795 (
+	.A1(n_90490),
+	.A2(soc_top_u_dccm_rdata2[26]),
+	.B1(n_79436),
+	.Y(n_79437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1049796 (
+	.A(n_74435),
+	.Y(n_79436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1049798  (
+	.A(n_87150),
+	.Y(n_79441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1049799  (
+	.A(n_76292),
+	.Y(n_79442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1049802  (
+	.A1(n_79441),
+	.A2(n_79442),
+	.B1(n_79443),
+	.Y(n_79444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1049803  (
+	.A1(n_87150),
+	.A2(n_76292),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1777 ),
+	.Y(n_79443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049804 (
+	.A(n_79444),
+	.B(n_54054),
+	.Y(n_79447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049815 (
+	.A(n_79659),
+	.B(n_55746),
+	.Y(n_79459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1049818 (
+	.A1(n_79461),
+	.A2(n_79462),
+	.B1(n_79463),
+	.Y(n_79464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 fopt1049819 (
+	.A(n_56702),
+	.Y(n_79461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1049820 (
+	.A(n_55198),
+	.Y(n_79462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049821 (
+	.A(n_55705),
+	.B(n_79461),
+	.Y(n_79463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 g1049826 (
+	.A1_N(n_17010),
+	.A2_N(n_25130),
+	.B1(soc_top_u_dccm_rdata1[0]),
+	.B2(n_49445),
+	.Y(n_79467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g1049833 (
+	.A(addinc_ADD_UNS_OP_2_n_553),
+	.B(n_90608),
+	.Y(n_79477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g1049839 (
+	.A(addinc_ADD_UNS_OP_2_n_2129),
+	.B(n_79482),
+	.Y(n_79483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 addinc_ADD_UNS_OP_2_g1049840 (
+	.A(n_87847),
+	.B(n_79698),
+	.Y(n_79482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049843 (
+	.A(n_79487),
+	.B(n_87739),
+	.Y(n_79488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1049844  (
+	.A(n_79764),
+	.Y(n_79487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1049846  (
+	.A(n_79487),
+	.B(n_36248),
+	.Y(n_79489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1049847  (
+	.A(n_79487),
+	.B(n_80800),
+	.Y(n_79490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1049848  (
+	.A(n_79487),
+	.B(n_63902),
+	.Y(n_79491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049850 (
+	.A(n_79487),
+	.B(n_46287),
+	.Y(n_79493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1049851  (
+	.A(n_79487),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [16]),
+	.Y(n_79494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1049852  (
+	.A(n_79487),
+	.B(n_58790),
+	.Y(n_79495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1049853  (
+	.A(n_79487),
+	.B(n_30804),
+	.Y(n_79496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1049854  (
+	.A(n_79487),
+	.B(n_36245),
+	.Y(n_79498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1049856  (
+	.A1(n_79487),
+	.A2(n_61535),
+	.B1(FE_DBTN35_n_69877),
+	.B2(n_79580),
+	.Y(n_79499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049857 (
+	.A(n_79487),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [20]),
+	.Y(n_79500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1049858  (
+	.A(n_79487),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [31]),
+	.Y(n_79501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1049859  (
+	.A(n_79487),
+	.B(n_38315),
+	.Y(n_79502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1049860  (
+	.A(n_79487),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [23]),
+	.Y(n_79503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1049861  (
+	.A(n_79487),
+	.B(n_87811),
+	.Y(n_79504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1049862  (
+	.A(n_79487),
+	.B(n_46335),
+	.Y(n_79505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1049863  (
+	.A(n_79487),
+	.B(n_59675),
+	.Y(n_79506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1049864  (
+	.A(n_79487),
+	.B(n_78004),
+	.Y(n_79507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1049865  (
+	.A(n_79487),
+	.B(n_55070),
+	.Y(n_79508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1049866  (
+	.A(n_79487),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [28]),
+	.Y(n_79509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1049867  (
+	.A1(n_53367),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3590 ),
+	.B1(n_38967),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3585 ),
+	.X(n_79514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g1049869 (
+	.A(n_79510),
+	.B(n_79511),
+	.Y(n_38967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1049870  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_376 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_277 ),
+	.Y(n_79510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1049871  (
+	.A(n_34730),
+	.B(n_78035),
+	.Y(n_79511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1049872  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_922 ),
+	.B(n_38967),
+	.Y(n_79515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_12 fopt1049873 (
+	.A(n_38967),
+	.Y(n_38975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1049874  (
+	.A1(n_53367),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_909 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1132 ),
+	.B2(n_38967),
+	.X(n_79517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1049876  (
+	.A1(n_38967),
+	.A2(n_53350),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1566 ),
+	.Y(n_79519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049877 (
+	.A(n_78647),
+	.B(n_78650),
+	.Y(n_79520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1049878 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_1766),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_660),
+	.B1_N(n_79522),
+	.Y(n_79524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g1049880 (
+	.A(n_79521),
+	.B(n_79520),
+	.Y(n_79522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 WALLACE_CSA_DUMMY_OP_groupi_g1049881 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_300),
+	.Y(n_79521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 WALLACE_CSA_DUMMY_OP_groupi_g1049882 (
+	.A_N(n_79522),
+	.B(n_48408),
+	.Y(n_79525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g1049883 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_660),
+	.B(n_79522),
+	.Y(n_79526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049884 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2103 ),
+	.B(n_76712),
+	.Y(n_79527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049885 (
+	.A(n_59274),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2086 ),
+	.Y(n_79528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049886 (
+	.A(n_76633),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2083 ),
+	.Y(n_79529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049887 (
+	.A(n_54385),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2084 ),
+	.Y(n_79530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1049888 (
+	.A(n_79531),
+	.Y(n_79533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g1049890 (
+	.A(n_79527),
+	.B(n_79528),
+	.C(n_79529),
+	.D(n_79530),
+	.Y(n_79531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049891 (
+	.A(n_79531),
+	.B(n_59316),
+	.Y(n_79534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g1049897 (
+	.A(n_79694),
+	.B(n_86924),
+	.Y(n_79541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1049899 (
+	.A1(n_79542),
+	.A2(n_59252),
+	.B1(n_79544),
+	.Y(n_79545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049902 (
+	.A(n_59252),
+	.B(n_30907),
+	.Y(n_79544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1049904  (
+	.A(FE_DBTN78_n_81343),
+	.B(n_79545),
+	.Y(n_79548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g1049910 (
+	.A(n_88149),
+	.B(n_80340),
+	.Y(n_79554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049916 (
+	.A(n_79558),
+	.B(n_80127),
+	.Y(n_79559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049917 (
+	.A(n_86168),
+	.B(n_78233),
+	.Y(n_79558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049918 (
+	.A(n_79559),
+	.B(n_62021),
+	.Y(n_79561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1049919 (
+	.A(n_79559),
+	.B(n_62021),
+	.C(n_62018),
+	.Y(n_79563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31o_1 g1049921 (
+	.A1(n_79559),
+	.A2(n_76719),
+	.A3(n_55285),
+	.B1(n_62018),
+	.X(n_79564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 WALLACE_CSA_DUMMY_OP_groupi_g1049922 (
+	.A1(n_54354),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_241),
+	.B1(WALLACE_CSA_DUMMY_OP_groupi_n_230),
+	.X(n_79565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 WALLACE_CSA_DUMMY_OP_groupi_g1049923 (
+	.A1(n_78439),
+	.A2(n_78156),
+	.B1(n_79567),
+	.X(n_79569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049925 (
+	.A(n_79565),
+	.B(n_79566),
+	.Y(n_79567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049926 (
+	.A(n_60649),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_805),
+	.Y(n_79566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049928 (
+	.A(n_55956),
+	.B(n_75692),
+	.Y(n_79571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049929 (
+	.A(n_58701),
+	.B(n_58700),
+	.Y(n_79572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049931 (
+	.A(n_79571),
+	.B(n_79572),
+	.Y(n_79573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 addinc_ADD_UNS_OP_2_g1049932 (
+	.A1(n_79573),
+	.A2(n_88193),
+	.B1(addinc_ADD_UNS_OP_2_n_326),
+	.X(n_79575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049935 (
+	.A(n_79578),
+	.B(n_79579),
+	.Y(n_79580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049936 (
+	.A(n_59672),
+	.B(n_56735),
+	.Y(n_79578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049937 (
+	.A(n_59252),
+	.B(n_30921),
+	.Y(n_79579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1049949 (
+	.A(n_81898),
+	.Y(n_79592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1049953  (
+	.A(n_43384),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1654 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3524 ),
+	.COUT(n_79596),
+	.SUM(n_79597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1049954 (
+	.A(n_54054),
+	.Y(n_79598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1049955 (
+	.A1(n_54052),
+	.A2(n_79601),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3420 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2199 ),
+	.X(n_79602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1049956 (
+	.A1(n_79596),
+	.A2(n_79599),
+	.B1(n_79444),
+	.B2(n_79598),
+	.X(n_79601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049957 (
+	.A(n_80386),
+	.B(n_54054),
+	.Y(n_79599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_2 g1049959 (
+	.A(n_79601),
+	.B(n_54082),
+	.X(n_79604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1049961 (
+	.A_N(n_55961),
+	.B(n_79573),
+	.Y(n_79605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049962 (
+	.A(FE_DBTN22_n_78025),
+	.B(FE_DBTN67_n_55310),
+	.Y(n_79606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g1049963 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1125),
+	.B(n_79607),
+	.Y(n_79608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049964 (
+	.A(n_79605),
+	.B(n_79606),
+	.Y(n_79607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1049965 (
+	.A(n_79607),
+	.B(n_57259),
+	.C(n_57262),
+	.Y(n_79609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g1049966 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1813),
+	.B(n_79607),
+	.Y(n_79611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 WALLACE_CSA_DUMMY_OP_groupi_g1049968 (
+	.A(n_42566),
+	.B_N(n_79607),
+	.Y(n_79612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049969 (
+	.A(n_87140),
+	.B(n_79607),
+	.Y(n_79613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g1049972 (
+	.A(n_53846),
+	.B(n_53847),
+	.Y(n_79616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049973 (
+	.A(n_90604),
+	.B(n_53726),
+	.Y(n_79618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g1049975 (
+	.A(n_90604),
+	.Y(n_79620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1049977 (
+	.A(n_54015),
+	.Y(n_79621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1049979 (
+	.A_N(n_79623),
+	.B(addinc_ADD_UNS_OP_2_n_556),
+	.Y(n_79624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 WALLACE_CSA_DUMMY_OP_groupi_g1049980 (
+	.A(n_79621),
+	.B(n_80324),
+	.Y(n_79623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 WALLACE_CSA_DUMMY_OP_groupi_g1049981 (
+	.A1(n_80324),
+	.A2(n_79621),
+	.B1(n_79623),
+	.Y(n_79626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g1049983 (
+	.A(n_76725),
+	.B(n_79623),
+	.Y(n_79627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049986 (
+	.A(n_61429),
+	.B(n_79630),
+	.Y(n_79631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049987 (
+	.A(n_89322),
+	.B(n_89323),
+	.Y(n_79630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049988 (
+	.A(FE_DBTN51_n_61429),
+	.B(n_79630),
+	.Y(n_79632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1049989 (
+	.A(n_79630),
+	.Y(n_79633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1049992 (
+	.A(n_53873),
+	.B(n_55952),
+	.Y(n_79636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1049993 (
+	.A(n_91007),
+	.B(n_55939),
+	.Y(n_79637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049994 (
+	.A(n_79638),
+	.B(n_55497),
+	.Y(n_79640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049996 (
+	.A(n_79636),
+	.B(n_79637),
+	.Y(n_79638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1049997 (
+	.A(addinc_ADD_UNS_OP_2_n_252),
+	.B(n_79638),
+	.Y(n_79641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 WALLACE_CSA_DUMMY_OP_groupi_g1049998 (
+	.A(n_79644),
+	.Y(n_79645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1049999  (
+	.A(n_80281),
+	.B(n_79643),
+	.Y(n_79644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1050001  (
+	.A(n_80282),
+	.B(n_76655),
+	.Y(n_79643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g1050003 (
+	.A(n_79644),
+	.B(n_23757),
+	.Y(n_79648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 addinc_ADD_UNS_OP_2_g1050009 (
+	.A1(n_79725),
+	.A2(FE_DBTN135_n_88477),
+	.B1_N(addinc_ADD_UNS_OP_2_n_798),
+	.Y(n_79654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 fopt1050013 (
+	.A(n_55732),
+	.Y(n_79657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1050014 (
+	.A(n_75735),
+	.Y(n_79658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g1050016 (
+	.A(n_79657),
+	.B(n_79658),
+	.Y(n_79659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 addinc_ADD_UNS_OP_2_g1050017 (
+	.A(addinc_ADD_UNS_OP_2_n_651),
+	.B_N(n_79659),
+	.Y(n_79661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1050020 (
+	.A1(n_79659),
+	.A2(n_60593),
+	.B1_N(n_55746),
+	.X(n_79664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1050021 (
+	.A1(n_80246),
+	.A2(n_70348),
+	.B1(n_79659),
+	.X(n_79665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1050022 (
+	.A(n_80246),
+	.B(n_79659),
+	.C(n_70348),
+	.Y(n_79666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 addinc_ADD_UNS_OP_2_g1050023 (
+	.A(n_51091),
+	.B(n_79659),
+	.C(n_51093),
+	.Y(n_79667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 g1050025 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2138 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2074 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2359 ),
+	.COUT(n_79669),
+	.SUM(n_79670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1050026 (
+	.A(n_78152),
+	.B(n_87536),
+	.Y(n_79671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1050027 (
+	.A(n_79672),
+	.B(n_38242),
+	.Y(n_79673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 addinc_ADD_UNS_OP_2_g1050028 (
+	.A(n_79670),
+	.B(n_79671),
+	.Y(n_79672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 addinc_ADD_UNS_OP_2_g1050029 (
+	.A1(n_79672),
+	.A2(addinc_ADD_UNS_OP_2_n_804),
+	.B1(n_56722),
+	.Y(n_79674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g1050030 (
+	.A(n_79672),
+	.B(addinc_ADD_UNS_OP_2_n_538),
+	.Y(n_79676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g1050032 (
+	.A(n_79672),
+	.Y(n_79677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g1050040 (
+	.A(addinc_ADD_UNS_OP_2_n_6),
+	.B(n_31041),
+	.Y(n_79685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_2 g1050041 (
+	.A1(n_76902),
+	.A2(n_79687),
+	.B1_N(addinc_ADD_UNS_OP_2_n_1872),
+	.Y(n_79688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g1050042 (
+	.A(n_79686),
+	.B(n_79685),
+	.Y(n_79687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g1050043 (
+	.A(addinc_ADD_UNS_OP_2_n_444),
+	.B(n_56598),
+	.Y(n_79686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 addinc_ADD_UNS_OP_2_g1050044 (
+	.A1(n_76619),
+	.A2(n_75219),
+	.B1(n_79687),
+	.Y(n_79690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 addinc_ADD_UNS_OP_2_g1050046 (
+	.A1(n_79687),
+	.A2(addinc_ADD_UNS_OP_2_n_391),
+	.B1(n_76619),
+	.B2(addinc_ADD_UNS_OP_2_n_928),
+	.C1(addinc_ADD_UNS_OP_2_n_442),
+	.Y(n_79691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1050047  (
+	.A(n_89295),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3088 ),
+	.Y(n_39894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1050048  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3177 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3363 ),
+	.Y(n_79693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 addinc_ADD_UNS_OP_2_g1050050 (
+	.A(n_39894),
+	.B(n_79693),
+	.X(n_79694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g1050051 (
+	.A1(n_58922),
+	.A2(n_79694),
+	.B1_N(n_86924),
+	.X(n_79697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g1050053 (
+	.A(n_79694),
+	.B(addinc_ADD_UNS_OP_2_n_469),
+	.Y(n_79698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1050060 (
+	.A(n_70340),
+	.B(n_79708),
+	.Y(n_79710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1050062  (
+	.A(n_79706),
+	.B(n_79705),
+	.C(n_79707),
+	.Y(n_79708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1050063  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1855 ),
+	.B(n_54681),
+	.Y(n_79705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1050064  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1809 ),
+	.B(n_74468),
+	.C(n_62993),
+	.X(n_79706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1050065  (
+	.A(n_75404),
+	.B(n_58664),
+	.Y(n_79707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1050066 (
+	.A(n_79708),
+	.Y(n_79711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_2 g1050067 (
+	.A1(\soc_top_u_top_u_core_fp_operands[2] [2]),
+	.A2(n_61059),
+	.B1_N(n_46730),
+	.Y(n_79714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1050070 (
+	.A(n_61059),
+	.B(n_26703),
+	.Y(n_79716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1050072 (
+	.A(n_61059),
+	.B(n_26754),
+	.Y(n_79717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1050074 (
+	.A(n_61059),
+	.B(n_26508),
+	.Y(n_79719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1050075 (
+	.A(n_61059),
+	.B(n_26710),
+	.Y(n_79720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1050076 (
+	.A(n_61059),
+	.B(n_26777),
+	.Y(n_79721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1050077 (
+	.A(\soc_top_u_top_u_core_fp_operands[2] [11]),
+	.B(n_61059),
+	.Y(n_79722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g1050078 (
+	.A(n_79725),
+	.Y(n_79726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1050079 (
+	.A(n_80245),
+	.B(n_79724),
+	.Y(n_79725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1050081 (
+	.A(n_51091),
+	.B(n_60593),
+	.C(n_79659),
+	.Y(n_79724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g1050082 (
+	.A(n_79725),
+	.B(n_51114),
+	.Y(n_79727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g1050084 (
+	.A1(n_79725),
+	.A2(n_88476),
+	.B1_N(n_55659),
+	.Y(n_79730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g1050090 (
+	.A(n_88459),
+	.B(n_58216),
+	.Y(n_79736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1050095 (
+	.A(FE_DBTN44_n_64842),
+	.B(FE_DBTN78_n_81343),
+	.Y(n_79741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1050098  (
+	.A(FE_DBTN44_n_64842),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [31]),
+	.Y(n_79743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1050099  (
+	.A(FE_DBTN44_n_64842),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [20]),
+	.Y(n_79744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1050101  (
+	.A_N(FE_DBTN78_n_81343),
+	.B(n_64842),
+	.Y(n_79746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1050102 (
+	.A(FE_DBTN15_n_79746),
+	.B(n_79464),
+	.Y(n_79748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1050104  (
+	.A1(FE_DBTN15_n_79746),
+	.A2(n_58790),
+	.B1_N(n_55207),
+	.Y(n_79750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1050106  (
+	.A(FE_DBTN15_n_79746),
+	.B(n_36246),
+	.Y(n_79751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1050107  (
+	.A(FE_DBTN15_n_79746),
+	.B(n_36249),
+	.Y(n_79752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1050108  (
+	.A(FE_DBTN15_n_79746),
+	.B(n_40660),
+	.Y(n_79753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1050109 (
+	.A(FE_DBTN15_n_79746),
+	.B(n_79148),
+	.Y(n_79754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1050110 (
+	.A(FE_DBTN15_n_79746),
+	.B(n_87739),
+	.Y(n_79755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1050111  (
+	.A(FE_DBTN15_n_79746),
+	.B(n_80800),
+	.Y(n_79756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1050112  (
+	.A(FE_DBTN15_n_79746),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [38]),
+	.Y(n_79757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1050113  (
+	.A(FE_DBTN15_n_79746),
+	.B(n_58657),
+	.Y(n_79758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1050114 (
+	.A(FE_DBTN15_n_79746),
+	.B(n_64238),
+	.Y(n_79759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1050115  (
+	.A(FE_DBTN15_n_79746),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [30]),
+	.Y(n_79760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1050118  (
+	.A(n_64842),
+	.B(FE_DBTN78_n_81343),
+	.Y(n_79764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1050120 (
+	.A_N(FE_DBTN78_n_81343),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_767 ),
+	.Y(n_79765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1050121  (
+	.A(FE_DBTN78_n_81343),
+	.B(n_46329),
+	.Y(n_79767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1050124  (
+	.A(FE_DBTN78_n_81343),
+	.B(n_36237),
+	.Y(n_79769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1050125 (
+	.A(FE_DBTN78_n_81343),
+	.B(n_36246),
+	.Y(n_79770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1050133 (
+	.A(n_57772),
+	.B(n_88149),
+	.Y(n_79778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1050483 (
+	.A(n_80119),
+	.B(n_80121),
+	.Y(n_80122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1050484 (
+	.A(n_80115),
+	.B(n_80116),
+	.Y(n_80119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1050485 (
+	.A(n_80238),
+	.B(n_80113),
+	.Y(n_80115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1050488 (
+	.A(n_27725),
+	.B(n_27630),
+	.Y(n_80111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g1050489 (
+	.A(n_27284),
+	.B(n_27229),
+	.Y(n_80113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1050490 (
+	.A(n_76671),
+	.B(n_77913),
+	.C(FE_DBTN14_n_80113),
+	.Y(n_80116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt109 (
+	.A(n_80120),
+	.Y(n_80121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1050491 (
+	.A(n_27548),
+	.B(n_27408),
+	.C(FE_DBTN70_n_87553),
+	.Y(n_80120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt107 (
+	.A(n_80126),
+	.Y(n_80127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1050495 (
+	.A(n_27548),
+	.B(n_27408),
+	.C(FE_DBTN70_n_87553),
+	.Y(n_80126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1050497 (
+	.A(n_86308),
+	.B(n_80141),
+	.Y(n_80142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1050499 (
+	.A(n_80135),
+	.B(n_80136),
+	.C(n_80138),
+	.Y(n_80139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1050500 (
+	.A(n_80132),
+	.B(n_80134),
+	.Y(n_80135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1050501 (
+	.A(n_80130),
+	.B(n_80131),
+	.Y(n_80132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1050502 (
+	.A(n_15652),
+	.B(n_29254),
+	.Y(n_80130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1050503 (
+	.A(n_15640),
+	.B(n_87802),
+	.Y(n_80131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 g1050504 (
+	.A(n_80133),
+	.Y(n_80134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1050505 (
+	.A(n_15653),
+	.B(n_12684),
+	.Y(n_80133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1050506 (
+	.A(n_63858),
+	.B(n_60707),
+	.Y(n_80136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g1050507 (
+	.A(n_80407),
+	.Y(n_80138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1050508 (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_109 ),
+	.B(n_63619),
+	.Y(n_80141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_4 g215 (
+	.A1(n_80145),
+	.A2(n_80147),
+	.B1(n_25064),
+	.C1(n_59356),
+	.Y(n_80148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g1050509 (
+	.A(n_19562),
+	.B(n_80144),
+	.X(n_80145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1050510 (
+	.A1(n_75848),
+	.A2(n_86717),
+	.B1(n_63142),
+	.Y(n_80144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1050512 (
+	.A(n_80146),
+	.Y(n_80147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_2 g1050513 (
+	.A_N(n_86717),
+	.B(n_79467),
+	.C(n_86716),
+	.Y(n_80146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1050514 (
+	.A_N(n_80144),
+	.B(n_80146),
+	.Y(n_80149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1050515 (
+	.A(n_79467),
+	.B(n_86716),
+	.Y(n_79471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1050516 (
+	.A(n_74858),
+	.B(n_12293),
+	.C(n_80151),
+	.Y(n_80152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1050517 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [3]),
+	.A2(n_61094),
+	.B1(n_73859),
+	.Y(n_80151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1050518 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [3]),
+	.B(n_61094),
+	.Y(n_80155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1050524 (
+	.A(FE_DBTN159_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_leading_zero_count_3),
+	.B(n_75455),
+	.Y(n_80162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1050526 (
+	.A(FE_DBTN159_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_leading_zero_count_3),
+	.B(n_61094),
+	.Y(n_80163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1050527 (
+	.A(n_80165),
+	.B(n_87006),
+	.Y(n_80166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1050528 (
+	.A(n_80164),
+	.B(n_17765),
+	.Y(n_80165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1050529 (
+	.A(FE_DBTN10_n_85255),
+	.B(n_37743),
+	.Y(n_80164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1050530 (
+	.A(n_80171),
+	.Y(n_80172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1050531 (
+	.A(n_80167),
+	.B(n_80170),
+	.Y(n_80171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1050532 (
+	.A(n_89669),
+	.B(n_46646),
+	.Y(n_80167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1050533 (
+	.A(n_80169),
+	.B(n_46644),
+	.Y(n_80170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1050534 (
+	.A(n_74873),
+	.B(n_80168),
+	.Y(n_80169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1050535 (
+	.A(n_54757),
+	.B(FE_DBTN96_n_57702),
+	.Y(n_80168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1050536 (
+	.A(n_80174),
+	.B(n_55552),
+	.Y(n_80176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1050537 (
+	.A(n_74873),
+	.B(n_80168),
+	.C(n_87553),
+	.Y(n_80174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1050540 (
+	.A(n_80169),
+	.B(n_80113),
+	.Y(n_80178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1050542 (
+	.A(n_80169),
+	.Y(n_80179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1050548 (
+	.A1_N(n_87791),
+	.A2_N(n_63031),
+	.B1(n_87791),
+	.B2(n_63031),
+	.Y(n_80188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_2 g1050551 (
+	.A1(n_90647),
+	.A2(n_74712),
+	.B1(n_44461),
+	.X(n_80185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1050552 (
+	.A_N(n_87791),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_310 ),
+	.Y(n_80190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1050554 (
+	.A(n_87769),
+	.B(n_87791),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_82 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1050556 (
+	.A(n_78905),
+	.B(FE_DBTN13_n_80192),
+	.C(n_78909),
+	.Y(n_80194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1050558 (
+	.A(n_28545),
+	.B(n_28189),
+	.Y(n_80192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1050559 (
+	.A(FE_DBTN13_n_80192),
+	.B(n_78905),
+	.C(n_62085),
+	.Y(n_80196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1050560 (
+	.A(FE_DBTN13_n_80192),
+	.B(n_78905),
+	.Y(n_80198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1050562 (
+	.A(n_78905),
+	.B(FE_DBTN13_n_80192),
+	.Y(n_80199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1050583 (
+	.A(n_80222),
+	.B(n_268),
+	.C(FE_DBTN31_n_73576),
+	.Y(n_80224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1050584 (
+	.A(n_80221),
+	.B(n_69916),
+	.Y(n_80222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1050585 (
+	.A(n_61253),
+	.B(n_25540),
+	.Y(n_80221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1050589 (
+	.A(n_80221),
+	.Y(n_80225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1050590 (
+	.A(n_57001),
+	.B(n_80221),
+	.Y(n_80228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1050591 (
+	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[3]),
+	.A1(n_80230),
+	.S(n_1494),
+	.X(n_80231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1050592 (
+	.A(n_80229),
+	.B(n_79382),
+	.C(n_79383),
+	.Y(n_80230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1050593 (
+	.A(n_78678),
+	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.Y(n_80229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1050594 (
+	.A(n_80230),
+	.B(n_17765),
+	.Y(n_80232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1050595 (
+	.A(n_80235),
+	.B(n_80236),
+	.Y(n_80237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1050596 (
+	.A(n_80233),
+	.B(n_57702),
+	.Y(n_80235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1050597 (
+	.A(n_27916),
+	.B(n_27910),
+	.Y(n_80233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g1050599 (
+	.A(n_80111),
+	.B(n_87553),
+	.X(n_80236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1050600 (
+	.A(n_80235),
+	.B(n_80111),
+	.Y(n_80238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1050601 (
+	.A(n_44420),
+	.B(n_80233),
+	.Y(n_80239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1050602 (
+	.A(n_80233),
+	.B(n_57163),
+	.Y(n_80240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1050603 (
+	.A(n_80244),
+	.Y(n_80245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1050604 (
+	.A1(n_55746),
+	.A2(n_80242),
+	.B1(n_80243),
+	.Y(n_80244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1050605 (
+	.A(n_54268),
+	.B(n_80241),
+	.Y(n_80242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1050606 (
+	.A(n_54271),
+	.B(n_70347),
+	.Y(n_80241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1050607 (
+	.A(n_80241),
+	.B(n_54268),
+	.Y(n_80243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1050608 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_63),
+	.B(n_80241),
+	.Y(n_80246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 fopt1050609 (
+	.A(n_80241),
+	.Y(n_80247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1050614 (
+	.A(n_88185),
+	.B(n_78161),
+	.Y(n_80254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1050619 (
+	.A(n_86915),
+	.B(n_76854),
+	.Y(n_80263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1050622 (
+	.A(n_42408),
+	.B(n_86718),
+	.Y(n_80257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1050627 (
+	.A(n_75392),
+	.B(n_87566),
+	.X(n_80265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1050628 (
+	.A(n_59266),
+	.B(n_80267),
+	.C(n_42354),
+	.Y(n_80268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1050629 (
+	.A(n_80266),
+	.B(n_75448),
+	.Y(n_80267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1050630 (
+	.A(n_28373),
+	.B(n_27923),
+	.Y(n_80266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1050631 (
+	.A(n_59266),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2802 ),
+	.C(n_80267),
+	.Y(n_80269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1050632 (
+	.A(n_59266),
+	.B(n_80267),
+	.Y(n_80270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1050633 (
+	.A(n_80266),
+	.B(n_27548),
+	.Y(n_80272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g1050636 (
+	.A(n_60607),
+	.B(n_28271),
+	.C(n_80274),
+	.D(n_80273),
+	.Y(n_80275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1050637 (
+	.A(n_55254),
+	.B(n_46646),
+	.Y(n_80273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1050638 (
+	.A(n_87444),
+	.Y(n_80274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1050639 (
+	.A(n_60607),
+	.B(n_28271),
+	.C(n_80273),
+	.Y(n_80277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1050640 (
+	.A(n_80279),
+	.B(n_87735),
+	.Y(n_80281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1050641 (
+	.A(n_80278),
+	.B(n_28615),
+	.C(n_57307),
+	.Y(n_80279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1050642 (
+	.A(n_78447),
+	.B(n_87553),
+	.Y(n_80278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1050644 (
+	.A(n_80278),
+	.B(n_28615),
+	.Y(n_80282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1050646 (
+	.A(n_77214),
+	.B(FE_DBTN25_n_78248),
+	.Y(n_80284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 fopt191 (
+	.A(n_80285),
+	.Y(n_80286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g176 (
+	.A(n_77214),
+	.B(FE_DBTN25_n_78248),
+	.Y(n_80285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1050647 (
+	.A(n_80291),
+	.B(n_80299),
+	.Y(n_80300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g178 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1957 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1624 ),
+	.Y(n_80291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g185 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1957 ),
+	.Y(n_80287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1050650 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1624 ),
+	.Y(n_80289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1050651 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1624 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1957 ),
+	.B1(n_80298),
+	.Y(n_80299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1050652 (
+	.A(n_80294),
+	.B(n_86916),
+	.Y(n_80298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g179 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3592 ),
+	.B(n_78248),
+	.Y(n_80294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g175 (
+	.A(n_80284),
+	.Y(n_80295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1050657 (
+	.A(n_80309),
+	.Y(n_80310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1050658 (
+	.A(n_80304),
+	.B(n_80308),
+	.Y(n_80309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1050659 (
+	.A1(n_80301),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1957 ),
+	.B1(n_80303),
+	.Y(n_80304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1050660 (
+	.A(n_80289),
+	.B(n_80298),
+	.Y(n_80301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g164 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1957 ),
+	.B(n_80302),
+	.Y(n_80303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1050661 (
+	.A(n_80298),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1624 ),
+	.Y(n_80302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1050662 (
+	.A(n_80305),
+	.B(n_87567),
+	.Y(n_80308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1050663 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1957 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1624 ),
+	.C(n_80298),
+	.Y(n_80305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g1050666 (
+	.A1_N(n_37589),
+	.A2_N(FE_DBTN25_n_78248),
+	.B1(n_80295),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3570 ),
+	.Y(n_80312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1050668 (
+	.A(n_80316),
+	.B(FE_DBTN85_n_59637),
+	.Y(n_80317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1050669 (
+	.A(n_40426),
+	.B(n_80315),
+	.Y(n_80316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1050670 (
+	.A(n_61059),
+	.B(n_80314),
+	.Y(n_80315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1050671 (
+	.A(n_39671),
+	.B(\soc_top_u_top_u_core_fp_operands[2] [22]),
+	.X(n_80314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1050673 (
+	.A(n_59637),
+	.B(n_80316),
+	.C(n_42357),
+	.Y(n_80319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1050674 (
+	.A(n_54012),
+	.B(n_27510),
+	.Y(n_80320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g1050675 (
+	.A(n_28646),
+	.Y(n_80321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1050676 (
+	.A(n_80323),
+	.Y(n_80324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1050677 (
+	.A(n_80321),
+	.B(n_80320),
+	.C(n_80322),
+	.Y(n_80323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1050678 (
+	.A(n_55520),
+	.B(n_55522),
+	.Y(n_80322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1050679 (
+	.A(n_80323),
+	.B(n_54015),
+	.Y(n_80325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1050680  (
+	.A(n_80328),
+	.B(n_90623),
+	.Y(n_80329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1050681  (
+	.A(n_80326),
+	.B(n_80327),
+	.Y(n_80328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1050682  (
+	.A(FE_DBTN20_n_79311),
+	.B(n_53231),
+	.Y(n_80326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1050683  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1176 ),
+	.B(n_79311),
+	.Y(n_80327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1050684  (
+	.A(n_80328),
+	.B(FE_DBTN72_n_90623),
+	.Y(n_80330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1050685 (
+	.A(FE_DBTN96_n_57702),
+	.B(n_80333),
+	.Y(n_80334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1050686 (
+	.A(n_80331),
+	.B(n_80332),
+	.Y(n_80333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1050687 (
+	.A(n_27922),
+	.Y(n_80331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1050688 (
+	.A(n_79077),
+	.Y(n_80332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1050689 (
+	.A(n_80333),
+	.B(n_57702),
+	.Y(n_80335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1050690 (
+	.A(n_80333),
+	.B(n_57163),
+	.Y(n_80336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1050691 (
+	.A(n_80339),
+	.B(n_78852),
+	.Y(n_80340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1050692 (
+	.A(n_61429),
+	.B(n_79630),
+	.Y(n_80339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1050698 (
+	.A(n_56663),
+	.B(n_56661),
+	.Y(n_80342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_4 g1050699 (
+	.A(soc_top_u_top_u_core_fp_alu_operator[0]),
+	.B_N(soc_top_u_top_u_core_fp_alu_operator[1]),
+	.X(n_80345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1050700 (
+	.A(n_82242),
+	.B(n_80347),
+	.C(n_80221),
+	.Y(n_80348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1050701 (
+	.A(n_80346),
+	.B(n_80345),
+	.Y(n_80347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1050702 (
+	.A1(n_59102),
+	.A2(n_61130),
+	.B1(n_91432),
+	.Y(n_80346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt1050706 (
+	.A(n_59328),
+	.Y(n_80353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1050708 (
+	.A(n_80355),
+	.Y(n_80356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 fopt1050712 (
+	.A(n_63300),
+	.Y(n_80358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1050721 (
+	.A(n_38889),
+	.Y(n_80383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1050724 (
+	.A(n_79444),
+	.Y(n_80386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g1050731 (
+	.A(n_77861),
+	.Y(n_80398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1050732 (
+	.A1(n_80400),
+	.A2(n_79437),
+	.B1(n_18001),
+	.X(n_80401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g1050733 (
+	.A1(n_80398),
+	.A2(soc_top_u_dccm_rdata4[26]),
+	.B1(n_80399),
+	.Y(n_80400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g1050734 (
+	.A1(n_60029),
+	.A2(n_60030),
+	.B1(soc_top_u_dccm_rdata3[26]),
+	.C1(n_78545),
+	.D1(soc_top_u_dccm_bank_sel[1]),
+	.X(n_80399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1050735 (
+	.A(n_80400),
+	.B(n_79437),
+	.Y(n_80403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1050738 (
+	.A(n_60710),
+	.B(n_84508),
+	.Y(n_80407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1050740 (
+	.A(n_61473),
+	.B(n_79119),
+	.Y(n_80405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1050745 (
+	.A(n_80410),
+	.B(n_80411),
+	.Y(n_80412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1050746 (
+	.A(n_38501),
+	.B(n_63307),
+	.Y(n_80410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_2 g1050747 (
+	.A1(n_60513),
+	.A2(n_78545),
+	.B1_N(n_50265),
+	.Y(n_80411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1050748 (
+	.A(n_80412),
+	.B(n_57067),
+	.Y(n_80415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g1050749 (
+	.A(n_73852),
+	.Y(n_80416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1050750 (
+	.A(n_88168),
+	.B(n_86682),
+	.Y(n_80417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1050751 (
+	.A(n_27034),
+	.B(n_88165),
+	.Y(n_80418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1050752 (
+	.A(n_80419),
+	.B(n_42641),
+	.Y(n_80420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1050753 (
+	.A(n_80416),
+	.B(n_80417),
+	.C(n_80418),
+	.Y(n_80419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1050763 (
+	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[16]),
+	.A1(n_80432),
+	.S(n_1494),
+	.X(n_80434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1050765 (
+	.A(n_80430),
+	.B(FE_DBTN12_n_81281),
+	.C(n_80431),
+	.Y(n_80432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1050766 (
+	.A(n_74717),
+	.B(n_18004),
+	.Y(n_80430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1050767 (
+	.A(n_59350),
+	.Y(n_80431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g1050768 (
+	.A1(n_80432),
+	.A2(n_17765),
+	.B1(n_17972),
+	.Y(n_80435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_2 g1050769 (
+	.A1(FE_DBTN104_n_17765),
+	.A2(n_80432),
+	.B1_N(n_57082),
+	.Y(n_80436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1050775 (
+	.A(n_81690),
+	.B(n_80789),
+	.C(n_86735),
+	.Y(n_80442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g1050776  (
+	.A1_N(n_80443),
+	.A2_N(n_72468),
+	.B1(n_86735),
+	.B2(soc_top_u_top_u_core_lsu_wdata[18]),
+	.Y(n_80444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g1050777  (
+	.A(n_86735),
+	.B(soc_top_u_top_u_core_lsu_wdata[18]),
+	.Y(n_80443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1050778 (
+	.A(n_78285),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2927 ),
+	.Y(n_80445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1050781 (
+	.A(n_88451),
+	.B(n_88450),
+	.Y(n_80449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 fopt1050783 (
+	.A(n_58286),
+	.Y(n_58289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 fopt1050784 (
+	.A(n_58002),
+	.Y(n_80451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1050786 (
+	.A(n_58289),
+	.B(n_80451),
+	.Y(n_80452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1050787 (
+	.A(n_80452),
+	.B(n_58287),
+	.Y(n_80455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1050789 (
+	.A(n_80452),
+	.B(n_55660),
+	.Y(n_80456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1050792 (
+	.A(n_80459),
+	.B(n_90594),
+	.Y(n_80460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g1050793 (
+	.A_N(n_81690),
+	.B(n_71622),
+	.X(n_80459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1050794  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3719 ),
+	.B(n_80459),
+	.Y(n_80461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1050795  (
+	.A(n_80459),
+	.B(FE_DBTN24_n_77220),
+	.Y(n_80462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1050796  (
+	.A(n_31066),
+	.B(n_80459),
+	.Y(n_80464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1050798  (
+	.A(n_80459),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_376 ),
+	.Y(n_80465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1050799  (
+	.A(n_80459),
+	.Y(n_80466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1050800  (
+	.A_N(n_31082),
+	.B(n_80459),
+	.Y(n_80467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1050801  (
+	.A1(n_85863),
+	.A2(n_80459),
+	.B1(n_85865),
+	.B2(n_80466),
+	.Y(n_80468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1050802  (
+	.A1(n_80466),
+	.A2(n_31076),
+	.B1(n_80459),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_131 ),
+	.X(n_80469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1050803  (
+	.A1(n_26653),
+	.A2(n_80459),
+	.B1(n_31084),
+	.B2(n_80466),
+	.X(n_80470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1050804  (
+	.A(n_38034),
+	.B(n_80459),
+	.Y(n_80471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1050805  (
+	.A1(n_60824),
+	.A2(n_80459),
+	.B1(FE_DBTN53_n_60824),
+	.B2(n_80466),
+	.Y(n_80472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1050806  (
+	.A(n_78720),
+	.B(n_80459),
+	.Y(n_80473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1051055 (
+	.A(n_53836),
+	.B(n_77977),
+	.C(n_44420),
+	.Y(n_80703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1051056 (
+	.A(n_87817),
+	.B(n_27931),
+	.Y(n_80707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfsbp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[19]  (
+	.CLK(CTS_108),
+	.D(n_12022),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[19]),
+	.Q_N(n_16647),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1051059 (
+	.A(FE_DBTN84_n_84225),
+	.B(FE_DBTN94_n_27641),
+	.Y(n_80708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1051060 (
+	.A(FE_DBTN74_n_58492),
+	.B(n_34698),
+	.Y(n_80709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1051061 (
+	.A(n_42357),
+	.B(n_27630),
+	.Y(n_80710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1051062 (
+	.A1(n_80711),
+	.A2(n_36814),
+	.B1_N(n_77641),
+	.X(n_80712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1051063 (
+	.A(n_80709),
+	.B(n_80708),
+	.C(n_80710),
+	.Y(n_80711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1051064 (
+	.A(n_80711),
+	.B(n_27510),
+	.Y(n_80713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1051065 (
+	.A(n_42781),
+	.B(n_80711),
+	.Y(n_80714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1051066 (
+	.A(n_80711),
+	.B(n_44420),
+	.Y(n_80715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1051086  (
+	.A(n_80741),
+	.B(n_75240),
+	.Y(n_80742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1051087  (
+	.A(n_80740),
+	.B(n_80739),
+	.Y(n_80741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1051088  (
+	.A(n_62978),
+	.B(FE_DBTN44_n_64842),
+	.Y(n_80739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1051089  (
+	.A(n_59668),
+	.B(n_64842),
+	.Y(n_80740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1051092 (
+	.A(n_80746),
+	.B(n_61390),
+	.Y(n_80747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g1051093 (
+	.A(n_80745),
+	.Y(n_80746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1051094 (
+	.A(n_59187),
+	.B(n_56608),
+	.Y(n_80745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g1051105 (
+	.A(addinc_ADD_UNS_OP_2_n_3),
+	.B(n_80760),
+	.Y(n_80762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1051107 (
+	.A(n_80759),
+	.B(n_80758),
+	.C(n_87864),
+	.Y(n_80760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1051108 (
+	.A(n_59184),
+	.B(n_27818),
+	.C(n_87553),
+	.Y(n_80758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1051109 (
+	.A(n_63875),
+	.B(n_59371),
+	.Y(n_80759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1051110  (
+	.A(n_80760),
+	.Y(n_80763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g1051111 (
+	.A(n_80760),
+	.B(addinc_ADD_UNS_OP_2_n_3),
+	.Y(n_80764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 WALLACE_CSA_DUMMY_OP_groupi_g1051112 (
+	.A(addinc_ADD_UNS_OP_2_n_3),
+	.B(n_80760),
+	.X(n_80765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1051114 (
+	.A(n_27116),
+	.B(n_91439),
+	.Y(n_80769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g826_1051117 (
+	.A1(n_91439),
+	.A2(n_27116),
+	.B1(n_74975),
+	.X(n_80770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1051118 (
+	.A(n_27116),
+	.B(n_91439),
+	.C(n_74975),
+	.Y(n_80771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1051119 (
+	.A1(n_91439),
+	.A2(n_27116),
+	.B1(n_74975),
+	.X(n_80772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_2 g1051120 (
+	.A1(n_27142),
+	.A2(n_27202),
+	.B1(n_80771),
+	.C1(n_91439),
+	.Y(n_80773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1051122 (
+	.A(n_57323),
+	.B(n_87452),
+	.Y(n_80775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1051125 (
+	.A(n_31014),
+	.B(n_80775),
+	.Y(n_80776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1051128 (
+	.A(soc_top_u_top_u_core_rf_wdata_fwd_wb[22]),
+	.Y(n_80781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1051132 (
+	.A(n_80786),
+	.Y(n_80787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1051135 (
+	.A1(n_72421),
+	.A2(n_80786),
+	.B1(n_72423),
+	.Y(n_80788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31o_1 g1051136 (
+	.A1(n_80786),
+	.A2(n_81735),
+	.A3(n_58854),
+	.B1(n_57008),
+	.X(n_80789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1051137 (
+	.A1(n_61252),
+	.A2(n_80786),
+	.B1(n_25542),
+	.Y(n_80790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_fopt1051143 (
+	.A(n_89916),
+	.Y(n_80797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1051145 (
+	.A(n_80798),
+	.B(n_80799),
+	.Y(n_80800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1051146 (
+	.A(n_78059),
+	.B(n_79461),
+	.Y(n_80798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1051147 (
+	.A(n_59252),
+	.B(n_30939),
+	.Y(n_80799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1051152  (
+	.A(n_45811),
+	.B(n_76707),
+	.Y(n_80803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1051153  (
+	.A_N(n_76707),
+	.B(n_31021),
+	.Y(n_80804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1051154 (
+	.A(n_90601),
+	.B(n_90602),
+	.X(n_80807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_g1051156 (
+	.A(n_90601),
+	.Y(n_80810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1051158 (
+	.A_N(n_46730),
+	.B(n_80813),
+	.C(n_49513),
+	.Y(n_80815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1051160 (
+	.A(n_81718),
+	.B(n_80812),
+	.Y(n_80813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1051162 (
+	.A(n_26638),
+	.Y(n_80812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_4 g1051163 (
+	.A1(n_80813),
+	.A2(n_49513),
+	.B1(n_46730),
+	.Y(n_80816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1051164 (
+	.A(n_77391),
+	.B(n_80819),
+	.Y(n_80820), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1051165 (
+	.A(n_80817),
+	.B(n_80818),
+	.Y(n_80819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1051166 (
+	.A(n_60629),
+	.B(FE_DBTN77_n_60716),
+	.Y(n_80817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1051167 (
+	.A(n_80142),
+	.B(n_60716),
+	.Y(n_80818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1051168 (
+	.A(n_80819),
+	.B(n_70359),
+	.Y(n_80822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1051170 (
+	.A(n_80819),
+	.B(n_77391),
+	.Y(n_80823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_4 g1051548 (
+	.A1(n_81282),
+	.A2(n_39587),
+	.B1(n_81177),
+	.Y(n_81178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_4 g1051549 (
+	.A1(n_61631),
+	.A2(n_24022),
+	.B1_N(n_38823),
+	.Y(n_81177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_4 g1051552 (
+	.A1_N(n_80781),
+	.A2_N(n_17765),
+	.B1(n_17765),
+	.B2(n_81183),
+	.Y(n_80786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1051553 (
+	.A(FE_DBTN10_n_85255),
+	.B(n_81181),
+	.C(n_81182),
+	.Y(n_81183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1051554 (
+	.A(n_43152),
+	.B(n_18004),
+	.Y(n_81181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_2 g1051555 (
+	.A1_N(soc_top_u_top_u_core_load_store_unit_i_rdata_q[30]),
+	.A2_N(n_39587),
+	.B1(n_19598),
+	.B2(n_60984),
+	.X(n_81182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1051556 (
+	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[22]),
+	.A1(n_81183),
+	.S(n_1494),
+	.X(n_81185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt1051567 (
+	.A(\soc_top_xbar_to_lsu[d_data] [23]),
+	.Y(n_81199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 fopt1051572 (
+	.A(n_27511),
+	.Y(n_81201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1051578 (
+	.A(n_78855),
+	.Y(n_81208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1051583 (
+	.A(n_27655),
+	.Y(n_81219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g1051586 (
+	.A(n_81222),
+	.B(n_81223),
+	.Y(n_81224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g1051587 (
+	.A(n_63300),
+	.B(n_75455),
+	.Y(n_81222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1051588 (
+	.A(n_27166),
+	.B(n_41156),
+	.Y(n_81223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_4 g1051593 (
+	.A1(n_81229),
+	.A2(n_81283),
+	.B1(n_81230),
+	.Y(n_81231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1051594 (
+	.A(n_26015),
+	.B(n_25835),
+	.Y(n_81229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1051595 (
+	.A(n_26016),
+	.B(n_22839),
+	.Y(n_81230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g188_1051598 (
+	.A1(n_81231),
+	.A2(n_26145),
+	.B1(FE_DBTN104_n_17765),
+	.X(n_81235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1051600 (
+	.A(n_81238),
+	.B(n_54513),
+	.X(n_81239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1051601 (
+	.A(n_81237),
+	.B(n_87569),
+	.Y(n_81238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt1051602 (
+	.A(n_38239),
+	.Y(n_81237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 WALLACE_CSA_DUMMY_OP_groupi_g1051603 (
+	.A1(n_81238),
+	.A2(n_54532),
+	.B1(n_54513),
+	.X(n_81241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1051605 (
+	.A(n_81246),
+	.B(n_17765),
+	.Y(n_81247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_4 g1051606 (
+	.A(n_81242),
+	.B(n_81243),
+	.C(n_81244),
+	.D(n_81245),
+	.Y(n_81246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1051607 (
+	.A(n_47714),
+	.B(n_18005),
+	.Y(n_81242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_2 g1051608 (
+	.A1(n_18362),
+	.A2(n_65223),
+	.B1(n_65224),
+	.X(n_81243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1051609 (
+	.A1(n_24214),
+	.A2(n_25841),
+	.B1(n_17944),
+	.X(n_81244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1051610 (
+	.A(n_59347),
+	.B(n_20206),
+	.Y(n_81245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1051611 (
+	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[0]),
+	.A1(n_81246),
+	.S(n_1494),
+	.X(n_81249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1051613 (
+	.A(n_27217),
+	.Y(n_81250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g1051616 (
+	.A(n_87859),
+	.B(n_27217),
+	.C_N(n_86278),
+	.Y(n_81254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1051621 (
+	.A(n_64617),
+	.B(n_78039),
+	.Y(n_81256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g1051625 (
+	.A(n_43154),
+	.B(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.C(soc_top_u_dccm_bank_sel[0]),
+	.X(n_81263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g1051627 (
+	.A(n_47758),
+	.B(soc_top_u_dccm_bank_sel[0]),
+	.C(soc_top_u_dccm_rdata2[20]),
+	.D(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.Y(n_81264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g1051628 (
+	.A1(n_61932),
+	.A2(n_61933),
+	.B1(soc_top_u_dccm_rdata2[2]),
+	.C1(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.D1(soc_top_u_dccm_bank_sel[0]),
+	.X(n_81265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g1051629 (
+	.A1(n_60029),
+	.A2(n_60030),
+	.B1(soc_top_u_dccm_rdata2[30]),
+	.C1(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.D1(soc_top_u_dccm_bank_sel[0]),
+	.X(n_81266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_4 g1051630 (
+	.A_N(soc_top_u_dccm_bank_sel[0]),
+	.B(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.C(n_24877),
+	.Y(n_81267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g1051631 (
+	.A1(n_61932),
+	.A2(n_61933),
+	.B1(soc_top_u_dccm_rdata2[6]),
+	.C1(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.D1(soc_top_u_dccm_bank_sel[0]),
+	.X(n_81268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g1051634 (
+	.A(n_24879),
+	.B(soc_top_u_dccm_bank_sel[0]),
+	.C(soc_top_u_dccm_rdata2[9]),
+	.D(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.Y(n_81271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1051635 (
+	.A(soc_top_u_dccm_bank_sel[0]),
+	.B(n_47758),
+	.C(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.Y(n_81272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g1051636 (
+	.A(n_24879),
+	.B(soc_top_u_dccm_bank_sel[0]),
+	.C(soc_top_u_dccm_rdata4[12]),
+	.D(soc_top_u_dccm_bank_sel[1]),
+	.Y(n_81273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g1051637 (
+	.A(n_24879),
+	.B(soc_top_u_dccm_bank_sel[0]),
+	.C(soc_top_u_dccm_rdata2[12]),
+	.D(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.Y(n_81274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1051638 (
+	.A1(n_39590),
+	.A2(soc_top_u_top_u_core_load_store_unit_i_data_sign_ext_q),
+	.B1(n_17945),
+	.Y(n_81275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_4 g1051639 (
+	.A1(n_47760),
+	.A2(n_74666),
+	.B1(n_23998),
+	.Y(n_81276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1019709_dup1051642 (
+	.A(n_61971),
+	.B(FE_DBTN49_n_85250),
+	.C(n_85251),
+	.Y(n_81280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1051644 (
+	.A(n_61971),
+	.B(FE_DBTN49_n_85250),
+	.C(n_85251),
+	.Y(n_81281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1051645 (
+	.A(n_47737),
+	.B(n_47738),
+	.Y(n_81282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g1051647 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.Y(n_81283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1051648 (
+	.A(n_61631),
+	.B(n_24022),
+	.Y(n_80355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g1051649 (
+	.A1(n_49544),
+	.A2(n_81286),
+	.B1(n_37743),
+	.C1(FE_DBTN10_n_85255),
+	.Y(n_81288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 g1051651 (
+	.A1(n_81282),
+	.A2(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.B1(n_80355),
+	.B2(n_81283),
+	.Y(n_81286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_4 g1051664 (
+	.A1(n_24021),
+	.A2(n_61171),
+	.B1(n_90495),
+	.X(n_81301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_2 g1051665 (
+	.A1(n_18006),
+	.A2(FE_DBTN11_n_81301),
+	.B1(n_16648),
+	.B2(n_49544),
+	.Y(n_81303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1051670 (
+	.A(n_27217),
+	.B(n_78901),
+	.C(FE_DBTN82_n_87862),
+	.Y(n_81308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4b_1 g1051672 (
+	.A(n_87853),
+	.B(n_81224),
+	.C(n_78901),
+	.D_N(n_12598),
+	.Y(n_81310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1051710 (
+	.A(n_12805),
+	.B(n_62541),
+	.Y(n_81343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1051712  (
+	.A(n_81343),
+	.B(n_36234),
+	.Y(n_81348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1051716  (
+	.A(n_81343),
+	.B(n_30804),
+	.Y(n_81351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1051717  (
+	.A(n_81343),
+	.B(n_79545),
+	.Y(n_81352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1051718  (
+	.A(n_81343),
+	.B(n_39141),
+	.Y(n_81353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1051719  (
+	.A(n_81343),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [7]),
+	.Y(n_81354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1051720  (
+	.A(n_81343),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [9]),
+	.Y(n_81355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1051721  (
+	.A(n_81343),
+	.B(n_39146),
+	.Y(n_81356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1051722  (
+	.A(n_81343),
+	.B(n_46329),
+	.Y(n_81357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1051723 (
+	.A(n_81343),
+	.B(n_58220),
+	.Y(n_81358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1051724  (
+	.A(n_81343),
+	.B(n_78004),
+	.Y(n_81359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1051905 (
+	.A(n_82240),
+	.B(n_81529),
+	.Y(n_81530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 g1051907 (
+	.A1_N(n_24945),
+	.A2_N(n_24969),
+	.B1(n_16540),
+	.B2(n_29628),
+	.Y(n_81529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1051908 (
+	.A(n_81535),
+	.B(n_81256),
+	.Y(n_81536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_4 g1051909 (
+	.A(n_25554),
+	.B(n_81534),
+	.C(n_82240),
+	.D(n_81529),
+	.Y(n_81535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1051911 (
+	.A1(FE_DBTN104_n_17765),
+	.A2(n_29828),
+	.B1(n_61335),
+	.Y(n_81534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g1052045 (
+	.A(n_63766),
+	.B(n_75488),
+	.Y(n_61252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g1052049 (
+	.A1(soc_top_u_top_u_core_use_fp_rs2),
+	.A2(n_25405),
+	.B1(n_24945),
+	.B2(n_25051),
+	.X(n_81661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1020637_dup (
+	.A1(n_61252),
+	.A2(FE_DBTN50_n_61465),
+	.B1(n_81661),
+	.Y(n_81664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1052071 (
+	.A(n_61239),
+	.Y(n_81690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g1052073 (
+	.A1(n_61932),
+	.A2(n_61933),
+	.B1(soc_top_u_dccm_rdata3[4]),
+	.C1(soc_top_u_dccm_bank_sel[1]),
+	.D1(n_78545),
+	.X(n_81693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1052075 (
+	.A(n_78545),
+	.B(n_75819),
+	.Y(n_81695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g1052077 (
+	.A1(n_63542),
+	.A2(n_63543),
+	.B1(soc_top_u_dccm_rdata1[22]),
+	.C1(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.D1(n_78545),
+	.X(n_81696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g1052078 (
+	.A1(n_63542),
+	.A2(n_63543),
+	.B1(soc_top_u_dccm_rdata3[17]),
+	.C1(soc_top_u_dccm_bank_sel[1]),
+	.D1(n_78545),
+	.X(n_81697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g1052079 (
+	.A1(n_61932),
+	.A2(n_61933),
+	.B1(soc_top_u_dccm_rdata3[6]),
+	.C1(soc_top_u_dccm_bank_sel[1]),
+	.D1(n_78545),
+	.X(n_81698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g1052080 (
+	.A1(n_60029),
+	.A2(n_60030),
+	.B1(soc_top_u_dccm_rdata3[25]),
+	.C1(soc_top_u_dccm_bank_sel[1]),
+	.D1(n_78545),
+	.X(n_81699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1052081 (
+	.A(n_78545),
+	.B(n_25302),
+	.Y(n_81700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1052083 (
+	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[23]),
+	.A1(n_81704),
+	.S(n_1494),
+	.X(n_81706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1052085 (
+	.A(n_81702),
+	.B(FE_DBTN12_n_81281),
+	.C(n_81703),
+	.Y(n_81704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1052086 (
+	.A(n_48934),
+	.B(n_18004),
+	.Y(n_81702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_4 g1052087 (
+	.A1_N(n_16794),
+	.A2_N(n_19562),
+	.B1(n_38823),
+	.B2(n_90503),
+	.Y(n_81703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1052088 (
+	.A1(n_81704),
+	.A2(n_17765),
+	.B1(n_49001),
+	.X(n_81707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1021270_dup1052089 (
+	.A1(n_81704),
+	.A2(n_17765),
+	.B1(n_49001),
+	.X(n_81708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g1052090 (
+	.A(n_25901),
+	.B(n_25905),
+	.Y(n_81709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1052091 (
+	.A(n_81711),
+	.Y(n_81713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1052093 (
+	.A(n_81709),
+	.B(n_81710),
+	.Y(n_81711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1052094 (
+	.A(n_35494),
+	.B(n_36631),
+	.Y(n_81710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 g1052095 (
+	.A1(FE_DBTN104_n_17765),
+	.A2(n_81711),
+	.B1(n_17971),
+	.Y(n_81714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1052096 (
+	.A(n_81717),
+	.B(n_42640),
+	.C(n_75458),
+	.Y(n_81718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1052097 (
+	.A(n_81715),
+	.B(n_81716),
+	.Y(n_81717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1052098 (
+	.A(n_26214),
+	.B(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.Y(n_81715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1052099 (
+	.A1(n_38788),
+	.A2(n_71519),
+	.B1(n_25107),
+	.X(n_81716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1052101 (
+	.A(n_81717),
+	.B(n_39671),
+	.Y(n_81721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1052104 (
+	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[21]),
+	.A1(n_81725),
+	.S(n_1494),
+	.X(n_81727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1052106 (
+	.A(FE_DBTN10_n_85255),
+	.B(n_81723),
+	.C(n_81724),
+	.Y(n_81725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1052107 (
+	.A(n_58127),
+	.B(n_18004),
+	.Y(n_81723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_2 g1052108 (
+	.A1(n_77591),
+	.A2(n_38823),
+	.B1_N(n_20066),
+	.Y(n_81724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1052109 (
+	.A1(n_58860),
+	.A2(n_81725),
+	.B1(n_58861),
+	.X(n_81728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1052111 (
+	.A(n_81725),
+	.B(n_17765),
+	.Y(n_81730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1052112 (
+	.A(n_58847),
+	.Y(n_81731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1052115 (
+	.A(n_81730),
+	.B(n_81731),
+	.Y(n_81732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1052116 (
+	.A(n_81732),
+	.Y(n_81735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1052128 (
+	.A(n_42685),
+	.B(n_90492),
+	.Y(n_81748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1052133 (
+	.A(n_34984),
+	.B(n_27191),
+	.Y(n_81749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1052136 (
+	.A(n_81756),
+	.B(n_61017),
+	.Y(n_81757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1052137 (
+	.A(n_81755),
+	.Y(n_81756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1052138 (
+	.A(n_60380),
+	.B(n_71627),
+	.C(FE_DBTN97_n_60382),
+	.Y(n_81755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g1052141 (
+	.A(n_79087),
+	.Y(n_81760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g1052142 (
+	.A(n_62088),
+	.Y(n_81761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1052144 (
+	.A(n_81760),
+	.B(n_81761),
+	.Y(n_81762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1052149 (
+	.A(n_81766),
+	.B(n_81767),
+	.Y(n_81768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1052150 (
+	.A(n_81343),
+	.B(n_87831),
+	.Y(n_81766), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1052151  (
+	.A(FE_DBTN78_n_81343),
+	.B(n_58657),
+	.Y(n_81767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1052152 (
+	.A(n_81768),
+	.B(FE_DBTN44_n_64842),
+	.Y(n_81771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 fopt1052284 (
+	.A(n_81896),
+	.Y(n_81897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1052285 (
+	.A(n_81894),
+	.B(n_81895),
+	.Y(n_81896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1052286 (
+	.A(n_80275),
+	.B(n_60569),
+	.Y(n_81894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1052287 (
+	.A(n_80277),
+	.B(n_87444),
+	.Y(n_81895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1052288 (
+	.A(n_81896),
+	.B(n_78847),
+	.Y(n_81898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1052409 (
+	.A(n_82011),
+	.B(n_59431),
+	.Y(n_82012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1052410 (
+	.A(n_82009),
+	.B(n_82010),
+	.Y(n_82011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1052411 (
+	.A(n_59323),
+	.B(n_42357),
+	.Y(n_82009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1052412 (
+	.A(n_59238),
+	.B(n_58491),
+	.Y(n_82010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1052413 (
+	.A(n_82011),
+	.B(n_44420),
+	.Y(n_82013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1052414 (
+	.A(n_78064),
+	.B(n_82014),
+	.C(n_27591),
+	.Y(n_82015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1052415 (
+	.A(n_64150),
+	.B(n_82009),
+	.C(n_82010),
+	.Y(n_82014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1052656 (
+	.A_N(n_61258),
+	.B(n_82239),
+	.Y(n_82240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g6 (
+	.A(n_81231),
+	.B(n_26145),
+	.C(n_61257),
+	.Y(n_82239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1052657 (
+	.A(n_82241),
+	.B(n_61130),
+	.Y(n_82242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1052658 (
+	.A(FE_DBTN122_n_59102),
+	.B(n_80345),
+	.Y(n_82241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 g1052659 (
+	.A1(n_82243),
+	.A2(n_53643),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2494 ),
+	.Y(n_82244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor3_1 g1052660 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2205 ),
+	.B(n_77104),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1887 ),
+	.X(n_82243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1052689  (
+	.A(n_82291),
+	.B(n_75445),
+	.Y(n_82293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1052691  (
+	.A(n_82289),
+	.B(n_82290),
+	.Y(n_82291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1052692  (
+	.A(n_57528),
+	.B(n_90623),
+	.Y(n_82289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1052693  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1448 ),
+	.B(FE_DBTN72_n_90623),
+	.Y(n_82290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1052694 (
+	.A(n_82291),
+	.B(FE_DBTN63_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sll_527_36_n_957),
+	.Y(n_82294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 drc_bufs1054025 (
+	.A(n_83563),
+	.Y(n_83562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_8 fopt1054238 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_0 ),
+	.Y(n_83780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1054368 (
+	.A(n_13172),
+	.Y(n_83913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1054421 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_8 ),
+	.Y(n_83980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1054423 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
+	.Y(n_83982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g1054425 (
+	.A(n_64167),
+	.Y(n_83983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g1054447 (
+	.A(n_84009),
+	.Y(n_84010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1054448 (
+	.A(n_68742),
+	.B(n_84008),
+	.Y(n_84009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1054449 (
+	.A(n_69314),
+	.B_N(n_28846),
+	.Y(n_84008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1054461  (
+	.A(n_84024),
+	.B(n_84025),
+	.Y(n_84026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1054462 (
+	.A(n_62579),
+	.Y(n_84024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1054463  (
+	.A(n_59590),
+	.Y(n_84025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1054467  (
+	.A(n_84026),
+	.B_N(n_84903),
+	.Y(n_84031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1054468  (
+	.A_N(n_84026),
+	.B(n_58426),
+	.Y(n_84032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1054469  (
+	.A_N(n_84026),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_195 ),
+	.Y(n_84033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1054470  (
+	.A_N(n_84026),
+	.B(n_75383),
+	.Y(n_84034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1054471  (
+	.A_N(n_84026),
+	.B(n_75482),
+	.Y(n_84035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1054472  (
+	.A(n_76672),
+	.B(n_84026),
+	.Y(n_84036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1054473  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_184 ),
+	.B(n_84026),
+	.Y(n_84037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1054474  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_155 ),
+	.B(n_84026),
+	.Y(n_84038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1054475  (
+	.A_N(n_84026),
+	.B(n_60502),
+	.Y(n_84039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_2 g1054518 (
+	.A1(n_14519),
+	.A2(n_14363),
+	.B1(n_84897),
+	.X(n_84084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1054618 (
+	.A0(n_69278),
+	.A1(n_13342),
+	.S(n_93140),
+	.X(n_84182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1054621 (
+	.A(n_84185),
+	.B(n_84186),
+	.C(n_1508),
+	.Y(n_84188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1054622 (
+	.A(n_73782),
+	.Y(n_84185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1054623 (
+	.A(soc_top_u_top_u_core_fp_flush),
+	.Y(n_84186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1054629 (
+	.A1(n_84195),
+	.A2(n_41660),
+	.B1(n_84196),
+	.X(n_84197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1054630 (
+	.A1(n_65330),
+	.A2(n_41659),
+	.B1_N(n_86920),
+	.X(n_84195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1054633 (
+	.A1(n_26377),
+	.A2(n_75441),
+	.B1(n_7140),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [29]),
+	.C1(n_9877),
+	.C2(n_56980),
+	.Y(n_84196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1054661 (
+	.A(n_57117),
+	.B(n_57118),
+	.Y(n_84225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1054662 (
+	.A(FE_DBTN84_n_84225),
+	.B(n_80316),
+	.Y(n_84227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1054664 (
+	.A(FE_DBTN84_n_84225),
+	.B(n_75068),
+	.Y(n_84228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1054665 (
+	.A(FE_DBTN84_n_84225),
+	.B(n_34696),
+	.Y(n_84229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1054667 (
+	.A(FE_DBTN84_n_84225),
+	.B(n_34698),
+	.Y(n_84232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1054669 (
+	.A(FE_DBTN84_n_84225),
+	.B(n_59120),
+	.Y(n_84233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1054670 (
+	.A(FE_DBTN84_n_84225),
+	.B(n_39655),
+	.Y(n_84234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1054671 (
+	.A(n_53695),
+	.B(FE_DBTN84_n_84225),
+	.Y(n_84235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1054673 (
+	.A(n_26959),
+	.Y(n_84237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g1054713 (
+	.A(n_84279),
+	.B(n_84280),
+	.Y(n_84281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1054714 (
+	.A(n_12788),
+	.B(n_36212),
+	.Y(n_84279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1054715 (
+	.A(n_12777),
+	.Y(n_84280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g1054716  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_132 ),
+	.B(n_84281),
+	.Y(n_84283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g1054719  (
+	.A(n_84281),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_127 ),
+	.Y(n_84286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g1054721  (
+	.A(n_84281),
+	.B(n_31236),
+	.Y(n_84288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g1054722  (
+	.A(n_84281),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_23 ),
+	.Y(n_84289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g1054723  (
+	.A(n_84281),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_113 ),
+	.Y(n_84290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g1054724  (
+	.A(n_84281),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_93 ),
+	.Y(n_84291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g1054725  (
+	.A(n_84281),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_76 ),
+	.Y(n_84292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g1054726  (
+	.A(n_84281),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_351 ),
+	.Y(n_84293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1054733 (
+	.A(n_84300),
+	.B(n_84301),
+	.C(n_84302),
+	.Y(n_84303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1054734 (
+	.A(n_1773),
+	.B(n_2373),
+	.Y(n_84300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1054735 (
+	.A(n_37512),
+	.B(n_2374),
+	.Y(n_84301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1054736 (
+	.A(n_1975),
+	.B(n_73462),
+	.Y(n_84302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1054749 (
+	.A(n_84317),
+	.B(n_84318),
+	.Y(n_84319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g1054750 (
+	.A(n_69699),
+	.Y(n_84317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1054751 (
+	.A(n_28827),
+	.B(n_28840),
+	.Y(n_84318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1054766 (
+	.A(FE_DBTN104_n_17765),
+	.B(n_29828),
+	.Y(n_84333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1054767 (
+	.A(n_84334),
+	.B(n_61342),
+	.Y(n_84335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1054768 (
+	.A(soc_top_u_top_u_core_id_stage_i_n_609),
+	.B(n_24006),
+	.X(n_84334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g1054770 (
+	.A(n_54295),
+	.B(n_77406),
+	.Y(n_84338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1054771 (
+	.A(n_75596),
+	.B(n_13252),
+	.Y(n_84339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1054772 (
+	.A(n_65325),
+	.B_N(n_76155),
+	.Y(n_84340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1054773 (
+	.A(n_37500),
+	.B(n_87576),
+	.X(n_84343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1054792 (
+	.A(n_84361),
+	.B(n_12526),
+	.Y(n_84362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1054793 (
+	.A(n_84359),
+	.B(n_84360),
+	.Y(n_84361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1054794 (
+	.A(n_75147),
+	.B(n_75118),
+	.Y(n_84359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1054795 (
+	.A(n_86942),
+	.B(n_12264),
+	.Y(n_84360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1054796 (
+	.A(n_16043),
+	.B(n_36091),
+	.Y(n_84363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1054797 (
+	.A(n_84365),
+	.B(n_69452),
+	.Y(n_84366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1054798 (
+	.A(n_84364),
+	.B(n_84363),
+	.Y(n_84365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1054799 (
+	.A(n_16047),
+	.B(n_36098),
+	.Y(n_84364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1054851 (
+	.A0(n_93119),
+	.A1(n_73940),
+	.S(n_72622),
+	.X(n_84419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1054859 (
+	.A0(n_13318),
+	.A1(n_13326),
+	.S(n_93140),
+	.X(n_84427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1054863 (
+	.A0(n_68923),
+	.A1(n_13327),
+	.S(n_93140),
+	.X(n_84431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1054887 (
+	.A0(n_13320),
+	.A1(n_74353),
+	.S(n_72622),
+	.X(n_84455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g1054902 (
+	.A(n_84468),
+	.B(n_20498),
+	.C(n_84469),
+	.D(n_20119),
+	.Y(n_84470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1054903 (
+	.A(n_22064),
+	.B(n_22067),
+	.Y(n_84468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1054904 (
+	.A1(n_19073),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [7]),
+	.B1(n_18154),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [7]),
+	.Y(n_84469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1054934 (
+	.A(n_84499),
+	.B(n_84500),
+	.C(FE_DBTN33_n_84501),
+	.Y(n_84503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 fopt1054935 (
+	.A(n_61131),
+	.Y(n_84499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1054936 (
+	.A(n_57615),
+	.B(n_57616),
+	.Y(n_84500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1054938 (
+	.A(n_69910),
+	.B(n_69909),
+	.Y(n_84501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1054942 (
+	.A(n_80405),
+	.B(n_84507),
+	.Y(n_84508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1054943 (
+	.A(n_87792),
+	.B(n_87793),
+	.Y(n_84507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g1054952 (
+	.A(n_84520),
+	.Y(n_84521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1054953 (
+	.A(n_42630),
+	.B(n_87579),
+	.Y(n_84520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1054967 (
+	.A_N(n_84470),
+	.B(n_84533),
+	.C(n_35722),
+	.D(n_35723),
+	.Y(n_84534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1054968 (
+	.A(n_84532),
+	.B(n_35604),
+	.Y(n_84533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1054969 (
+	.A(n_23519),
+	.B(n_23523),
+	.Y(n_84532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1054983 (
+	.A(FE_DBTN35_n_69877),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [16]),
+	.Y(n_84548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1054984 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2492 ),
+	.B(n_29188),
+	.Y(n_84549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1054985 (
+	.A(n_83983),
+	.B(n_46253),
+	.Y(n_84550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1054986 (
+	.A(n_84551),
+	.B(FE_DBTN20_n_79311),
+	.Y(n_84552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g1054987 (
+	.A(n_84548),
+	.B(n_87825),
+	.C(n_84549),
+	.D(n_84550),
+	.Y(n_84551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1054994 (
+	.A(n_84559),
+	.B(n_84560),
+	.C(n_84561),
+	.Y(n_84562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1054995 (
+	.A(n_71867),
+	.Y(n_84559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1054996 (
+	.A(n_85991),
+	.Y(n_84560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1054997 (
+	.A(n_71266),
+	.Y(n_84561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1055003 (
+	.A(n_73319),
+	.B(n_75347),
+	.Y(n_84568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1055004 (
+	.A_N(n_84569),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1262 ),
+	.Y(n_84570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1055005 (
+	.A(n_31303),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_335 ),
+	.Y(n_84569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 g1055066 (
+	.A(n_84639),
+	.Y(n_84640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_4 g1055067 (
+	.A(n_84636),
+	.B(n_84637),
+	.C(FE_DBTN145_soc_top_u_top_u_core_rf_raddr_b_4),
+	.X(n_84639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g1055068 (
+	.A(n_17631),
+	.Y(n_84636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1055069 (
+	.A(soc_top_u_top_u_core_instr_rdata_id[20]),
+	.B(soc_top_u_top_u_core_rf_raddr_b[1]),
+	.X(n_84637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1055071 (
+	.A1(n_18502),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [29]),
+	.B1(n_84639),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [29]),
+	.X(n_84641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1055072 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [11]),
+	.A2_N(n_84639),
+	.B1(n_17571),
+	.B2(n_18501),
+	.Y(n_84642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1055073 (
+	.A(n_84639),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [17]),
+	.Y(n_84643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1055074 (
+	.A(n_84639),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [27]),
+	.Y(n_84644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1055075 (
+	.A1(n_19013),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [9]),
+	.B1(n_84639),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [9]),
+	.Y(n_84646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1055077 (
+	.A(n_84639),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [20]),
+	.Y(n_84647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1055078 (
+	.A1(n_19013),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [6]),
+	.B1(n_84639),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [6]),
+	.Y(n_84648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1055079 (
+	.A1(n_19013),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [1]),
+	.B1(n_84639),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [1]),
+	.Y(n_84649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1055080 (
+	.A1(n_18502),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [27]),
+	.B1(n_84639),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [27]),
+	.X(n_84650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1055081 (
+	.A1(n_18502),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [25]),
+	.B1(n_84639),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [25]),
+	.X(n_84651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1055082 (
+	.A1(n_18502),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [22]),
+	.B1(n_84639),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [22]),
+	.X(n_84652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1055083 (
+	.A1(n_19013),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [21]),
+	.B1(n_84639),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [21]),
+	.X(n_84653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1055085 (
+	.A1(n_18502),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [14]),
+	.B1(n_84639),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [14]),
+	.X(n_84655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1055086 (
+	.A1(n_19013),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [7]),
+	.B1(n_84639),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [7]),
+	.X(n_84656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_2 g1055087 (
+	.A1(n_84639),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [29]),
+	.B1(n_18638),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [29]),
+	.C1(n_20961),
+	.Y(n_84657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1055088 (
+	.A1(n_18502),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [23]),
+	.B1(n_84639),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [23]),
+	.C1(n_35891),
+	.Y(n_84658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1055089 (
+	.A1(n_18502),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [3]),
+	.B1(n_84639),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [3]),
+	.C1(n_22525),
+	.Y(n_84659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1055090 (
+	.A(n_84639),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [19]),
+	.Y(n_84660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1055091 (
+	.A1(n_84639),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [4]),
+	.B1(n_18638),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [4]),
+	.Y(n_84661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1055092 (
+	.A(n_84639),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [26]),
+	.Y(n_84662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g1055093 (
+	.A1_N(n_16801),
+	.A2_N(n_18639),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [16]),
+	.B2(n_84639),
+	.Y(n_84663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1055094 (
+	.A1(n_84639),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [31]),
+	.B1(n_19157),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [31]),
+	.X(n_84664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1055095 (
+	.A1(n_84639),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [31]),
+	.B1(n_18638),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [31]),
+	.C1(n_21043),
+	.Y(n_84665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1055096 (
+	.A1(n_19013),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [30]),
+	.B1(n_84639),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [30]),
+	.C1(n_21066),
+	.Y(n_84666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1055099 (
+	.A1(n_18502),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [2]),
+	.B1(n_84639),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [2]),
+	.Y(n_84669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1055259 (
+	.A1(n_84832),
+	.A2(n_84833),
+	.B1(n_84834),
+	.Y(n_84835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1055260 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_22),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_459),
+	.Y(n_84832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1055262 (
+	.A(n_75643),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_163),
+	.Y(n_84833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1055263 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_22),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_177),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_42),
+	.Y(n_84834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1055284 (
+	.A(FE_DBTN125_n_84427),
+	.B(n_84857),
+	.Y(n_84858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_4 g1054886_dup (
+	.A_N(n_85555),
+	.B(n_85557),
+	.C(n_85764),
+	.Y(n_84857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1055285 (
+	.A(FE_DBTN119_n_87578),
+	.B(n_84857),
+	.Y(n_84859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1055286 (
+	.A(FE_DBTN120_n_84419),
+	.B(n_84857),
+	.Y(n_84860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1055287 (
+	.A(FE_DBTN126_n_70008),
+	.B(n_84857),
+	.Y(n_84861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1055303 (
+	.A(n_17747),
+	.B(n_24683),
+	.Y(n_84877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_2 g1055304 (
+	.A1(n_92749),
+	.A2(n_25033),
+	.B1(n_84880),
+	.C1(n_25005),
+	.Y(n_84881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1055305 (
+	.A1(n_84878),
+	.A2(n_84879),
+	.B1(n_84877),
+	.X(n_84880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1055306 (
+	.A(n_35611),
+	.B(n_84956),
+	.Y(n_84878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1055307 (
+	.A(n_24275),
+	.B(n_24272),
+	.Y(n_84879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1055322 (
+	.A(n_69848),
+	.B(n_13120),
+	.Y(n_84896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g1055325 (
+	.A(n_84896),
+	.Y(n_84897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1055329 (
+	.A(n_74618),
+	.Y(n_84903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1055375 (
+	.A(n_84952),
+	.B(n_84953),
+	.C(n_84955),
+	.Y(n_84956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1055376 (
+	.A1(n_84639),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [19]),
+	.B1(n_19994),
+	.Y(n_84952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1055377 (
+	.A1(n_18502),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [19]),
+	.B1(n_19642),
+	.Y(n_84953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g1055378 (
+	.A1(n_19013),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [19]),
+	.B1(n_84954),
+	.C1(n_19636),
+	.Y(n_84955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g1055379 (
+	.A1(n_17532),
+	.A2(n_74156),
+	.B1(n_16883),
+	.B2(n_18754),
+	.Y(n_84954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1055408 (
+	.A(n_16537),
+	.B(n_16518),
+	.Y(n_84985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g1055409  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_549 ),
+	.B(FE_DBTN80_n_84985),
+	.C(n_35270),
+	.Y(n_84987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1055411 (
+	.A_N(n_74425),
+	.B(n_31329),
+	.C(FE_DBTN80_n_84985),
+	.Y(n_84989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1055421  (
+	.A(n_53333),
+	.Y(n_84998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1055422  (
+	.A(n_54922),
+	.B(n_31082),
+	.Y(n_84999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 fopt1055423 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3762 ),
+	.Y(n_85000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1055424  (
+	.A1(n_31082),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_269 ),
+	.B1(n_34724),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_413 ),
+	.Y(n_85001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g1055425 (
+	.A(n_85002),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3536 ),
+	.Y(n_85003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1055426  (
+	.A1_N(n_84998),
+	.A2_N(n_84999),
+	.B1(n_85001),
+	.B2(n_85000),
+	.X(n_85002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1055427  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1760 ),
+	.B(n_85002),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1738 ),
+	.X(n_85004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1055449 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [23]),
+	.Y(n_85025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1055450 (
+	.A_N(n_17930),
+	.B(n_17695),
+	.Y(n_85026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1055476 (
+	.A1(n_18565),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [23]),
+	.B1(n_85053),
+	.Y(n_85054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g1055477 (
+	.A1(n_85025),
+	.A2(n_85026),
+	.B1(n_16813),
+	.B2(n_19011),
+	.C1(n_85052),
+	.Y(n_85053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1055478 (
+	.A(n_18289),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [23]),
+	.Y(n_85052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1055518 (
+	.A(FE_DBTN6_n_86735),
+	.B(soc_top_u_top_u_core_lsu_wdata[18]),
+	.Y(n_85095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g1055520  (
+	.A1(n_85122),
+	.A2(FE_DBTN6_n_86735),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_8 ),
+	.B2(n_79166),
+	.Y(n_85098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g1055523 (
+	.A(n_75771),
+	.B(FE_DBTN6_n_86735),
+	.X(n_85099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1055524  (
+	.A(FE_DBTN6_n_86735),
+	.B(n_87530),
+	.Y(n_85100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1055526 (
+	.A1(n_57529),
+	.A2(n_26228),
+	.B1(n_24487),
+	.B2(n_25074),
+	.C1(FE_DBTN6_n_86735),
+	.C2(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.Y(n_85102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1055527 (
+	.A1(n_16016),
+	.A2(FE_DBTN6_n_86735),
+	.B1(n_29790),
+	.Y(n_85103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1055528 (
+	.A1(n_10572),
+	.A2(FE_DBTN6_n_86735),
+	.B1(n_31195),
+	.B2(n_9577),
+	.C1(n_12001),
+	.Y(n_85104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1055543 (
+	.A(n_85118),
+	.B(n_85119),
+	.Y(n_85120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1055544 (
+	.A1(n_69845),
+	.A2(n_14324),
+	.B1(n_84897),
+	.Y(n_85118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g1055545 (
+	.A(n_84897),
+	.B(n_13847),
+	.C(n_71343),
+	.X(n_85119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1055547 (
+	.A(n_84897),
+	.B(n_71343),
+	.Y(n_85122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1055548 (
+	.A(FE_DBTN163_soc_top_xbar_to_timer_a_data_21),
+	.B(n_85124),
+	.Y(n_85125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1055550 (
+	.A(n_74323),
+	.Y(n_85124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1055585 (
+	.A(n_85162),
+	.Y(n_85163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1055586 (
+	.A(n_85159),
+	.B(n_85160),
+	.C(n_85161),
+	.Y(n_85162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1055587 (
+	.A(n_1787),
+	.B(n_75188),
+	.X(n_85159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1055588 (
+	.A(n_70320),
+	.B(n_2408),
+	.Y(n_85160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g1055589 (
+	.A(n_1745),
+	.B(n_34942),
+	.C(n_1425),
+	.X(n_85161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1055607 (
+	.A(n_85182),
+	.B(n_68746),
+	.Y(n_85184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1055608 (
+	.A_N(n_85181),
+	.B(n_13241),
+	.C(n_84182),
+	.Y(n_85182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1055609 (
+	.A_N(n_29421),
+	.B(n_74318),
+	.Y(n_85181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1055611 (
+	.A(n_85557),
+	.B(n_13241),
+	.Y(n_85185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1055630 (
+	.A(n_85557),
+	.B(n_85764),
+	.Y(n_85205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1055631 (
+	.A1(n_74746),
+	.A2(soc_top_u_top_u_core_load_store_unit_i_n_861),
+	.B1(n_13380),
+	.Y(n_85206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g1055632 (
+	.A(FE_DBTN39_n_68259),
+	.B(FE_DBTN30_n_85206),
+	.C(n_85205),
+	.Y(n_85208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1055676 (
+	.A1(n_60680),
+	.A2(n_60681),
+	.B1(n_60682),
+	.Y(n_85250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1055677 (
+	.A_N(n_81275),
+	.B(n_81276),
+	.Y(n_85251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g1055679 (
+	.A(FE_DBTN49_n_85250),
+	.B(n_60691),
+	.C(n_85254),
+	.D(n_85251),
+	.Y(n_85255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1055682 (
+	.A_N(n_60684),
+	.B(n_60689),
+	.Y(n_85254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_a_norm_DP_reg[7]  (
+	.CLK(CTS_116),
+	.D(n_11452),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [7]),
+	.Q_N(UNCONNECTED505),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1055712 (
+	.A0(n_74529),
+	.A1(n_61131),
+	.S(n_74746),
+	.X(n_85283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1055713 (
+	.A(n_57536),
+	.B(n_69899),
+	.C(n_61131),
+	.Y(n_85284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1055714 (
+	.A(n_59102),
+	.B(n_61131),
+	.Y(n_85285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1055718 (
+	.A(n_76624),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2061 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2330 ),
+	.Y(n_85288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1055719 (
+	.A(n_53943),
+	.B(n_85290),
+	.Y(n_85291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1055720 (
+	.A(FE_DBTN43_n_90647),
+	.B(n_85288),
+	.Y(n_85290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1055889 (
+	.A(n_85463),
+	.B(n_85464),
+	.Y(n_85465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1055890 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1328),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1330),
+	.Y(n_85463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1055891 (
+	.A(n_58590),
+	.Y(n_85464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1055896 (
+	.A(n_85470),
+	.B(FE_DBTN156_soc_top_u_top_u_core_instr_rdata_id_20),
+	.Y(n_85471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1055897 (
+	.A(soc_top_u_top_u_core_rf_raddr_b[1]),
+	.Y(n_85470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1055898 (
+	.A_N(FE_DBTN156_soc_top_u_top_u_core_instr_rdata_id_20),
+	.B(n_85470),
+	.Y(n_85472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g1055899 (
+	.A1_N(n_85470),
+	.A2_N(n_29613),
+	.B1(n_23967),
+	.B2(n_29658),
+	.Y(n_85474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_4 g1055901 (
+	.A1(n_85470),
+	.A2(n_29512),
+	.B1(n_25492),
+	.C1(n_25215),
+	.Y(n_85475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1055933 (
+	.A(n_85506),
+	.B(FE_DBTN26_n_77195),
+	.Y(n_85508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1055934 (
+	.A(n_79040),
+	.B(n_79041),
+	.Y(n_85506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1055936 (
+	.A(n_79041),
+	.B(n_79040),
+	.Y(n_85509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1055981 (
+	.A0(n_29759),
+	.A1(n_13176),
+	.S(n_29451),
+	.Y(n_85555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g1055983 (
+	.A(n_68746),
+	.Y(n_85557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1055984 (
+	.A(FE_DBTN40_n_84431),
+	.B(n_85558),
+	.Y(n_85559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_4 g1055985 (
+	.A_N(n_85555),
+	.B(n_85557),
+	.C(n_85764),
+	.Y(n_85558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1055986 (
+	.A(FE_DBTN118_n_84455),
+	.B(n_85558),
+	.Y(n_85560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1055987 (
+	.A(n_73957),
+	.B(n_85558),
+	.Y(n_85561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1055988 (
+	.A(FE_DBTN41_n_70004),
+	.B(n_85558),
+	.Y(n_85562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1056066 (
+	.A(n_85638),
+	.B(FE_DBTN72_n_90623),
+	.Y(n_85640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1056067 (
+	.A(n_62612),
+	.B(n_62613),
+	.Y(n_85638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1056069 (
+	.A(n_85641),
+	.B(FE_DBTN86_n_31303),
+	.Y(n_85642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1056070 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1095 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1069 ),
+	.Y(n_85641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g1056091 (
+	.A(n_80247),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_63),
+	.Y(n_85661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1056094 (
+	.A(n_88475),
+	.B(n_62004),
+	.Y(n_85662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1056095 (
+	.A(n_88474),
+	.B(n_78245),
+	.Y(n_85663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1056097 (
+	.A(n_85661),
+	.B(n_85662),
+	.C(n_85663),
+	.Y(n_85666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 WALLACE_CSA_DUMMY_OP_groupi_g1056099 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_556),
+	.B(n_85666),
+	.X(n_85669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 WALLACE_CSA_DUMMY_OP_groupi_g1056100 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_566),
+	.B(n_42760),
+	.C(n_85666),
+	.D(WALLACE_CSA_DUMMY_OP_groupi_n_556),
+	.Y(n_85670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1056186 (
+	.A(FE_DBTN106_n_17712),
+	.B(n_85756),
+	.C(FE_DBTN145_soc_top_u_top_u_core_rf_raddr_b_4),
+	.Y(n_85757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 g1056188 (
+	.A(n_17719),
+	.Y(n_85756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 g1056192 (
+	.A(n_85763),
+	.Y(n_85764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1056193 (
+	.A(n_72032),
+	.B(n_72035),
+	.Y(n_85763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1056236 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_302),
+	.A2(n_84835),
+	.B1(n_85809),
+	.X(n_85810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1056237 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_81),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_53),
+	.X(n_85809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1056238 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_302),
+	.B(n_84835),
+	.C(n_85809),
+	.Y(n_85811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1056285 (
+	.A(n_38885),
+	.B(n_17802),
+	.Y(n_85863), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1056286 (
+	.A(n_63779),
+	.B(n_17802),
+	.X(n_85864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 fopt1056287 (
+	.A(n_85863),
+	.Y(n_85865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt1056288 (
+	.A(n_85864),
+	.Y(n_85866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1056289  (
+	.A(n_40691),
+	.B(n_85867),
+	.Y(n_85868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_2 g1056290 (
+	.A1(n_85863),
+	.A2(n_85864),
+	.B1(n_85866),
+	.B2(n_85865),
+	.X(n_85867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1056349 (
+	.A(n_85932),
+	.B(n_85931),
+	.Y(n_85933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1056350 (
+	.A(n_85928),
+	.B(n_12397),
+	.C(n_85930),
+	.Y(n_85931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1056351 (
+	.A(n_85927),
+	.Y(n_85928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1056352 (
+	.A(n_719),
+	.B(n_85926),
+	.Y(n_85927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1056353 (
+	.A(\soc_top_lsu_to_xbar[a_address] [21]),
+	.Y(n_85926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1056354 (
+	.A(n_85929),
+	.Y(n_85930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1056355 (
+	.A_N(\soc_top_lsu_to_xbar[a_address] [19]),
+	.B(FE_DBTN9_n_87477),
+	.Y(n_85929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1056356 (
+	.A(n_12675),
+	.Y(n_85932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1056406 (
+	.A(n_85982),
+	.B(n_85983),
+	.Y(n_85984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1056407 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_161),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_229),
+	.Y(n_85982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_2 g1056408 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_44),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_46),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_54),
+	.X(n_85983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1056414 (
+	.A(n_85990),
+	.B(n_85991),
+	.Y(n_85992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1056415 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_101),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_314),
+	.Y(n_85990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1056416 (
+	.A(n_85811),
+	.B(n_85810),
+	.Y(n_85991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1056452 (
+	.A(n_59460),
+	.B(n_90649),
+	.Y(n_86031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1056455 (
+	.A(n_86031),
+	.Y(n_86033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1056456 (
+	.A(n_86031),
+	.B(n_15473),
+	.Y(n_86034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1056461 (
+	.A(n_86039),
+	.B(n_86040),
+	.Y(n_86041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1056462 (
+	.A(n_25877),
+	.B(n_39590),
+	.Y(n_86039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1056463 (
+	.A(n_39587),
+	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_q[18]),
+	.Y(n_86040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1056475 (
+	.A(n_87477),
+	.B(n_70129),
+	.Y(n_86053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1056476 (
+	.A(n_70129),
+	.B(n_87477),
+	.X(n_86054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1056568 (
+	.A(FE_DBTN14_n_80113),
+	.B(n_63875),
+	.Y(n_86167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g1056569 (
+	.A(n_50585),
+	.B(FE_DBTN14_n_80113),
+	.Y(n_86168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1056570 (
+	.A(FE_DBTN14_n_80113),
+	.B(n_27739),
+	.Y(n_86170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1056572 (
+	.A(n_28266),
+	.B(FE_DBTN14_n_80113),
+	.Y(n_86171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1056573 (
+	.A(n_78222),
+	.B(FE_DBTN14_n_80113),
+	.Y(n_86172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1056575 (
+	.A(n_55719),
+	.B(FE_DBTN14_n_80113),
+	.Y(n_86174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1056660 (
+	.A(n_77553),
+	.Y(n_86278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1056661 (
+	.A(n_86280),
+	.B(n_90559),
+	.C(n_87802),
+	.Y(n_40534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1056662 (
+	.A(n_15629),
+	.B(n_43537),
+	.Y(n_86280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1056671 (
+	.A(n_28317),
+	.B(n_28229),
+	.Y(n_86290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1056672  (
+	.A(n_31037),
+	.Y(n_86291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g1056673 (
+	.A(n_86291),
+	.B(n_79412),
+	.Y(n_86292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g1056674 (
+	.A1(addinc_ADD_UNS_OP_2_n_1970),
+	.A2(addinc_ADD_UNS_OP_2_n_224),
+	.B1_N(n_86293),
+	.X(n_86294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g1056675 (
+	.A(n_86290),
+	.B(n_86291),
+	.Y(n_86293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g1056676 (
+	.A(addinc_ADD_UNS_OP_2_n_224),
+	.B(n_86293),
+	.Y(n_86295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g1056677 (
+	.A(n_86296),
+	.B(addinc_ADD_UNS_OP_2_n_335),
+	.Y(n_86297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1056678 (
+	.A(n_86292),
+	.B(n_86290),
+	.C(n_86291),
+	.Y(n_86296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1056681 (
+	.A(FE_DBTN91_n_27677),
+	.B(n_86300),
+	.Y(n_86301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1056683 (
+	.A(n_76606),
+	.Y(n_86300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_fopt1056686  (
+	.A(n_63619),
+	.Y(n_86306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_8 g1056688 (
+	.A(n_80139),
+	.Y(n_86308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1056689 (
+	.A(n_43215),
+	.B(n_86310),
+	.C(n_56127),
+	.Y(n_86311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g1056690 (
+	.A(n_86308),
+	.B(n_86309),
+	.C(n_86306),
+	.D(FE_DBTN48_n_62712),
+	.Y(n_86310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1056691 (
+	.A(n_75630),
+	.Y(n_86309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1056692 (
+	.A(n_43215),
+	.B(n_86310),
+	.Y(n_86312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1056713 (
+	.A(n_79693),
+	.Y(n_86331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1056717 (
+	.A(n_78095),
+	.Y(n_86339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g1056747 (
+	.A(n_74967),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
+	.Y(n_86372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g1056748 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_0),
+	.B(n_30037),
+	.Y(n_86373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1056749 (
+	.A(n_78472),
+	.B(n_53333),
+	.Y(n_86374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1056750 (
+	.A(n_78580),
+	.B(n_77856),
+	.Y(n_86375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1056751 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1678 ),
+	.B(n_78581),
+	.Y(n_86376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1056752 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3489 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1950 ),
+	.Y(n_86377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1056753 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3329 ),
+	.B(n_60774),
+	.Y(n_86378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1056754 (
+	.A(n_86374),
+	.B(n_86891),
+	.Y(n_86379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1056755 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2340 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2248 ),
+	.Y(n_86380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1056756 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1977 ),
+	.B(n_38039),
+	.Y(n_86381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1056759 (
+	.A(n_61365),
+	.B(n_61357),
+	.Y(n_86384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1056760 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2572 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2373 ),
+	.Y(n_86385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1056761 (
+	.A(n_60783),
+	.B(n_60784),
+	.Y(n_86386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1056762 (
+	.A(n_74865),
+	.B(n_27055),
+	.Y(n_86387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1056765 (
+	.A(n_78345),
+	.B(n_87543),
+	.Y(n_86390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1056766 (
+	.A(n_78344),
+	.B(n_78352),
+	.Y(n_86391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1056767 (
+	.A(n_40546),
+	.B(n_60923),
+	.Y(n_86392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1056775 (
+	.A(n_55051),
+	.B(n_59431),
+	.Y(n_86400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1056776 (
+	.A(n_59113),
+	.B(n_75743),
+	.Y(n_86401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1056779 (
+	.A(addinc_ADD_UNS_OP_2_n_66),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_70),
+	.Y(n_86404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g1056780 (
+	.A_N(n_23676),
+	.B(n_39743),
+	.Y(n_86405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1056781 (
+	.A(n_74458),
+	.B(n_79311),
+	.Y(n_86406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g1056941 (
+	.A(n_28387),
+	.B(n_28450),
+	.C(n_34975),
+	.X(n_86410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g1056964 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1746),
+	.B(n_80263),
+	.Y(n_86433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1056965 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1749),
+	.B(n_78439),
+	.Y(n_86434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1056989 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1519 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1834 ),
+	.X(n_86458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1056997 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1521 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1833 ),
+	.X(n_86466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g1057002 (
+	.A(n_1509),
+	.B(n_73782),
+	.X(n_86471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1057003 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_81 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_89 ),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_534 ),
+	.X(n_86472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1057010 (
+	.A(n_75597),
+	.B(n_31152),
+	.X(n_86479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1057131 (
+	.A(n_29517),
+	.B(n_75173),
+	.X(n_86600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g1057137 (
+	.A(n_54033),
+	.B(n_90635),
+	.X(n_86606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1057138 (
+	.A(n_82014),
+	.B(n_81201),
+	.X(n_86607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1057139 (
+	.A(n_28383),
+	.B(n_53869),
+	.X(n_86608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1057143 (
+	.A(n_54030),
+	.B(n_75386),
+	.X(n_86612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1057144 (
+	.A(n_54938),
+	.B(n_78818),
+	.X(n_86613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_2 g1057149 (
+	.A1(n_72421),
+	.A2(n_79117),
+	.B1(n_25477),
+	.X(n_86618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 g1057152 (
+	.A1(n_13208),
+	.A2(n_41677),
+	.B1_N(n_74846),
+	.X(n_86621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1057155 (
+	.A1(n_77867),
+	.A2(n_60752),
+	.B1(n_77868),
+	.X(n_86624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_2 g1057167 (
+	.A(FE_DBTN47_n_63753),
+	.B(n_63755),
+	.C(n_78756),
+	.X(n_86636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_4 g1057168 (
+	.A(n_56598),
+	.B(addinc_ADD_UNS_OP_2_n_391),
+	.C(n_56601),
+	.D(addinc_ADD_UNS_OP_2_n_303),
+	.X(n_86637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1057179 (
+	.A(n_68937),
+	.B(n_10570),
+	.X(n_86648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1057180 (
+	.A(n_64880),
+	.B(n_69387),
+	.Y(n_86649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g1057211 (
+	.A(FE_DBTN60_n_58731),
+	.B(FE_DBTN72_n_90623),
+	.C(n_60435),
+	.X(n_86680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1057213 (
+	.A(n_58195),
+	.B(n_58196),
+	.X(n_86682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1057217 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1237 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1153 ),
+	.B1(n_77901),
+	.Y(n_86686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1057218 (
+	.A(n_57386),
+	.B(n_57387),
+	.X(n_86687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1057219 (
+	.A1(n_77966),
+	.A2(n_77967),
+	.B1(n_77968),
+	.X(n_86688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1057221 (
+	.A(n_50585),
+	.B(n_59371),
+	.X(n_86690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g1057222 (
+	.A(FE_DBTN70_n_87553),
+	.B(n_50585),
+	.X(n_86691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_2 g1057223 (
+	.A1(n_57370),
+	.A2(n_57414),
+	.B1(n_57415),
+	.X(n_86692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1057233 (
+	.A1(n_75558),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [10]),
+	.B1(n_35028),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [10]),
+	.C1(n_66473),
+	.X(n_86702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g1057236 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_904 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1316 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1315 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1093 ),
+	.X(n_86705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_2 g1057237 (
+	.A(n_17802),
+	.B(FE_DBTN18_n_78720),
+	.C(n_78722),
+	.X(n_86706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1057238 (
+	.A(n_78739),
+	.B(n_78740),
+	.X(n_86707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1057239 (
+	.A(n_78769),
+	.B(n_90686),
+	.X(n_86708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1057240 (
+	.A1(n_57389),
+	.A2(n_57390),
+	.B1(n_57377),
+	.X(n_86709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1057241 (
+	.A(n_42672),
+	.B(n_79122),
+	.X(n_86710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1057247 (
+	.A(n_72348),
+	.B(n_79157),
+	.X(n_86716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g1057248 (
+	.A1(n_75558),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [1]),
+	.B1(n_35028),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [1]),
+	.C1(n_66466),
+	.X(n_86717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1057249 (
+	.A(n_54527),
+	.B(n_44387),
+	.X(n_86718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_4 g1057266 (
+	.A1(n_72421),
+	.A2(n_44411),
+	.B1(n_25494),
+	.X(n_86735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1057270 (
+	.A_N(n_29701),
+	.B(n_68742),
+	.C(n_28853),
+	.Y(n_86739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_4 g1057274 (
+	.A(n_34965),
+	.B_N(\soc_top_gpio_to_xbarp[d_opcode] [0]),
+	.Y(n_86743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1057289 (
+	.A_N(n_35100),
+	.B(n_15808),
+	.Y(n_86758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1057299 (
+	.A_N(n_77405),
+	.B(FE_DBTN68_n_54295),
+	.Y(n_86768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1057300 (
+	.A_N(n_77402),
+	.B(FE_DBTN68_n_54295),
+	.Y(n_86769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1057307 (
+	.A_N(n_31344),
+	.B(n_29517),
+	.Y(n_86776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1057309 (
+	.A_N(n_62100),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_320),
+	.Y(n_86778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g1057323 (
+	.A(n_31715),
+	.B(n_31682),
+	.X(n_86792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1057324 (
+	.A_N(n_55070),
+	.B(FE_DBTN44_n_64842),
+	.Y(n_86793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1057345 (
+	.A_N(n_39663),
+	.B(n_55760),
+	.Y(n_86814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_4 g1057346 (
+	.A_N(n_38242),
+	.B(addinc_ADD_UNS_OP_2_n_621),
+	.C(n_79677),
+	.Y(n_86815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_2 g1057352 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2706 ),
+	.B(n_53439),
+	.X(n_86821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1057353 (
+	.A_N(n_74873),
+	.B(n_80113),
+	.Y(n_86822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1057354 (
+	.A_N(FE_DBTN46_n_64232),
+	.B(n_76617),
+	.Y(n_86823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1057356 (
+	.A_N(n_56961),
+	.B(n_74975),
+	.Y(n_86825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1057358 (
+	.A_N(n_55036),
+	.B(n_55029),
+	.Y(n_86827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1057359 (
+	.A_N(n_56619),
+	.B(n_60542),
+	.C(n_38899),
+	.Y(n_86828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1057360 (
+	.A_N(n_55700),
+	.B(n_42892),
+	.C(WALLACE_CSA_DUMMY_OP_groupi_n_1310),
+	.Y(n_86829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1057361 (
+	.A_N(n_87449),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3400 ),
+	.Y(n_86830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1057365 (
+	.A_N(n_74718),
+	.B(n_74721),
+	.Y(n_86834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1057366 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2590 ),
+	.B(n_60920),
+	.Y(n_86835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1057367 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2390 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2217 ),
+	.Y(n_86836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1057368 (
+	.A_N(n_57190),
+	.B(n_75718),
+	.C(n_56429),
+	.Y(n_86837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1057375 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1643 ),
+	.B(n_60936),
+	.Y(n_86844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1057376 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2802 ),
+	.B(n_80270),
+	.Y(n_86845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1057379 (
+	.A_N(n_60860),
+	.B(n_60866),
+	.Y(n_86848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1057380 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3621 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_782 ),
+	.Y(n_86849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1057381 (
+	.A_N(n_62017),
+	.B(n_79559),
+	.C(n_76719),
+	.D(n_55285),
+	.Y(n_86850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1057382 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1782 ),
+	.B(n_62410),
+	.Y(n_86851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_4 g1057384 (
+	.A(n_25035),
+	.B_N(soc_top_u_dccm_bank_sel[1]),
+	.Y(n_86853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1057401 (
+	.A_N(n_62129),
+	.B(n_62128),
+	.Y(n_86870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_4 g1057402 (
+	.A(n_34728),
+	.B_N(n_31084),
+	.X(n_86871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1057403 (
+	.A_N(n_87786),
+	.B(n_86308),
+	.C(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_119 ),
+	.Y(n_86872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1057407 (
+	.A_N(n_77463),
+	.B(n_80816),
+	.Y(n_86876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1057408 (
+	.A_N(n_62452),
+	.B(n_62454),
+	.Y(n_86877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1057409 (
+	.A_N(n_54591),
+	.B(n_77477),
+	.Y(n_86878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_2 g1057410 (
+	.A_N(n_77110),
+	.B(n_77954),
+	.C(n_77955),
+	.Y(n_86879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1057412 (
+	.A_N(n_55135),
+	.B(n_55139),
+	.Y(n_86881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1057413 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3098 ),
+	.B(n_78092),
+	.Y(n_86882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_2 g1057414 (
+	.A(n_78116),
+	.B_N(n_78123),
+	.Y(n_86883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1057415 (
+	.A_N(n_78107),
+	.B(n_78112),
+	.Y(n_86884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1057416 (
+	.A_N(n_78287),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_210),
+	.Y(n_86885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor3_1 g1057419 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1728 ),
+	.B(n_76598),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1727 ),
+	.X(n_86888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g1057421 (
+	.A(n_78357),
+	.B(n_78356),
+	.X(n_86890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1057422 (
+	.A_N(n_39720),
+	.B(n_85000),
+	.Y(n_86891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1057423 (
+	.A_N(n_87497),
+	.B(n_58503),
+	.C(n_78490),
+	.Y(n_86892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1057425 (
+	.A_N(n_78578),
+	.B(n_78599),
+	.Y(n_86894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1057426 (
+	.A_N(n_87548),
+	.B(n_78559),
+	.Y(n_86895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1057427 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1655 ),
+	.B(n_86705),
+	.Y(n_86896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1057428 (
+	.A_N(n_78559),
+	.B(n_87548),
+	.Y(n_86897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor3_1 g1057429 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1752 ),
+	.B(n_76309),
+	.C(n_40024),
+	.X(n_86898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor3_1 g1057430 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1655 ),
+	.B(n_78564),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1829 ),
+	.X(n_86899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1057432 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2474 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2264 ),
+	.Y(n_86901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1057433 (
+	.A_N(n_78708),
+	.B(n_77155),
+	.Y(n_86902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1057434 (
+	.A_N(n_78727),
+	.B(n_78733),
+	.Y(n_86903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1057435 (
+	.A1(n_59006),
+	.A2(n_59007),
+	.B1_N(soc_top_u_dccm_rdata3[22]),
+	.Y(n_86904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1057437 (
+	.A_N(n_77831),
+	.B(n_77832),
+	.Y(n_86906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1057438 (
+	.A(FE_DBTN54_n_78756),
+	.B(FE_DBTN47_n_63753),
+	.X(n_86907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1057441 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2940 ),
+	.B(n_79181),
+	.Y(n_86910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g1057442 (
+	.A(n_60855),
+	.B(n_79598),
+	.X(n_86911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1057444 (
+	.A_N(n_12424),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [3]),
+	.Y(n_86913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1057445 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [3]),
+	.B(n_12424),
+	.Y(n_86914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1057446 (
+	.A_N(n_55073),
+	.B(n_87566),
+	.Y(n_86915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1057447 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1119 ),
+	.B(n_80295),
+	.Y(n_86916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g1057448 (
+	.A_N(n_81717),
+	.B(n_26633),
+	.C(n_26390),
+	.X(n_86917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g1057451 (
+	.A_N(n_70174),
+	.B(n_3034),
+	.X(n_86920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1057455 (
+	.A_N(n_86331),
+	.B(n_39894),
+	.Y(n_86924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1057457 (
+	.A1(n_16282),
+	.A2(n_16239),
+	.B1_N(n_16248),
+	.Y(n_86926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g1057465 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1441 ),
+	.A2(n_31304),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1410 ),
+	.Y(n_86934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g1057473 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_335 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1436 ),
+	.B1(n_84568),
+	.C1(n_84570),
+	.X(n_86942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_2 g1057479 (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_391 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_114 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_60 ),
+	.Y(n_86948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g1057487 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1250 ),
+	.A2(n_31303),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1230 ),
+	.Y(n_86956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_2 g1057535 (
+	.A1(n_77591),
+	.A2(n_77594),
+	.B1_N(n_77597),
+	.Y(n_87004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_2 g1057537 (
+	.A1(n_61188),
+	.A2(n_81286),
+	.B1(n_16680),
+	.B2(n_17765),
+	.X(n_87006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31a_1 g1057538 (
+	.A1(n_77075),
+	.A2(n_86379),
+	.A3(n_60790),
+	.B1(n_60799),
+	.X(n_87007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_2 g1057539 (
+	.A1(n_55051),
+	.A2(n_36814),
+	.B1_N(n_87553),
+	.Y(n_87008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1057549 (
+	.A1(n_38872),
+	.A2(n_50586),
+	.B1_N(n_27821),
+	.X(n_87018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1057550 (
+	.A1(\soc_top_xbar_to_lsu[d_data] [27]),
+	.A2(n_18007),
+	.B1(n_18004),
+	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[27]),
+	.X(n_87019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1057552 (
+	.A_N(n_24294),
+	.B(n_24684),
+	.C(n_35728),
+	.D(n_23496),
+	.Y(n_87021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g1057557 (
+	.A1(n_17563),
+	.A2(n_19479),
+	.B1(n_20314),
+	.C1(n_20315),
+	.D1(n_23567),
+	.Y(n_87026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_2 g1057558 (
+	.A1(n_19480),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [4]),
+	.B1(n_19154),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [4]),
+	.C1(n_22598),
+	.Y(n_87027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1057559 (
+	.A1(n_19262),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [2]),
+	.B1(n_19436),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [2]),
+	.C1(n_22495),
+	.Y(n_87028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g1057561 (
+	.A_N(n_22114),
+	.B(n_20176),
+	.C(n_20179),
+	.X(n_87030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_2 g1057564 (
+	.A1(n_35038),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [29]),
+	.B1(n_19277),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [29]),
+	.C1(n_20977),
+	.Y(n_87033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1057565 (
+	.A1(FE_DBTN102_n_18754),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [29]),
+	.B1(n_18606),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [29]),
+	.C1(n_20941),
+	.Y(n_87034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1057566 (
+	.A1(n_19549),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [29]),
+	.B1(n_19480),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [29]),
+	.C1(n_20930),
+	.Y(n_87035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g1057567 (
+	.A1(n_35038),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [29]),
+	.B1(n_19277),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [29]),
+	.C1(n_20886),
+	.Y(n_87036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_2 g1057569 (
+	.A_N(soc_top_u_top_u_core_instr_rdata_alu_id[17]),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[18]),
+	.C(soc_top_u_top_u_core_instr_rdata_alu_id[16]),
+	.Y(n_87038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1057611 (
+	.A1(n_31388),
+	.A2(n_71922),
+	.B1_N(n_16114),
+	.X(n_87080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1057618 (
+	.A0(FE_DBTN68_n_54295),
+	.A1(n_54295),
+	.S(n_76320),
+	.X(n_87087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g1057619 (
+	.A(n_12893),
+	.B(n_29339),
+	.C_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.Y(n_87088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_4 g1057662 (
+	.A1(n_1566),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [3]),
+	.B1(n_1957),
+	.X(n_87131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_2 g1057667 (
+	.A1(n_18502),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [18]),
+	.B1(n_84639),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [18]),
+	.C1(n_35925),
+	.Y(n_87136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_2 g1057668 (
+	.A1(n_18034),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [8]),
+	.B1(n_18094),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [8]),
+	.C1(n_20965),
+	.Y(n_87137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 g1057669 (
+	.A1_N(n_12310),
+	.A2_N(n_12408),
+	.B1(n_12417),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [32]),
+	.Y(n_87138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1057670 (
+	.A1(n_62077),
+	.A2(n_62059),
+	.B1(n_15324),
+	.X(n_87139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1057671 (
+	.A1(n_53967),
+	.A2(n_38292),
+	.B1(WALLACE_CSA_DUMMY_OP_groupi_n_1125),
+	.X(n_87140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1057672 (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_349 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_202 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_24 ),
+	.X(n_87141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1057673 (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_395 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_110 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_43 ),
+	.X(n_87142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1057674 (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_566 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_45 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_95 ),
+	.X(n_87143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1057675 (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_298 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_93 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_101 ),
+	.X(n_87144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor3_1 g1057678 (
+	.A(n_40630),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1899 ),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1730 ),
+	.X(n_87147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1057680 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1480 ),
+	.A2(n_76307),
+	.B1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1460 ),
+	.X(n_87149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1057681 (
+	.A1(n_31066),
+	.A2(n_31067),
+	.B1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_376 ),
+	.X(n_87150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_2 g1057682 (
+	.A1_N(n_78726),
+	.A2_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1181 ),
+	.B1(n_76611),
+	.B2(n_86706),
+	.X(n_87151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1057683 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3790 ),
+	.A2(FE_DBTN24_n_77220),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3791 ),
+	.B2(n_77220),
+	.X(n_87152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1057684 (
+	.A1(n_59646),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3561 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_808 ),
+	.B2(n_80470),
+	.X(n_87153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1057707 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2492 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [26]),
+	.B1(n_83983),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [23]),
+	.Y(n_87176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1057708 (
+	.A(n_79506),
+	.B(n_63008),
+	.C(n_76351),
+	.Y(n_87177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1057709 (
+	.A1(n_83980),
+	.A2(n_75771),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_8 ),
+	.B2(n_58897),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
+	.C2(n_29042),
+	.Y(n_87178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1057934 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_743 ),
+	.A2(FE_DBTN80_n_84985),
+	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_773 ),
+	.X(n_87403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1057942 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_652 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_664 ),
+	.S(FE_DBTN88_n_31300),
+	.X(n_87411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_2 g1057960 (
+	.A1(n_41955),
+	.A2(n_31076),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_131 ),
+	.B2(n_41956),
+	.X(n_87429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g1057968 (
+	.A(n_45042),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1806 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1548 ),
+	.D(n_74467),
+	.Y(n_87437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1057970 (
+	.A1(n_59460),
+	.A2(n_14331),
+	.B1_N(n_14857),
+	.X(n_87439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1057971 (
+	.A(n_79717),
+	.B(n_39671),
+	.C(n_53693),
+	.Y(n_87440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1057972 (
+	.A(n_58991),
+	.B(n_54005),
+	.C(n_51478),
+	.Y(n_87441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_2 g1057973 (
+	.A1(n_78691),
+	.A2(n_78680),
+	.B1(n_78696),
+	.C1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2779 ),
+	.Y(n_87442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1057974 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1310 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1380 ),
+	.B1_N(FE_DBTN72_n_90623),
+	.X(n_87443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1057975 (
+	.A1(n_76634),
+	.A2(n_54413),
+	.B1(n_54401),
+	.B2(n_54411),
+	.X(n_87444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1057977 (
+	.A(n_55015),
+	.B(n_55285),
+	.C(n_42698),
+	.Y(n_87446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1057980 (
+	.A(n_78603),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2592 ),
+	.X(n_87449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1057983 (
+	.A1(n_75779),
+	.A2(n_75780),
+	.B1_N(n_57302),
+	.X(n_87452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1057984 (
+	.A1(n_57360),
+	.A2(n_75420),
+	.B1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1798 ),
+	.X(n_87453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1057985 (
+	.A1(n_57408),
+	.A2(n_57407),
+	.B1(n_75421),
+	.X(n_87454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1057986 (
+	.A_N(n_57896),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1412),
+	.C(n_79613),
+	.D(WALLACE_CSA_DUMMY_OP_groupi_n_1813),
+	.Y(n_87455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_2 g1057987 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2527 ),
+	.B(n_87518),
+	.X(n_87456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1057988 (
+	.A1(n_87458),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1902 ),
+	.B1(n_57639),
+	.Y(n_87457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1057989 (
+	.A1(n_43935),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3580 ),
+	.B1_N(n_57636),
+	.X(n_87458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g1057992 (
+	.A(n_59184),
+	.B(n_75831),
+	.C(FE_DBTN14_n_80113),
+	.D(n_87553),
+	.Y(n_87461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_2 g1057996 (
+	.A_N(n_58418),
+	.B(n_75247),
+	.C(n_46205),
+	.Y(n_87465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1057997 (
+	.A1(n_75627),
+	.A2(n_76674),
+	.B1_N(n_75803),
+	.X(n_87466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1057998 (
+	.A1(n_58664),
+	.A2(FE_DBTN72_n_90623),
+	.B1(n_79255),
+	.B2(n_90623),
+	.X(n_87467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_2 g1058001 (
+	.A1(n_86386),
+	.A2(n_60808),
+	.A3(n_78480),
+	.B1(n_60811),
+	.B2(n_75843),
+	.X(n_87470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g1058006 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1415 ),
+	.B(n_43266),
+	.C(n_62986),
+	.D(n_62875),
+	.Y(n_87475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_4 g1058008 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_158),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_325),
+	.Y(n_87477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g1058028 (
+	.A1(n_57269),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2784 ),
+	.B1_N(n_78702),
+	.X(n_87497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1058029 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1419 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1327 ),
+	.S(n_31304),
+	.Y(n_87498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1058035 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_780 ),
+	.A2(n_54928),
+	.B1_N(n_60953),
+	.Y(n_87504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31o_1 g1058037 (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_395 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_110 ),
+	.A3(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_18 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_229 ),
+	.X(n_87506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1058038 (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_371 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_170 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_214 ),
+	.X(n_87507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1058039 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_244),
+	.A2(n_78181),
+	.B1(WALLACE_CSA_DUMMY_OP_groupi_n_229),
+	.X(n_87508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1058040 (
+	.A1(n_78701),
+	.A2(n_74991),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2784 ),
+	.X(n_87509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1058041 (
+	.A1(n_57369),
+	.A2(n_59032),
+	.B1(n_76701),
+	.X(n_87510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1058042 (
+	.A1(n_62848),
+	.A2(n_62843),
+	.B1(n_59049),
+	.X(n_87511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1058045 (
+	.A1(n_84335),
+	.A2(n_84333),
+	.B1(n_25475),
+	.Y(n_87514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1058048 (
+	.A1(n_18924),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [4]),
+	.B1(n_18866),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [4]),
+	.Y(n_87517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1058049 (
+	.A0(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2492 ),
+	.A1(n_57547),
+	.S(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2524 ),
+	.X(n_87518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31o_1 g1058052 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_201 ),
+	.A2(n_75384),
+	.A3(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_140 ),
+	.B1(n_62575),
+	.X(n_87521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1058053 (
+	.A1(n_77060),
+	.A2(n_54332),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2572 ),
+	.B2(n_54365),
+	.X(n_87522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_2 g1058055 (
+	.A(n_62437),
+	.B(n_62438),
+	.X(n_87524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_2 g1058057 (
+	.A(n_77464),
+	.B(n_77457),
+	.C_N(n_77463),
+	.Y(n_87526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_4 g1058059 (
+	.A(n_77510),
+	.B(n_77511),
+	.Y(n_87528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g1058060 (
+	.A1(n_50076),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3620 ),
+	.B1(n_77817),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1361 ),
+	.Y(n_87529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g1058061 (
+	.A1(n_29812),
+	.A2(n_77821),
+	.B1_N(n_77822),
+	.X(n_87530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g1058062 (
+	.A0(n_34740),
+	.A1(n_39847),
+	.S(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_376 ),
+	.Y(n_87531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g1058063 (
+	.A_N(n_78545),
+	.B(soc_top_u_dccm_bank_sel[1]),
+	.C(soc_top_u_dccm_rdata4[27]),
+	.D(n_24877),
+	.Y(n_87532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1058064 (
+	.A(n_58226),
+	.B(n_55285),
+	.C(n_77942),
+	.Y(n_87533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g1058066 (
+	.A1(n_77063),
+	.A2(n_86680),
+	.B1(n_78188),
+	.Y(n_87535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1058067 (
+	.A(n_78150),
+	.B(n_59298),
+	.C(n_87553),
+	.Y(n_87536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1058068 (
+	.A(FE_DBTN73_n_61059),
+	.B(n_39671),
+	.C(\soc_top_u_top_u_core_fp_operands[2] [19]),
+	.Y(n_87537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1058071 (
+	.A(n_53229),
+	.B(n_53536),
+	.C(n_58731),
+	.Y(n_87540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g1058073 (
+	.A1(n_78353),
+	.A2(n_78386),
+	.B1_N(n_78388),
+	.X(n_87542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1058074 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2341 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2349 ),
+	.X(n_87543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1058076 (
+	.A1(n_78399),
+	.A2(n_78355),
+	.B1(n_78411),
+	.Y(n_87545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1058077 (
+	.A1(n_78354),
+	.A2(n_78405),
+	.B1_N(n_78404),
+	.X(n_87546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1058078 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2340 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2248 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2398 ),
+	.Y(n_87547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1058079 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1829 ),
+	.A2(n_86896),
+	.B1(n_78564),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1655 ),
+	.X(n_87548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1058080 (
+	.A1(n_78582),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1835 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1678 ),
+	.B2(n_77856),
+	.X(n_87549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor3_1 g1058081 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1762 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1920 ),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3535 ),
+	.X(n_87550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1058082 (
+	.A(n_86899),
+	.B(n_78610),
+	.Y(n_87551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1058083 (
+	.A1(n_86376),
+	.A2(n_86375),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1835 ),
+	.X(n_87552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_2 g1058084 (
+	.A1(n_31112),
+	.A2(n_31111),
+	.B1_N(n_75736),
+	.X(n_87553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1058085 (
+	.A1(n_78697),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2474 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2264 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3653 ),
+	.X(n_87554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1058086 (
+	.A(n_78741),
+	.B(n_87591),
+	.Y(n_87555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1058087 (
+	.A(n_56694),
+	.B(n_58518),
+	.C(n_76863),
+	.Y(n_87556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g1058091 (
+	.A1(n_79177),
+	.A2(n_79176),
+	.B1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2812 ),
+	.X(n_87560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1058094 (
+	.A1(n_49006),
+	.A2(n_61252),
+	.B1(n_25535),
+	.X(n_87563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_4 g1058095 (
+	.A1(n_81303),
+	.A2(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.B1(n_79271),
+	.Y(n_87564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1058097 (
+	.A(n_80257),
+	.B(n_59311),
+	.C(n_76867),
+	.Y(n_87566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1058098 (
+	.A_N(n_80298),
+	.B(n_80287),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1624 ),
+	.Y(n_87567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g1058107 (
+	.A(n_72567),
+	.B(n_84338),
+	.C(n_84339),
+	.D(n_84340),
+	.X(n_87576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_4 g1058109 (
+	.A1(n_93140),
+	.A2(n_72624),
+	.B1(n_72622),
+	.B2(n_73581),
+	.X(n_87578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g1058110 (
+	.A_N(n_73460),
+	.B(n_42633),
+	.C(n_42634),
+	.X(n_87579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1058111 (
+	.A1(n_84639),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [14]),
+	.B1(n_18638),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [14]),
+	.Y(n_87580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_4 g1058116 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2485 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2395 ),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2525 ),
+	.X(n_87585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1058121 (
+	.A1(addinc_ADD_UNS_OP_2_n_973),
+	.A2(n_57862),
+	.B1_N(n_56555),
+	.Y(n_87590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g1058122 (
+	.A1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1197 ),
+	.A2_N(n_77291),
+	.B1(n_39717),
+	.B2(n_77281),
+	.Y(n_87591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_2 g1058123 (
+	.A1(n_73660),
+	.A2(n_75376),
+	.A3(n_63753),
+	.B1(n_87467),
+	.B2(FE_DBTN63_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sll_527_36_n_957),
+	.Y(n_87592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1058124 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3646 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1404 ),
+	.B1_N(n_77839),
+	.Y(n_87593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g1058125 (
+	.A1(n_61252),
+	.A2(n_49006),
+	.B1(n_25535),
+	.C1(n_71622),
+	.X(n_87594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1058127 (
+	.A1_N(n_79205),
+	.A2_N(n_79208),
+	.B1(n_79204),
+	.B2(n_79203),
+	.Y(n_87596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1058128 (
+	.A1(n_74981),
+	.A2(n_57398),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1798 ),
+	.X(n_87597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1058129 (
+	.A1(n_72199),
+	.A2(n_48960),
+	.B1(n_84188),
+	.X(n_87598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1058130 (
+	.A1(n_62132),
+	.A2(n_62133),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2705 ),
+	.X(n_87599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_4 g1058134 (
+	.A(n_43776),
+	.B(n_79503),
+	.C(n_79759),
+	.D(n_55882),
+	.Y(n_87603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g1058142 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1438 ),
+	.A2(n_31304),
+	.B1(n_87611),
+	.Y(n_87612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1058143 (
+	.A1(n_84569),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1246 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1312 ),
+	.Y(n_87611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g1058176 (
+	.A1(n_87645),
+	.A2(n_71922),
+	.B1_N(n_85103),
+	.X(n_87646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1058177 (
+	.A(n_86735),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_229 ),
+	.Y(n_87645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1058222 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_335 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1418 ),
+	.B1(n_87691),
+	.Y(n_87692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g1058223 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1258 ),
+	.A2(n_70249),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1981 ),
+	.Y(n_87691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_2 g1058236 (
+	.A1(n_87705),
+	.A2(n_24215),
+	.B1_N(n_18007),
+	.Y(n_87706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1058237 (
+	.A(n_39937),
+	.B(n_39936),
+	.Y(n_87705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1058242 (
+	.A1(n_87711),
+	.A2(n_75798),
+	.B1(n_85122),
+	.X(n_87712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1058243 (
+	.A_N(n_13847),
+	.B(n_13205),
+	.Y(n_87711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1058248 (
+	.A(n_87717),
+	.B(n_78418),
+	.Y(n_87718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1058249 (
+	.A_N(n_78415),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3479 ),
+	.Y(n_87717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_4 g1058250 (
+	.A_N(n_81709),
+	.B(n_87719),
+	.C(n_87564),
+	.Y(n_87720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_4 g1058251 (
+	.A1(n_81282),
+	.A2(n_20200),
+	.B1_N(n_25972),
+	.Y(n_87719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1058260 (
+	.A(n_87729),
+	.B(FE_DBTN24_n_77220),
+	.Y(n_87730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g1058261 (
+	.A(n_61199),
+	.B(n_71622),
+	.X(n_87729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1058262 (
+	.A(n_87731),
+	.B(n_60910),
+	.Y(n_87732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1058263 (
+	.A1(n_60911),
+	.A2(n_76870),
+	.B1(n_60913),
+	.X(n_87731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 g1058265 (
+	.A(n_42340),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2478 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2576 ),
+	.COUT(n_87736),
+	.SUM(n_87735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g1058270 (
+	.A(n_75848),
+	.B(n_63142),
+	.X(n_62826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1058272 (
+	.A(n_34724),
+	.B(n_77220),
+	.X(n_37589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1058274  (
+	.A(n_31076),
+	.B(n_34715),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_824 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1058280 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_986),
+	.B(n_46260),
+	.X(n_75099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_2 g1058282 (
+	.A(n_90639),
+	.B(n_80775),
+	.X(n_37552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g1058284 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2451 ),
+	.B(n_79669),
+	.COUT(UNCONNECTED506),
+	.SUM(n_87569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1058287 (
+	.A(n_87737),
+	.B(n_87738),
+	.Y(n_87739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1058288 (
+	.A(n_59252),
+	.B(n_30931),
+	.Y(n_87737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1058289 (
+	.A(n_78044),
+	.B(n_57103),
+	.Y(n_87738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1058292 (
+	.A(n_64885),
+	.B(n_26806),
+	.C(n_77852),
+	.Y(n_87742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1058293 (
+	.A(n_84237),
+	.B(n_87744),
+	.C(n_88166),
+	.Y(n_87746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1058295 (
+	.A(n_87742),
+	.B(n_87743),
+	.Y(n_87744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1058296 (
+	.A(n_26920),
+	.Y(n_87743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1058297 (
+	.A(n_87744),
+	.B(n_84237),
+	.Y(n_87747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1058298 (
+	.A(n_28257),
+	.B(n_27591),
+	.Y(n_87748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1058301 (
+	.A(n_87751),
+	.B(n_62314),
+	.C(n_62332),
+	.Y(n_87753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1058303 (
+	.A(n_87748),
+	.B(n_89033),
+	.C(n_87553),
+	.Y(n_87751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1058304 (
+	.A(n_87751),
+	.B(n_62314),
+	.Y(n_87754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1058305 (
+	.A(n_62332),
+	.B(n_87751),
+	.C(n_62314),
+	.Y(n_87755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1058306 (
+	.A(n_62332),
+	.B(n_87751),
+	.Y(n_87756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1058307 (
+	.A(n_87751),
+	.B(n_62323),
+	.C(n_62324),
+	.Y(n_87757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g1058315 (
+	.A(n_15644),
+	.B(n_15641),
+	.Y(n_87765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1058318 (
+	.A(n_74556),
+	.B(n_87802),
+	.Y(n_87768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g1058319 (
+	.A(n_90658),
+	.B(n_13250),
+	.C(n_75714),
+	.D(n_87802),
+	.Y(n_87769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1058321 (
+	.A(n_87802),
+	.B(n_90659),
+	.C(n_46220),
+	.Y(n_87771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1058322 (
+	.A(n_59549),
+	.B(n_87802),
+	.Y(n_87772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1058323 (
+	.A(n_44460),
+	.B(n_87802),
+	.Y(n_87773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1058324 (
+	.A(n_87802),
+	.B(n_42676),
+	.C(n_79710),
+	.Y(n_87774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1058325 (
+	.A(n_87802),
+	.B(n_40919),
+	.C(n_41199),
+	.Y(n_87775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1058326 (
+	.A(n_44029),
+	.B(n_87802),
+	.Y(n_87776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g1058327 (
+	.A(n_86034),
+	.B(n_15507),
+	.C(n_87802),
+	.D(n_15597),
+	.Y(n_87777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1058328 (
+	.A(n_87802),
+	.B(n_43134),
+	.C(n_76604),
+	.Y(n_87778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1058329 (
+	.A(n_59464),
+	.B(n_87802),
+	.Y(n_87779), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1058330 (
+	.A(n_43997),
+	.B(n_87802),
+	.Y(n_87780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1058331 (
+	.A(n_87802),
+	.B(n_41047),
+	.Y(n_87781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_4 g1058332 (
+	.A(n_87802),
+	.B(n_59465),
+	.C(n_90660),
+	.D(n_42832),
+	.Y(n_87782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1058333 (
+	.A(FE_DBTN109_n_62758),
+	.B(n_35131),
+	.C(n_87802),
+	.Y(n_87783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1058334 (
+	.A(n_87802),
+	.B(n_43268),
+	.C(n_45046),
+	.Y(n_87784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1058335 (
+	.A(n_45525),
+	.B(n_87802),
+	.Y(n_87785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1058336 (
+	.A(n_87802),
+	.B(n_44447),
+	.X(n_87786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1058337 (
+	.A(n_87802),
+	.B(n_42728),
+	.C(n_43517),
+	.Y(n_87787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1058338 (
+	.A(n_60463),
+	.B_N(n_87802),
+	.Y(n_87788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1058341 (
+	.A(n_87802),
+	.B(n_62970),
+	.C(n_80185),
+	.Y(n_87791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1058342 (
+	.A(n_39210),
+	.B(n_87802),
+	.Y(n_87792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1058343 (
+	.A(n_87802),
+	.B(n_15585),
+	.C(n_44012),
+	.Y(n_87793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1058344 (
+	.A(n_40894),
+	.B(n_87802),
+	.Y(n_87794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1058345 (
+	.A1_N(n_53846),
+	.A2_N(n_53847),
+	.B1(n_53847),
+	.B2(n_53846),
+	.Y(n_87795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g1058346 (
+	.A(n_80810),
+	.B(n_47819),
+	.Y(n_87796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1058347 (
+	.A(n_87797),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1831),
+	.X(n_87798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g1058348 (
+	.A(n_87795),
+	.B(n_87796),
+	.Y(n_87797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g1058349 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_1830),
+	.B(n_87797),
+	.Y(n_87799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g1058350 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1832),
+	.B(n_87797),
+	.Y(n_87801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_16 g1058352 (
+	.A(n_87765),
+	.Y(n_87802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1058353 (
+	.A(n_87804),
+	.B(n_87771),
+	.Y(n_87805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1058354 (
+	.A(n_87803),
+	.B(n_87802),
+	.Y(n_87804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g1058355 (
+	.A1(n_70340),
+	.A2(n_77987),
+	.B1(n_42606),
+	.Y(n_87803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4bb_1 g1058358 (
+	.A_N(n_87804),
+	.B_N(n_87781),
+	.C(n_540),
+	.D(FE_DBTN109_n_62758),
+	.X(n_87808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1058359 (
+	.A(n_87809),
+	.B(n_87810),
+	.Y(n_87811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1058360 (
+	.A(n_59252),
+	.B(n_30936),
+	.Y(n_87809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1058361 (
+	.A(n_80342),
+	.B(FE_DBTN46_n_64232),
+	.Y(n_87810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1049757_dup1058365 (
+	.A(n_87816),
+	.B(n_80703),
+	.C(n_80113),
+	.Y(n_87817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1058366 (
+	.A(n_87815),
+	.B(n_57702),
+	.Y(n_87816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1058367 (
+	.A(n_59014),
+	.Y(n_87815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1058368 (
+	.A(n_87816),
+	.B(n_80703),
+	.C(n_80113),
+	.Y(n_87819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1058374 (
+	.A(n_79487),
+	.B(n_89310),
+	.Y(n_87825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 g1058378 (
+	.A1(n_87828),
+	.A2(FE_DBTN46_n_64232),
+	.B1(n_87830),
+	.Y(n_87831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1058379 (
+	.A(n_30942),
+	.Y(n_87828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1058381 (
+	.A(n_56622),
+	.B(n_86613),
+	.Y(n_87830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g1058384 (
+	.A(FE_DBTN21_n_78083),
+	.B(n_88175),
+	.Y(n_87834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1058385 (
+	.A(n_87836),
+	.B(n_58805),
+	.Y(n_87837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1058386 (
+	.A_N(n_87834),
+	.B(n_87835),
+	.Y(n_87836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 WALLACE_CSA_DUMMY_OP_groupi_g1058387 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_334),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_238),
+	.Y(n_87835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g1058388 (
+	.A(n_75436),
+	.B_N(n_87836),
+	.Y(n_87838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1058389 (
+	.A(n_56594),
+	.B(n_87836),
+	.Y(n_87840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g1058391 (
+	.A(n_56592),
+	.B(n_87836),
+	.Y(n_87841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1058392  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3043 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2940 ),
+	.Y(n_87842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1058393  (
+	.A(n_31007),
+	.B(n_79181),
+	.Y(n_87843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1058394 (
+	.A(n_47808),
+	.B(n_87846),
+	.Y(n_87847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_4 g1058395 (
+	.A(n_87842),
+	.B(n_87843),
+	.C(n_87844),
+	.D(n_87845),
+	.Y(n_87846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1058396 (
+	.A(n_55782),
+	.B(n_55781),
+	.Y(n_87844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1058397 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3318 ),
+	.B(n_55880),
+	.Y(n_87845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1058398 (
+	.A(n_55789),
+	.B(n_87846),
+	.Y(n_87849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g1058402 (
+	.A(n_87851),
+	.B(n_78537),
+	.Y(n_87853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g1058403 (
+	.A(n_41155),
+	.B(n_73859),
+	.Y(n_87851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1058407 (
+	.A(n_88487),
+	.Y(n_87857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 fopt1058408 (
+	.A(n_81749),
+	.Y(n_87858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1058411 (
+	.A(n_87857),
+	.B(n_87858),
+	.Y(n_87859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1058412 (
+	.A(n_77553),
+	.B(n_87859),
+	.Y(n_87862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1058413 (
+	.A(n_27217),
+	.B(n_36828),
+	.C(n_87859),
+	.Y(n_87863), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 g1058414 (
+	.A(n_27937),
+	.Y(n_87864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 WALLACE_CSA_DUMMY_OP_groupi_g1058415 (
+	.A(n_87867),
+	.B(n_23661),
+	.X(n_87868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1058416 (
+	.A(n_87865),
+	.B(n_87866),
+	.C(n_87864),
+	.Y(n_87867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1058417 (
+	.A_N(n_55595),
+	.B(n_59371),
+	.Y(n_87865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1058418 (
+	.A(n_55595),
+	.B(n_87553),
+	.Y(n_87866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 WALLACE_CSA_DUMMY_OP_groupi_g1058419 (
+	.A(n_23661),
+	.B(n_87867),
+	.Y(n_87869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g1058420 (
+	.A(n_87867),
+	.B(n_23661),
+	.Y(n_87870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1058421 (
+	.A(n_87867),
+	.B(n_23661),
+	.Y(n_87871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1058422 (
+	.A(n_87867),
+	.Y(n_87872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 g1058423 (
+	.A1_N(n_78000),
+	.A2_N(n_54318),
+	.B1(n_87867),
+	.B2(n_78000),
+	.Y(n_87873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g1058424 (
+	.A(n_87867),
+	.B(n_78000),
+	.Y(n_87874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 WALLACE_CSA_DUMMY_OP_groupi_g1058425 (
+	.A1(n_87872),
+	.A2(n_78000),
+	.B1(n_54311),
+	.B2(n_87867),
+	.Y(n_87876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 fopt1058429 (
+	.A(n_88483),
+	.Y(n_87878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1058431 (
+	.A(n_87878),
+	.B(n_76664),
+	.C(n_55477),
+	.Y(n_87881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1058662 (
+	.A(n_88097),
+	.B(n_53643),
+	.Y(n_88098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g1058663 (
+	.A(n_77102),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2494 ),
+	.Y(n_88097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1058664 (
+	.A1(n_77102),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2494 ),
+	.B1(n_88099),
+	.Y(n_88100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1058665 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2494 ),
+	.B(n_77102),
+	.Y(n_88099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1058666 (
+	.A(addinc_ADD_UNS_OP_2_n_856),
+	.B(addinc_ADD_UNS_OP_2_n_912),
+	.Y(n_88101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1058667 (
+	.A(addinc_ADD_UNS_OP_2_n_856),
+	.B(addinc_ADD_UNS_OP_2_n_912),
+	.Y(n_88102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_4 g1058671 (
+	.A(n_60569),
+	.B(n_87444),
+	.COUT(UNCONNECTED507),
+	.SUM(n_86824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_2 g1058673 (
+	.A(n_55897),
+	.B(n_80254),
+	.COUT(UNCONNECTED508),
+	.SUM(n_79542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1058674 (
+	.A(n_88107),
+	.B(n_75848),
+	.Y(n_88108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1058675 (
+	.A(n_88105),
+	.B(n_88106),
+	.Y(n_88107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1058676 (
+	.A(n_25291),
+	.B(n_74439),
+	.Y(n_88105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_4 g1058677 (
+	.A1_N(n_17006),
+	.A2_N(n_25130),
+	.B1(soc_top_u_dccm_rdata1[1]),
+	.B2(n_25186),
+	.Y(n_88106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1058679 (
+	.A(n_87509),
+	.B(n_57300),
+	.C(n_88112),
+	.Y(n_88113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1058680 (
+	.A(n_88110),
+	.B(n_88111),
+	.Y(n_88112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1058681 (
+	.A(n_78706),
+	.Y(n_88110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1058682 (
+	.A(n_78695),
+	.B(n_78680),
+	.Y(n_88111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1058683 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2997 ),
+	.B(n_88112),
+	.Y(n_88114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1058684  (
+	.A_N(n_88112),
+	.B(n_39008),
+	.Y(n_88115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1058693 (
+	.A(n_57675),
+	.Y(n_88126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1058694 (
+	.A(n_25317),
+	.B(n_88128),
+	.Y(n_88130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g1058696 (
+	.A1(n_88126),
+	.A2(soc_top_u_dccm_rdata2[10]),
+	.B1(n_88127),
+	.Y(n_88128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g1058697 (
+	.A(soc_top_u_dccm_bank_sel[0]),
+	.B(n_24879),
+	.C(soc_top_u_dccm_bank_sel[1]),
+	.D(soc_top_u_dccm_rdata4[10]),
+	.X(n_88127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1058698 (
+	.A1(n_88128),
+	.A2(n_25318),
+	.B1(n_23998),
+	.X(n_88131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1058700 (
+	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[27]),
+	.A1(n_88135),
+	.S(n_1494),
+	.X(n_88137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1058702 (
+	.A(n_88133),
+	.B(n_88134),
+	.C(FE_DBTN12_n_81281),
+	.Y(n_88135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1058703 (
+	.A(n_79155),
+	.Y(n_88133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1058704 (
+	.A(n_62829),
+	.Y(n_88134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1058705 (
+	.A(n_88135),
+	.B(n_17765),
+	.Y(n_88138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1058706 (
+	.A(n_61669),
+	.B(n_88141),
+	.Y(n_88143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1058708 (
+	.A(n_88139),
+	.B(n_88140),
+	.Y(n_88141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1058709 (
+	.A(n_58928),
+	.B(n_75400),
+	.Y(n_88139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1058710  (
+	.A(n_78519),
+	.B(n_57852),
+	.Y(n_88140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g1058713 (
+	.A(n_61670),
+	.B(n_88141),
+	.Y(n_88146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1058714 (
+	.A(n_58382),
+	.B(n_88149),
+	.Y(n_88150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1058715 (
+	.A(n_88147),
+	.B(n_88148),
+	.Y(n_88149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1058716 (
+	.A(n_78852),
+	.Y(n_88147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1058717 (
+	.A(FE_DBTN51_n_61429),
+	.B(n_79633),
+	.Y(n_88148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1058720 (
+	.A(n_38327),
+	.B(n_88149),
+	.Y(n_88153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1058721 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_355),
+	.B(n_59198),
+	.Y(n_88154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 WALLACE_CSA_DUMMY_OP_groupi_g1058722 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_1311),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_1048),
+	.B1(n_88156),
+	.X(n_88158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g1058724 (
+	.A(n_88155),
+	.B(n_88154),
+	.Y(n_88156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g1058725 (
+	.A(n_74670),
+	.B(n_59197),
+	.Y(n_88155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g1058726 (
+	.A(n_88156),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1045),
+	.Y(n_88159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_2 g1058729 (
+	.A1(n_88163),
+	.A2(n_57092),
+	.B1(n_26917),
+	.X(n_88164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1058730 (
+	.A(n_88162),
+	.B(FE_DBTN62_n_69924),
+	.C(n_73576),
+	.Y(n_88163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1058731 (
+	.A(n_69922),
+	.B(n_80345),
+	.Y(n_88162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1058732 (
+	.A1(n_58196),
+	.A2(n_58195),
+	.B1_N(n_88163),
+	.Y(n_88165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1058733 (
+	.A(n_26805),
+	.B(n_88163),
+	.Y(n_88166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1058734 (
+	.A(n_77446),
+	.B(n_88163),
+	.Y(n_88168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1058736 (
+	.A(n_62881),
+	.B(n_62882),
+	.Y(n_88169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1058737 (
+	.A(n_58162),
+	.B(n_58163),
+	.Y(n_88170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g1058738 (
+	.A(n_88171),
+	.B(n_40156),
+	.Y(n_88173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 addinc_ADD_UNS_OP_2_g1058740 (
+	.A(n_88169),
+	.B(n_88170),
+	.Y(n_88171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1058741 (
+	.A(n_88171),
+	.Y(n_88174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 WALLACE_CSA_DUMMY_OP_groupi_g1058742 (
+	.A(n_77045),
+	.Y(n_88175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g1058743 (
+	.A(n_88177),
+	.B(n_38347),
+	.Y(n_88179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1058745 (
+	.A(FE_DBTN21_n_78083),
+	.B(n_88175),
+	.Y(n_88177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g1058748 (
+	.A(n_88174),
+	.B(n_88177),
+	.Y(n_88181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1058749 (
+	.A(n_79569),
+	.B(n_88184),
+	.Y(n_88185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1058750 (
+	.A(n_88182),
+	.B(n_88183),
+	.Y(n_88184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1058751 (
+	.A(n_55739),
+	.Y(n_88182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1058752 (
+	.A(n_79658),
+	.B(n_55732),
+	.Y(n_88183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1058753 (
+	.A(n_78161),
+	.B(n_88184),
+	.Y(n_88187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1058755 (
+	.A(n_79666),
+	.B(n_78156),
+	.C(n_88184),
+	.Y(n_88188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1058756 (
+	.A(n_79567),
+	.B(n_88184),
+	.Y(n_88189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1058757 (
+	.A(n_53894),
+	.B(n_54205),
+	.Y(n_88190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1058758 (
+	.A(n_63924),
+	.Y(n_88191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt1058759 (
+	.A(n_88192),
+	.Y(n_88193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1058760 (
+	.A(n_88190),
+	.B(n_88191),
+	.Y(n_88192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g1058761 (
+	.A(n_64214),
+	.B(n_88192),
+	.Y(n_88194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1058762 (
+	.A(n_88192),
+	.B(addinc_ADD_UNS_OP_2_n_326),
+	.Y(n_88196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 WALLACE_CSA_DUMMY_OP_groupi_g1058764 (
+	.A(n_85666),
+	.B(n_88199),
+	.X(n_88200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1058765 (
+	.A(n_88197),
+	.B(n_88198),
+	.Y(n_88199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1058766 (
+	.A(n_85662),
+	.B(n_85663),
+	.Y(n_88197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1058767 (
+	.A(n_85661),
+	.Y(n_88198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 WALLACE_CSA_DUMMY_OP_groupi_g1058768 (
+	.A1(n_42332),
+	.A2(n_85666),
+	.B1_N(n_88199),
+	.X(n_88202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 WALLACE_CSA_DUMMY_OP_groupi_g1058770 (
+	.A_N(n_88199),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_556),
+	.Y(n_88203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g1059035 (
+	.A(n_80445),
+	.Y(n_88450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 addinc_ADD_UNS_OP_2_g1059036 (
+	.A(n_57185),
+	.Y(n_88451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1059037 (
+	.A(n_88452),
+	.B(addinc_ADD_UNS_OP_2_n_531),
+	.Y(n_88454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g1059039 (
+	.A(n_88450),
+	.B(n_88451),
+	.Y(n_88452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g1059041 (
+	.A(n_88452),
+	.B(addinc_ADD_UNS_OP_2_n_2102),
+	.Y(n_88456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 fopt1059042 (
+	.A(n_78926),
+	.Y(n_88457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 fopt1059043 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1988),
+	.Y(n_88458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g1059046 (
+	.A(n_88457),
+	.B(n_88458),
+	.Y(n_88459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g1059047 (
+	.A(n_88459),
+	.B(n_79592),
+	.Y(n_88462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g1059059 (
+	.A(n_62004),
+	.Y(n_88474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g1059060 (
+	.A(n_78245),
+	.Y(n_88475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1059061 (
+	.A(n_80452),
+	.B(n_88476),
+	.Y(n_88477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1059062 (
+	.A(n_88474),
+	.B(n_88475),
+	.Y(n_88476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1059065 (
+	.A(n_88482),
+	.B(n_41733),
+	.Y(n_88483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1059066 (
+	.A(n_88480),
+	.B(n_88481),
+	.Y(n_88482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 WALLACE_CSA_DUMMY_OP_groupi_g1059067 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_374),
+	.Y(n_88480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 WALLACE_CSA_DUMMY_OP_groupi_g1059068 (
+	.A(n_55796),
+	.Y(n_88481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_4 g1059072 (
+	.A1_N(n_77906),
+	.A2_N(n_79040),
+	.B1(n_77906),
+	.B2(n_79040),
+	.Y(n_88487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1059076 (
+	.A(n_86278),
+	.B(n_87858),
+	.C(n_90667),
+	.Y(n_88491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g1059324 (
+	.A(n_88720),
+	.B(n_88721),
+	.Y(n_88722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1059325 (
+	.A(n_55300),
+	.B(n_37374),
+	.Y(n_88720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1059326 (
+	.A(n_55790),
+	.Y(n_88721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g1059327 (
+	.A(n_88722),
+	.B(addinc_ADD_UNS_OP_2_n_2129),
+	.Y(n_88725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1059328 (
+	.A(n_88728),
+	.Y(n_88729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1059329 (
+	.A(n_88726),
+	.B(n_88727),
+	.Y(n_88728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1059330 (
+	.A_N(n_28038),
+	.B(n_87553),
+	.Y(n_88726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1059331 (
+	.A1(n_59371),
+	.A2(n_28038),
+	.B1(n_27937),
+	.Y(n_88727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1059332 (
+	.A(n_88728),
+	.B(addinc_ADD_UNS_OP_2_n_49),
+	.Y(n_88730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1059333 (
+	.A(addinc_ADD_UNS_OP_2_n_49),
+	.B(n_88728),
+	.Y(n_88731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g1059334 (
+	.A(addinc_ADD_UNS_OP_2_n_49),
+	.B(n_88728),
+	.Y(n_88732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g1059335 (
+	.A(addinc_ADD_UNS_OP_2_n_49),
+	.B(n_88728),
+	.Y(n_88734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1059651 (
+	.A(n_59015),
+	.B(n_53867),
+	.Y(n_89028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4bb_4 g1059652 (
+	.A_N(n_89028),
+	.B_N(n_63875),
+	.C(n_89673),
+	.D(FE_DBTN14_n_80113),
+	.Y(n_89030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1059654 (
+	.A(n_89028),
+	.B(n_59371),
+	.Y(n_89031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1059655 (
+	.A(n_89028),
+	.B(n_56745),
+	.Y(n_89032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1059656 (
+	.A(n_89028),
+	.B(n_27510),
+	.Y(n_89033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1059657 (
+	.A(FE_DBTN70_n_87553),
+	.B(n_89028),
+	.Y(n_89034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1059922 (
+	.A(addinc_ADD_UNS_OP_2_n_1276),
+	.B(n_31048),
+	.Y(n_89281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g1059923 (
+	.A(n_89282),
+	.B(n_89283),
+	.Y(n_89284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g1059924 (
+	.A(addinc_ADD_UNS_OP_2_n_1276),
+	.Y(n_89282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1059925  (
+	.A(n_31048),
+	.Y(n_89283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1059926 (
+	.A_N(addinc_ADD_UNS_OP_2_n_141),
+	.B(addinc_ADD_UNS_OP_2_n_264),
+	.Y(n_89285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g1059927 (
+	.A(n_79658),
+	.B(n_55732),
+	.Y(n_89286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_4 g1059935 (
+	.A1(n_78489),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3062 ),
+	.B1(n_89294),
+	.X(n_89295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g1059936 (
+	.A1(n_77920),
+	.A2(n_77928),
+	.B1_N(n_77930),
+	.Y(n_89294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1059937 (
+	.A(n_89296),
+	.B(n_77930),
+	.Y(n_89297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1059938 (
+	.A(n_77920),
+	.B(n_77928),
+	.Y(n_89296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1059939 (
+	.A(n_59639),
+	.B(FE_DBTN79_n_53716),
+	.Y(n_89298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g1059940 (
+	.A(n_59639),
+	.Y(n_89299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1059942 (
+	.A(n_89672),
+	.B(n_87553),
+	.C(n_80178),
+	.Y(n_89301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 fopt1059944 (
+	.A(n_89302),
+	.Y(n_89303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g1059945 (
+	.A(n_88452),
+	.B(addinc_ADD_UNS_OP_2_n_159),
+	.Y(n_89302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1059948 (
+	.A1(n_89307),
+	.A2(FE_DBTN46_n_64232),
+	.B1(n_89309),
+	.Y(n_89310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1059949 (
+	.A(n_47787),
+	.B(n_42412),
+	.X(n_89307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1059951 (
+	.A(FE_DBTN46_n_64232),
+	.B(n_57890),
+	.Y(n_89309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1059952  (
+	.A(FE_DBTN44_n_64842),
+	.B(n_89310),
+	.Y(n_89311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g1059956 (
+	.A(n_88177),
+	.B(n_89316),
+	.Y(n_89317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1059957 (
+	.A(n_89315),
+	.Y(n_89316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g1059958 (
+	.A(n_88169),
+	.B(n_88170),
+	.Y(n_89315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1059959 (
+	.A_N(n_89316),
+	.B(n_88174),
+	.Y(n_89319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 addinc_ADD_UNS_OP_2_g1059961 (
+	.A1(n_63882),
+	.A2(n_88174),
+	.B1(n_89316),
+	.Y(n_89320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1059962 (
+	.A(n_80194),
+	.B(n_55100),
+	.Y(n_89321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1059963  (
+	.A_N(n_80277),
+	.B(n_86824),
+	.Y(n_89322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1059964  (
+	.A(n_80277),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3076 ),
+	.Y(n_89323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1059965 (
+	.A(n_80199),
+	.B(n_78908),
+	.Y(n_89324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1059966 (
+	.A(n_89325),
+	.B(n_81762),
+	.Y(n_89326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_4 g1059967 (
+	.A(n_89321),
+	.B(n_89322),
+	.C(n_89323),
+	.D(n_89324),
+	.Y(n_89325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1059968 (
+	.A(n_79631),
+	.B(n_89325),
+	.Y(n_89328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1059970 (
+	.A(n_48439),
+	.B(n_89325),
+	.Y(n_89329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1060246 (
+	.A(n_75793),
+	.B(n_75794),
+	.Y(n_89587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1060251 (
+	.A(FE_DBTN136_n_89917),
+	.B(n_89916),
+	.Y(n_89592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1060334 (
+	.A(n_89667),
+	.B(n_89668),
+	.Y(n_89669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1060335 (
+	.A(n_28062),
+	.Y(n_89667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1060336 (
+	.A(n_59292),
+	.B(n_64150),
+	.Y(n_89668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1060337 (
+	.A(n_89669),
+	.B(FE_DBTN14_n_80113),
+	.Y(n_89671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1060338 (
+	.A(n_89669),
+	.B(FE_DBTN14_n_80113),
+	.Y(n_89672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt1060339 (
+	.A(n_89669),
+	.Y(n_89673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 addinc_ADD_UNS_OP_2_g1060604 (
+	.A(n_89587),
+	.B(n_90646),
+	.Y(n_89916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 addinc_ADD_UNS_OP_2_g1060605 (
+	.A_N(n_79644),
+	.B(n_39805),
+	.Y(n_89917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1060606 (
+	.A(n_89303),
+	.B(n_89919),
+	.Y(n_89920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1060607 (
+	.A(n_89916),
+	.B(FE_DBTN136_n_89917),
+	.Y(n_89919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1061206 (
+	.A1(n_81768),
+	.A2(n_64842),
+	.B1(n_90486),
+	.B2(FE_DBTN44_n_64842),
+	.X(n_90488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1061208  (
+	.A1(FE_DBTN81_n_38089),
+	.A2(n_81343),
+	.B1(n_90485),
+	.Y(n_90486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1061210  (
+	.A(n_81343),
+	.B(n_63902),
+	.Y(n_90485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1061211  (
+	.A(n_90486),
+	.B(n_64842),
+	.Y(n_90489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g1061212 (
+	.A(n_39535),
+	.Y(n_90490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_4 g1061215 (
+	.A1(n_90490),
+	.A2(soc_top_u_dccm_rdata2[25]),
+	.B1(n_90491),
+	.Y(n_90492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_4 g1061216 (
+	.A1(n_40564),
+	.A2(n_40565),
+	.B1(n_76918),
+	.Y(n_90491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1061217 (
+	.A(n_42685),
+	.B(n_61172),
+	.C(n_90492),
+	.Y(n_90495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1061218 (
+	.A(n_60701),
+	.Y(n_90496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1061219 (
+	.A(n_79195),
+	.B(n_25132),
+	.Y(n_90497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g1061220 (
+	.A1(n_18030),
+	.A2(n_90498),
+	.B1(n_21985),
+	.Y(n_90499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1061221 (
+	.A(n_90496),
+	.B(n_90497),
+	.Y(n_90498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1061222 (
+	.A1_N(n_65222),
+	.A2_N(n_58184),
+	.B1(n_69422),
+	.B2(n_90498),
+	.Y(n_90501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1061224 (
+	.A1(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.A2(n_90498),
+	.B1(n_58186),
+	.Y(n_90502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1061225 (
+	.A(n_90498),
+	.Y(n_90503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 g1061226 (
+	.A1(n_61252),
+	.A2(n_48952),
+	.B1(n_25557),
+	.Y(n_90504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1061229 (
+	.A(n_90504),
+	.B(n_90505),
+	.Y(n_90506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 g1061230 (
+	.A1(n_61252),
+	.A2(FE_DBTN50_n_61465),
+	.B1(n_81661),
+	.Y(n_90505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1061231 (
+	.A(n_90506),
+	.B(n_79369),
+	.C(n_74579),
+	.Y(n_90509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1061232  (
+	.A(n_90512),
+	.Y(n_90514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1061234 (
+	.A(n_90510),
+	.B(n_90511),
+	.Y(n_90512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1061235 (
+	.A(n_56855),
+	.B(n_64842),
+	.Y(n_90510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1061236  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_834 ),
+	.B(FE_DBTN44_n_64842),
+	.Y(n_90511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g1061238 (
+	.A1(n_75800),
+	.A2(n_90517),
+	.B1_N(n_79726),
+	.X(n_90519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 addinc_ADD_UNS_OP_2_g1061240 (
+	.A(n_90516),
+	.Y(n_90517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 addinc_ADD_UNS_OP_2_g6138_dup1061241 (
+	.A(n_51091),
+	.B(n_79659),
+	.C(n_51093),
+	.Y(n_90516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g1061243 (
+	.A(n_90517),
+	.B(addinc_ADD_UNS_OP_2_n_979),
+	.Y(n_90521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1061244 (
+	.A(n_87846),
+	.B(n_90523),
+	.Y(n_90524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1061245 (
+	.A(n_90522),
+	.Y(n_90523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g1061246 (
+	.A(n_38066),
+	.B(n_38065),
+	.Y(n_90522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1061247 (
+	.A1(n_47808),
+	.A2(n_55300),
+	.B1(n_90523),
+	.Y(n_90526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g1061249 (
+	.A_N(n_90523),
+	.B(n_47808),
+	.X(n_90527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g1061250 (
+	.A(n_77119),
+	.Y(n_90528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g1061251 (
+	.A(n_90530),
+	.B(n_48479),
+	.Y(n_90531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g1061252 (
+	.A(FE_DBTN27_n_77115),
+	.B(n_90528),
+	.Y(n_90530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g1061254 (
+	.A(n_46350),
+	.B(n_90530),
+	.Y(n_90533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1061264 (
+	.A(n_90543),
+	.B(n_64880),
+	.Y(n_90544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1061265 (
+	.A(n_90542),
+	.Y(n_90543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1061266 (
+	.A(n_81536),
+	.B(n_79233),
+	.Y(n_90542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g1061269 (
+	.A(n_81709),
+	.Y(n_90547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1061270 (
+	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[11]),
+	.A1(n_90550),
+	.S(n_1494),
+	.X(n_90552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1061272 (
+	.A(n_90547),
+	.B(n_90548),
+	.C(n_90549),
+	.Y(n_90550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1061273 (
+	.A(n_87019),
+	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.Y(n_90548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1061274 (
+	.A(n_26058),
+	.B(n_26044),
+	.Y(n_90549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1061275 (
+	.A(n_90550),
+	.B(n_17765),
+	.Y(n_90553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1061276 (
+	.A(n_90555),
+	.B(n_15453),
+	.Y(n_90556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1061277 (
+	.A(n_90554),
+	.Y(n_90555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1061278 (
+	.A(n_43540),
+	.B(n_15474),
+	.Y(n_90554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 g1061279 (
+	.A1(n_90647),
+	.A2(n_90555),
+	.B1_N(n_86031),
+	.X(n_90558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g1061281 (
+	.A1(n_15568),
+	.A2(n_90555),
+	.B1_N(n_39916),
+	.Y(n_90559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 fopt1061282 (
+	.A(n_56653),
+	.Y(n_90560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1061286 (
+	.A_N(n_56653),
+	.B(n_80113),
+	.Y(n_90564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1061287 (
+	.A(n_80113),
+	.B(n_56653),
+	.Y(n_90565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_4 g1061288 (
+	.A1(n_56653),
+	.A2(n_78233),
+	.B1_N(n_77641),
+	.Y(n_90566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1061290 (
+	.A(n_90569),
+	.B(n_61989),
+	.Y(n_90570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1061291 (
+	.A(n_90568),
+	.B(n_87553),
+	.Y(n_90569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1061292 (
+	.A(n_61978),
+	.B(n_76718),
+	.Y(n_90568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1061293 (
+	.A(n_77989),
+	.B(n_90569),
+	.Y(n_90572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 addinc_ADD_UNS_OP_2_g1061295 (
+	.A(n_90601),
+	.B(n_90602),
+	.Y(n_90573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_8 addinc_ADD_UNS_OP_2_g1061296 (
+	.A(n_53846),
+	.B(n_53847),
+	.Y(n_90574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 addinc_ADD_UNS_OP_2_g1061299 (
+	.A(n_90573),
+	.B(n_90574),
+	.Y(n_90575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g1061300 (
+	.A(n_90575),
+	.B(n_53726),
+	.Y(n_90578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1061301 (
+	.A(n_15306),
+	.Y(n_90579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1061302 (
+	.A(n_14857),
+	.B(n_76149),
+	.Y(n_90580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1061303 (
+	.A1(n_59697),
+	.A2(n_90581),
+	.B1(n_90584),
+	.Y(n_90583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1061305 (
+	.A(n_90579),
+	.B(n_90580),
+	.Y(n_90581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1061306 (
+	.A(n_90581),
+	.B(n_59697),
+	.Y(n_90584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1061307  (
+	.A(n_90587),
+	.B(n_46948),
+	.Y(n_90589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1061309 (
+	.A(n_90585),
+	.B(n_90586),
+	.Y(n_90587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1061310  (
+	.A(n_63073),
+	.B(n_64842),
+	.Y(n_90585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1061311 (
+	.A(n_79249),
+	.B(FE_DBTN44_n_64842),
+	.Y(n_90586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1061312 (
+	.A(n_90587),
+	.B(n_79311),
+	.Y(n_90590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1061313 (
+	.A(n_79202),
+	.Y(n_90591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1061315  (
+	.A1(n_90594),
+	.A2(n_34720),
+	.B1(n_90595),
+	.B2(n_39944),
+	.X(n_90596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 g1061316 (
+	.A1(n_90593),
+	.A2(n_90591),
+	.B1(n_17802),
+	.Y(n_90594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1061317 (
+	.A(n_73326),
+	.Y(n_90593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 fopt1061318 (
+	.A(n_90594),
+	.Y(n_90595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1061319  (
+	.A1(n_90594),
+	.A2(n_77228),
+	.B1(n_44401),
+	.B2(n_90595),
+	.X(n_90597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1061320  (
+	.A1(n_90594),
+	.A2(n_77245),
+	.B1(n_90595),
+	.B2(n_59502),
+	.Y(n_90599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1061322 (
+	.A(n_31081),
+	.B(n_90594),
+	.Y(n_90600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1061323  (
+	.A(n_80803),
+	.B(n_80804),
+	.Y(n_90601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1061324 (
+	.A(n_61320),
+	.B(n_82244),
+	.Y(n_90602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 addinc_ADD_UNS_OP_2_g1061325 (
+	.A1(n_90603),
+	.A2(n_90574),
+	.B1(n_79616),
+	.Y(n_90604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g1061326 (
+	.A(n_90601),
+	.B(n_90602),
+	.Y(n_90603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1061329 (
+	.A_N(addinc_ADD_UNS_OP_2_n_624),
+	.B(n_90608),
+	.Y(n_90609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 g1061330 (
+	.A(n_90607),
+	.Y(n_90608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g1061331 (
+	.A(n_37767),
+	.B(n_76725),
+	.Y(n_90607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g1061333 (
+	.A1(n_90608),
+	.A2(n_87755),
+	.B1_N(n_55237),
+	.X(n_90612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 addinc_ADD_UNS_OP_2_g1061335 (
+	.A(n_90608),
+	.B(addinc_ADD_UNS_OP_2_n_1092),
+	.C(n_50104),
+	.Y(n_90613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1061336  (
+	.A(n_90616),
+	.B(n_74458),
+	.Y(n_90618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1061338 (
+	.A(n_90614),
+	.B(n_90615),
+	.Y(n_90616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1061339 (
+	.A(n_78935),
+	.B(n_79311),
+	.Y(n_90614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1061340 (
+	.A(n_90512),
+	.B(FE_DBTN20_n_79311),
+	.Y(n_90615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1061341 (
+	.A(n_90616),
+	.B(n_62262),
+	.Y(n_90619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g1061343 (
+	.A(n_90622),
+	.B(n_76620),
+	.Y(n_90623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1061344 (
+	.A(n_90621),
+	.B(FE_DBTN28_n_74938),
+	.Y(n_90622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1061345 (
+	.A(n_86913),
+	.B(n_86914),
+	.Y(n_90621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1061346 (
+	.A(n_90622),
+	.B(n_76620),
+	.Y(n_90625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g1061348  (
+	.A(n_90628),
+	.B(FE_DBTN63_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sll_527_36_n_957),
+	.Y(n_90630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1061350 (
+	.A(n_90626),
+	.B(n_90627),
+	.Y(n_90628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g1061351 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1277 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1101 ),
+	.C(n_90623),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1153 ),
+	.Y(n_90626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1061352 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1311 ),
+	.B(n_78297),
+	.Y(n_90627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1061353 (
+	.A(n_90628),
+	.B(n_79020),
+	.Y(n_90631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1061354 (
+	.A(n_90628),
+	.B(FE_DBTN54_n_78756),
+	.Y(n_90632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_fopt1061355 (
+	.A(n_90578),
+	.Y(n_90633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1061356 (
+	.A(n_78458),
+	.B(n_56367),
+	.Y(n_90634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1061359 (
+	.A(n_90633),
+	.B(n_90634),
+	.Y(n_90635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1061361  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2470 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2627 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2579 ),
+	.COUT(n_90639),
+	.SUM(n_90640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1061362 (
+	.A(n_90642),
+	.B(n_80776),
+	.Y(n_90644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1061364  (
+	.A(n_90641),
+	.B(n_90639),
+	.Y(n_90642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1061365  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3786 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2791 ),
+	.Y(n_90641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1061366 (
+	.A(n_90642),
+	.B(n_80776),
+	.Y(n_90645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g1061367  (
+	.A(n_90642),
+	.B(n_80776),
+	.Y(n_90646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g1061368 (
+	.A(n_42596),
+	.B(FE_DBTN89_n_30785),
+	.Y(n_90647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1061369 (
+	.A(FE_DBTN43_n_90647),
+	.B(n_15459),
+	.Y(n_90649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1061371 (
+	.A(FE_DBTN43_n_90647),
+	.B(n_42716),
+	.Y(n_90650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1061372 (
+	.A(n_30778),
+	.B(FE_DBTN43_n_90647),
+	.Y(n_90651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1061373 (
+	.A(FE_DBTN43_n_90647),
+	.B(n_30765),
+	.Y(n_90652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_2 g1061374 (
+	.A1(FE_DBTN43_n_90647),
+	.A2(n_15125),
+	.B1(n_62295),
+	.B2(n_44992),
+	.X(n_90653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1061375 (
+	.A(FE_DBTN43_n_90647),
+	.B(n_15124),
+	.Y(n_90654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1061376 (
+	.A(FE_DBTN43_n_90647),
+	.B(n_30762),
+	.Y(n_90655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1061377 (
+	.A(FE_DBTN43_n_90647),
+	.B(n_30768),
+	.Y(n_90656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1061378 (
+	.A(FE_DBTN43_n_90647),
+	.B(n_30781),
+	.Y(n_90658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 g1061380 (
+	.A1_N(FE_DBTN111_n_62295),
+	.A2_N(n_65372),
+	.B1(n_70995),
+	.B2(FE_DBTN43_n_90647),
+	.Y(n_90659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1061381 (
+	.A(FE_DBTN43_n_90647),
+	.B(n_30769),
+	.Y(n_90660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1061382 (
+	.A(FE_DBTN43_n_90647),
+	.B(n_43133),
+	.Y(n_90661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1061383 (
+	.A(FE_DBTN43_n_90647),
+	.B(n_30767),
+	.Y(n_90662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1061384 (
+	.A(FE_DBTN43_n_90647),
+	.B(n_78302),
+	.Y(n_90663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1061385 (
+	.A(FE_DBTN43_n_90647),
+	.B(n_60460),
+	.Y(n_90664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1061386 (
+	.A(n_90666),
+	.B(n_88487),
+	.Y(n_90667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1061387 (
+	.A(n_90665),
+	.Y(n_90666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1061388 (
+	.A(n_81224),
+	.B(n_87853),
+	.Y(n_90665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1061393 (
+	.A(n_78545),
+	.B(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.C(n_49441),
+	.Y(n_90673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1061395 (
+	.A(n_78545),
+	.B(n_24877),
+	.C(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.Y(n_90675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g1061397 (
+	.A1(n_34774),
+	.A2(n_24495),
+	.B1(soc_top_u_dccm_rdata1[11]),
+	.C1(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.D1(n_78545),
+	.X(n_90676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g1061398 (
+	.A1(n_60029),
+	.A2(n_60030),
+	.B1(soc_top_u_dccm_rdata3[30]),
+	.C1(n_78545),
+	.D1(soc_top_u_dccm_bank_sel[1]),
+	.X(n_90677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g1061399 (
+	.A1(n_63542),
+	.A2(n_63543),
+	.B1(soc_top_u_dccm_rdata1[18]),
+	.C1(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.D1(n_78545),
+	.X(n_90678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g1061402 (
+	.A1(n_60029),
+	.A2(n_60030),
+	.B1(soc_top_u_dccm_rdata3[28]),
+	.C1(soc_top_u_dccm_bank_sel[1]),
+	.D1(n_78545),
+	.X(n_90681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g1061403 (
+	.A1(n_34774),
+	.A2(n_24495),
+	.B1(soc_top_u_dccm_rdata1[10]),
+	.C1(n_78545),
+	.D1(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.X(n_90682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g1061404 (
+	.A1(n_60029),
+	.A2(n_60030),
+	.B1(soc_top_u_dccm_rdata1[28]),
+	.C1(n_78545),
+	.D1(FE_DBTN138_soc_top_u_dccm_bank_sel_1),
+	.X(n_90683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1061405 (
+	.A(soc_top_u_dccm_bank_sel[1]),
+	.B(n_78545),
+	.C(n_75822),
+	.Y(n_90684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g1061406 (
+	.A(soc_top_u_dccm_rdata3[18]),
+	.B(soc_top_u_dccm_bank_sel[1]),
+	.C(n_78545),
+	.D(n_63548),
+	.Y(n_90685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1061407 (
+	.A(n_78545),
+	.B(soc_top_u_dccm_bank_sel[1]),
+	.C(n_86904),
+	.Y(n_90686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1061756 (
+	.A(n_55936),
+	.Y(n_91007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1061757 (
+	.A(addinc_ADD_UNS_OP_2_n_166),
+	.B(n_91010),
+	.Y(n_91011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1061758 (
+	.A(n_91008),
+	.B(n_55936),
+	.Y(n_91010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1061759 (
+	.A(n_55496),
+	.B(n_53873),
+	.Y(n_91008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1061803 (
+	.A(n_91058),
+	.B(n_17765),
+	.Y(n_91059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g1061804 (
+	.A_N(n_91056),
+	.B(n_91057),
+	.Y(n_91058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1061805 (
+	.A(n_78763),
+	.B(n_78764),
+	.C(n_25981),
+	.Y(n_91056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1061806 (
+	.A(n_26042),
+	.B(n_18005),
+	.Y(n_91057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 g1061807 (
+	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[1]),
+	.A1(n_91058),
+	.S(n_1494),
+	.X(n_91061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1062204 (
+	.A(n_64833),
+	.B(n_38782),
+	.Y(n_91429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1062206 (
+	.A(n_91431),
+	.B(n_25526),
+	.Y(n_91432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1062207 (
+	.A(n_91429),
+	.B(n_84334),
+	.Y(n_91431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1062210 (
+	.A(n_61413),
+	.B(n_75465),
+	.Y(n_91435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1062212 (
+	.A(n_91438),
+	.Y(n_91439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_2 g1062213 (
+	.A1(n_91437),
+	.A2(n_91435),
+	.B1_N(n_73852),
+	.Y(n_91438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1062214 (
+	.A(n_75419),
+	.B(n_59628),
+	.Y(n_91437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g1062215 (
+	.A1(n_57519),
+	.A2(n_80769),
+	.B1_N(n_91438),
+	.Y(n_91441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__conb_1 tie_0_cell (
+	.HI(io_oeb[0]),
+	.LO(io_oeb[26]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_8 fopt1063391 (
+	.A(n_84182),
+	.Y(n_92650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1063399 (
+	.A1(n_289),
+	.A2(n_93141),
+	.B1(n_93154),
+	.X(n_92682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1063408 (
+	.A1(n_93144),
+	.A2(n_2507),
+	.B1(n_7118),
+	.B2(n_93141),
+	.Y(n_92691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_16 g1063466 (
+	.A(n_25027),
+	.Y(n_92749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g1063467 (
+	.A(n_24849),
+	.B(n_24845),
+	.Y(n_92750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g1063468 (
+	.A(n_29666),
+	.B(n_92753),
+	.C(n_4301),
+	.D(n_29648),
+	.X(n_92754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_2 g1063469 (
+	.A1(n_92749),
+	.A2(n_92750),
+	.B1(n_92751),
+	.C1(n_92752),
+	.Y(n_92753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1063470 (
+	.A(n_35530),
+	.B(n_25028),
+	.Y(n_92751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1063471 (
+	.A(n_24856),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[18]),
+	.Y(n_92752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1063472 (
+	.A1(n_92753),
+	.A2(n_7621),
+	.B1(n_7628),
+	.B2(n_87477),
+	.C1(n_35319),
+	.C2(n_84881),
+	.Y(n_92755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g1063473 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [17]),
+	.A2(n_7084),
+	.B1(n_7628),
+	.B2(n_70129),
+	.C1(n_35319),
+	.C2(n_92753),
+	.Y(n_92756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31a_1 g1063474 (
+	.A1(n_92753),
+	.A2(n_29648),
+	.A3(n_29650),
+	.B1(n_16540),
+	.X(n_92757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g1063475 (
+	.A1_N(n_29776),
+	.A2_N(n_92758),
+	.B1(n_17646),
+	.B2(n_20197),
+	.Y(n_92759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_2 g905114_dup (
+	.A1(n_92749),
+	.A2(n_92750),
+	.B1(n_92751),
+	.C1(n_92752),
+	.Y(n_92758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g1063476 (
+	.A1(n_92758),
+	.A2(n_23967),
+	.B1(n_25004),
+	.Y(n_92760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g1063477 (
+	.A1_N(n_92750),
+	.A2_N(n_24945),
+	.B1(n_16540),
+	.B2(n_92758),
+	.Y(n_92761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1063490 (
+	.A1_N(n_71111),
+	.A2_N(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
+	.B1(n_29521),
+	.B2(n_73404),
+	.Y(n_92774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1063491 (
+	.A(n_71572),
+	.B(n_92776),
+	.Y(n_92778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1063493 (
+	.A(n_92775),
+	.B(n_92774),
+	.Y(n_92776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1063494 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
+	.B(n_74966),
+	.Y(n_92775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1063495 (
+	.A(n_92776),
+	.B(n_71572),
+	.C(n_29765),
+	.Y(n_92779), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g1063496 (
+	.A1_N(n_24945),
+	.A2_N(n_24963),
+	.B1(n_16540),
+	.B2(n_29632),
+	.Y(n_92780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g1063497 (
+	.A(n_92782),
+	.Y(n_92783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1063498 (
+	.A(n_92781),
+	.B(n_92780),
+	.X(n_92782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1063499 (
+	.A(n_26213),
+	.B(n_58322),
+	.Y(n_92781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_8 g1063500 (
+	.A(n_17808),
+	.B(n_92784),
+	.Y(n_92785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g1045506_dup (
+	.A(n_92781),
+	.B(n_92780),
+	.X(n_92784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 fopt1063714 (
+	.A(n_87692),
+	.Y(n_93006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1063743 (
+	.A(n_22712),
+	.B(n_715),
+	.Y(n_93036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1063744 (
+	.A(n_22713),
+	.Y(n_93037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1063746 (
+	.A1(n_25775),
+	.A2(n_93039),
+	.B1(n_25859),
+	.Y(n_93040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_4 g1063747 (
+	.A1(n_93036),
+	.A2(n_93037),
+	.B1(n_34952),
+	.X(n_93039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_8 g1063748 (
+	.A(n_93039),
+	.Y(n_93041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1063749 (
+	.A_N(n_93039),
+	.B(n_72749),
+	.Y(n_93042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g1063750 (
+	.A1(n_15978),
+	.A2(n_93039),
+	.B1(soc_top_u_top_u_core_alu_operand_b_ex[31]),
+	.B2(n_72751),
+	.C1(n_16105),
+	.Y(n_93044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1063752 (
+	.A1(n_25727),
+	.A2(n_93039),
+	.B1(n_35497),
+	.Y(n_93045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1063753 (
+	.A1(n_25774),
+	.A2(n_93039),
+	.B1(n_25858),
+	.Y(n_93046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1063754 (
+	.A1(n_25728),
+	.A2(n_93039),
+	.B1(n_25857),
+	.Y(n_93047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1063755 (
+	.A1(n_25570),
+	.A2(n_93039),
+	.B1(n_25855),
+	.Y(n_93048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1063756 (
+	.A_N(n_34809),
+	.B(n_93039),
+	.Y(n_93049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1063774 (
+	.A(n_60720),
+	.B(n_93071),
+	.Y(n_93072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g1063775 (
+	.A(n_87802),
+	.B(n_93069),
+	.C(n_93070),
+	.Y(n_93071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31o_1 g1063777 (
+	.A1(n_90654),
+	.A2(n_15289),
+	.A3(n_59460),
+	.B1(n_90579),
+	.X(n_93069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1063778 (
+	.A(n_90653),
+	.B(n_90579),
+	.Y(n_93070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1063779 (
+	.A(n_93071),
+	.Y(n_93073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1063780 (
+	.A(n_77332),
+	.B(n_93071),
+	.Y(n_93074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1063823 (
+	.A0(n_93119),
+	.A1(n_93138),
+	.S(n_93140),
+	.X(n_93122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1063824 (
+	.A0(n_81664),
+	.A1(soc_top_u_top_u_core_lsu_wdata[16]),
+	.S(soc_top_u_top_u_core_alu_adder_result_ex[1]),
+	.X(n_93119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1063829 (
+	.A(n_68334),
+	.B(n_93122),
+	.Y(n_93125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1063830 (
+	.A1(n_7091),
+	.A2(n_93122),
+	.B1(n_7127),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[53] [1]),
+	.X(n_93126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1063831 (
+	.A1(n_7092),
+	.A2(n_93122),
+	.B1(n_7093),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[49] [1]),
+	.X(n_93127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1063832 (
+	.A1(n_7088),
+	.A2(n_93122),
+	.B1(n_7108),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[25] [1]),
+	.X(n_93128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1063833 (
+	.A1(n_7103),
+	.A2(n_93122),
+	.B1(n_7121),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[21] [1]),
+	.X(n_93129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1063834 (
+	.A1(n_7104),
+	.A2(n_93122),
+	.B1(n_7126),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[17] [1]),
+	.X(n_93130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1063835 (
+	.A1(n_7097),
+	.A2(n_93122),
+	.B1(n_7099),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[57] [1]),
+	.X(n_93131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1063836 (
+	.A1(n_7634),
+	.A2(soc_top_u_pwm_pwm_core_DC_1[8]),
+	.B1(n_4680),
+	.B2(n_93122),
+	.X(n_93132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1063837 (
+	.A1(n_7638),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[8]),
+	.B1(n_4675),
+	.B2(n_93122),
+	.X(n_93133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1063838 (
+	.A1(n_93134),
+	.A2(n_2482),
+	.B1(n_7118),
+	.B2(n_93122),
+	.Y(n_93135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g1063839 (
+	.A1(n_35329),
+	.A2(n_93122),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [8]),
+	.C1(n_2759),
+	.Y(n_93134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g1063840 (
+	.A1(n_8605),
+	.A2(n_29417),
+	.A3(soc_top_u_spi_host_spi_host_ctrl[8]),
+	.B1(n_7648),
+	.B2(n_93122),
+	.X(n_93136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g1063842 (
+	.A0(n_93138),
+	.A1(n_93139),
+	.S(n_93140),
+	.X(n_93141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1063843 (
+	.A1(soc_top_u_top_u_core_alu_adder_result_ex[1]),
+	.A2(n_80221),
+	.B1(n_74746),
+	.B2(n_92783),
+	.X(n_93138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g1063844 (
+	.A0(n_81664),
+	.A1(soc_top_u_top_u_core_lsu_wdata[16]),
+	.S(n_74746),
+	.X(n_93139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_12 g1063845 (
+	.A(n_72622),
+	.Y(n_93140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g1063848 (
+	.A1(n_35329),
+	.A2(n_93141),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [16]),
+	.C1(n_2719),
+	.Y(n_93144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g1063849 (
+	.A1(n_35320),
+	.A2(n_93141),
+	.B1(n_7135),
+	.B2(io_out[24]),
+	.Y(n_93145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1063850 (
+	.A1(n_7103),
+	.A2(n_93141),
+	.B1(n_7121),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[22] [1]),
+	.X(n_93146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1063851 (
+	.A1(n_7092),
+	.A2(n_93141),
+	.B1(n_7093),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[50] [1]),
+	.X(n_93147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1063852 (
+	.A1(n_7088),
+	.A2(n_93141),
+	.B1(n_7108),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[26] [1]),
+	.X(n_93148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1063853 (
+	.A1(n_7097),
+	.A2(n_93141),
+	.B1(n_7099),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[58] [1]),
+	.X(n_93149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1063854 (
+	.A1(n_7104),
+	.A2(n_93141),
+	.B1(n_7126),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[18] [1]),
+	.X(n_93150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g1063855 (
+	.A1(n_7091),
+	.A2(n_93141),
+	.B1(n_7127),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo_buffer[54] [1]),
+	.X(n_93151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1063857 (
+	.A(n_93141),
+	.B(n_16),
+	.Y(n_93153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1063858 (
+	.A(n_84419),
+	.B(n_93141),
+	.Y(n_93154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+endmodule
+